Beruflich Dokumente
Kultur Dokumente
Design Manual
ES Number: ES#57P9006
This document is maintained by Mixed Signal Technology Development. Users should not make unautho-
rized copies or alterations. It is the users responsibility to verify that the hard copy version date is the most
current version by contacting the document author. Instructions for verification may be found in section Doc-
ument Distribution and Ownership on page 15.
THIS HARD COPY MUST BE PROMPTLY REMOVED FROM USE WHEN OBSOLETE.
Copyright:
Copyright International Business Machines Corporation 2002, 2003, 2004, 2005, 2006, 2007, 2008,
2009, 2010. All rights reserved. Redistribution is not authorized without the express written permission of
IBM Mixed Signal Technology Development Solutions.
Note to U.S. Government Users Documentation related to restricted rights Use, duplication or disclosure
is subject to restrictions set forth in GSA ADP Schedule Contract with IBM Corporation.
Trademarks:
GDSII and Spectre are trademarks of Cadence Design Systems, Inc.
HSpice is a trademark of Synopsys Corporation, Inc.
For access to this manual. please contact your IBM Product Engineer. Any comments, questions, suggestions, or addi-
tions regarding its contents can also be addressed to:
E-mail: fdrytech@us.ibm.com
Contents
1.0 Technology Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.2 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.3 Ordering Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
1.4 Document Distribution and Ownership. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
1.5 Document Change Approval . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
1.6 Summary of Changes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.7 Chip Design Check List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.8 Change list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
1.9 CMOS8RF (CMRF8SF) Cross Sections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
1.1 Features
5 to 8 levels of global metal with common wiring levels M1, M2, and MQ (see Section 2.6 , Mask Metal-
lization Options on page 63).
- Last Copper metal (LM) at a pitch for designs with 5 or more metal levels (see Table 11)
- Last Aluminum metal (MA) at a larger pitch for designs with 6 or more metal levels (see Table 12).
- Last Aluminum metal (LD) at a larger pitch for designs with 5 or more metal levels (see Table 13)
- Last Aluminum metal (AM) at a larger pitch for designs with 7 metal levels (see Table 14).
Thick metal wiring options:
MQ and LM
MQ and MG and LM
- One or two thick copper levels with Aluminum (LY) and thick Copper (E1) and thick Aluminum (MA)
levels
- One or two thick copper levels with thick Copper (OL) and thick Aluminum (LD) levels
MQ (with AM)
Tungsten stud contact connecting polysilicon or diffusion to the first metal level.
Common wiring level vias V1, V2, VL with additional via options related to various metal options (see
Section 2.6 , Mask Metallization Options on page 63).
ESD Devices
- Inductors
- RF Interconnect Lines
- Transmission Lines
List of supported devices not requiring additional masks, and are feature options:
Forward Bias (DI) Diode (2 or 3 terminal models)
N-well resistor
Electronic Fuse
Silicided PC Resistor
1. The Lp tolerance is the 3 chip mean variation (chip-to-chip) and does not include across-chip linewidth varia-
tion (=ACLV).
Thin Oxide surface channel1 low power PFET with Lp 0.092 0.011 m (requires 1 additional derived
mask; PV)
Thin Oxide surface channel1 low threshold voltage NFET with Lp 0.092 0.011 m (requires 1 addi-
tional derived mask; XW)
Thin Oxide surface channel1 low threshold voltage PFET with Lp 0.092 0.011 m (requires 1 addi-
tional derived mask; LW)
Thick oxide NFET and PFET devices for native 2.5V operations, I/O and analog applications (minimum
Ldrawn=0.24m) (requires 1 additional mask DG, and 3 additional derived masks; DW, DE, DF)
Thick oxide NFET devices for 3.3V operations (minimum Ldrawn=0.40m) (requires 1 additional mask;
DG, and 2 additional derived masks; DW, XE)
Thick oxide PFET devices for 3.3V operations (minimum Ldrawn=0.40m) (requires 1 additional mask;
DG, and 1 additional derived mask; XF)
Thick oxide HiVt NFET devices for 3.3V operations (minimum Ldrawn=0.40m) (requires 4 additional
masks; DG, JN, XE, DW)
Thick oxide HiVt PFET devices for 3.3V operations (minimum Ldrawn=0.40m) (requires 3 additional
masks; DG, JP, XF)
Thin oxide zero-Vt NFET devices (minimum Ldrawn=0.42m) (requires 1 additional derived mask; DE)
Thick oxide zero-Vt device NFET (minimum Ldrawn =0.56m) (requires 1 additional mask; DG and 1 addi-
tional derived mask; DE)
Thick PI Triple Well NFET (requires 2 additional masks; PI, DG and 2 additional derived masks; DW, DE)
T3 Isolation Well that enables the placement of both NFETs and PFETs in a well isolated from the bulk
substrate (requires 1 additional mask; T3, and 1 addition design level; IBLK)
HA Varactor (requires 1 additional mask; JD, and 1 additional derived mask; VI)
Resistors:
- L1 BEOL Resistor (for MA Last Metallization Option only) (requires one additional mask; L1)
- Kx BEOL Resistor (requires one additional mask; Kx, see Table 11 or Table 13 for details)
Thick Oxide MOS Varactor (requires one additional mask; DG)
PCDCAP Thick Oxide (similar to Thick Oxide MOS Varactor, requires one additional mask; DG)
Metal-to-Metal (MIM) Capacitor for OL with LD Last Metallization Option only, see Table 13 for details.
- Hi-K MIM Capacitor (requires 2 additional masks; QK and HK [Note: uses common design levels QT
and HT during layout])
- Dual Nitride MIM Capacitor (requires 3 additional masks; QT, HT and KT).
Metal-to-Metal (MIM) Capacitor for MA Last Metallization Option only, see Table 12 for details
- Dual HP (High Performance) MIM (requires two additional masks; QY and HY)
ESD Devices:
- Salicide Blocked ESD Regular (Thin Oxide) NFET (requires 1 additional mask, OP). See Table 1 and
select Miscellaneous FEOL feature option.
- Salicide Blocked ESD (2.5V) Thick Oxide NFET (requires 2 additional masks; DG, OP, and 2 addi-
tional derived masks; DW, DE. See Table 1 and select Thin oxide + thick oxide feature option and
Miscellaneous FEOL feature option. Note: a fifth mask; DF, is also used during processing with these
feature selections.
- Salicide Blocked ESD (3.3V) Thick Oxide NFET (requires 3 additional masks; DG, OP, XE and 1
additional derived mask; DW. See Table 1 and select Thin oxide + thick oxide feature option and Mis-
cellaneous FEOL feature option. Note: a fifth and sixth mask; DE and DF, is also used during pro-
cessing with these feature selections.
The technology operates over the following temperature and voltage ranges:
Maximum power supply voltage of1.6V for 2.2-nm oxide field-effect transistors (FETs), 2.7V for 5.2-nm
oxide FETs, 3.6V for the 5.2-nm 3.3V I/O oxide FETs
Maximum Thick Oxide MOS Varactor and PCDCAP Thick Oxide bias = 3.3V
- See section 4.39.9 , VMAX for Single and Dual Nitride MIM Capacitor (for MA or OL with LD Metal-
lization Options) on page 454.
- See section 4.39.10 , VMAX for Hi-K MIM (for OL with LD Metallization Option) on page 456.
Maximum VNCAP use voltage = 3.6V (3.3 +/- 0.3V power supply)
For P+ Poly, OP RR PC Poly, OP RP Poly, N-well Resistor and N+ Diffusion Resistor limits, see Section
4.18.1 , Resistor Design Specifications on page 379. Current limit vs. Temperature information for the
P+ Poly, OP RR and OP RP Poly resistors are listed in Section 5.3.7 , Resistor Reliability on page 483.
Maximum burn-in voltage, 2.375 for 2.2-nm and 3.875V for 5.2-nm oxide devices, and maximum burn-in
temperature of 140C
List of CMS8SFG features or devices that are NOT equivalent or supported in the
CMRF8SF technology:
Metal-Insulator-Metal (MIM) Capacitor (QE, QT, HT) (not supported, alternate device offered in the
CMRF8SF technology using same mask levels)
High VT NFET (not supported, see CMRF8SF LP NFET which is a different device)
High VT PFET (not supported, see CMRF8SF LP PFET which is a different device)
BEOL wiring using VA, ME, VM, MT, LB and VV vias or metallization (not supported)
Inductors using viabars between 1x Mx wiring levels (x = 1,2,3,4) are not supported when OL with LD
metallization options are used.
1.2 Description
The CMOS8RF (CMRF8SF) technology features high density 0.13 m CMOS logic intended for RF and ana-
log and mixed signal applications.
2. The base features part number represents standard processing for that technology. In order to ensure correct manufacture of each
part a list of features must be supplied to manufacturing. This table is intended to assist designers in providing the necessary
information to their IBM Technical Representative. Feature availability and limitations are shown here for reference only and may
change. Features must be evaluated based on current information as part of the ordering process.
3. All designs also require the use of Back-End-Of-Line (BEOL) mask levels M1, V1, M2, VL, MQ as well as one of the Final Via and
Bonding Type feature options. However, the M1, V1, M2, VL, MQ as well Final Via mask levels are not part of the base feature part
number for this technology. The minimum quantity of metal levels is dependent on the Metallization scheme and Metallization
option selected (LM versus MA versus OL with LD as the last wiring metal level). The minimum quantity mask levels for the Final
Via options is dependent on Metallization Option and Bonding Type selected. Selection of the SRAM options feature may affect
the use of the required mask level CA, as well as M1 and V1 mask levels.
4. Designs based on the 0.13m CMOS8RF(CMRF8SF) technology must include at least one thin-oxide NFET and one thin-oxide
PFET.
5. This feature is also to be used for the parasitic PNP device offered in this technology.
6. For a full list of what is and is not allowed to be placed inside the T3 Triple Well see T3 Isolation Well on page 374.
7. Only one BEOL resistor can be used in a chip design for any of the metallization scheme or metallization options identified. The Kx
(x=2,3,4,5,6) BEOL resistor shares a common feature part number, with rules tied to last Mx (x= 2,3,4,5,6) metal level used for the
BEOL metallization scheme feature selected. L1 BEOL resistor has its own unique Feature Part Number. Further, when the
metallization option Feature Part Number 70P7912 or 70P7913 is selected, the L1 BEOL resistor feature is prohibited from being
used if a Kx BEOL resistor feature is selected, or if a L1 BEOL resistor feature is selected, then use of a Kx BEOL resistor feature
is prohibited. L1 resistors are only available when using Feature Part Number 70P7912 or 70P7913, while at least one Kx BEOL
resistor feature option is supported for all LM, MA, AM or OL with LD metallization schemes or options. For additional information,
see Table 11 or Table 12 or Table 13 or Table 14 located in Section 2.6 , Mask Metallization Options on page 63.
8. Single MIM and Dual MIM are allowed to co-exist in a chip design with the MA metallization or the OL with LD metallization. The MIM
for the OL with LD metallization option is not allowed with the MA or LM metallization options. The LM metallization option does not
support any MIM Feature Part Number option.
9. For designs which contain both single and dual MIMs, the dual MIM feature PN should be selected. Designs which use both the Single
HP MIM (70P7909) and the Dual HP MIM (70P7910) for the MA metallization should select only the Dual HP MIM (70P7910).
Designs which use both Single Nitride MIM (58Y9420) and Dual Nitride MIM (58Y9421) for the OL with LD metallization should
select the Dual Nitride MIM only.
10. Single Hi-K MIM uses design levels QT, HT and utility level MIM_HK. The single Hi-K MIM is fabricated using mask level QK and
HK. For more information see MIM_HK in Table 6 on page 46, QK and HK in Table 9 on page 62 and these levels Table 13 on
page 66. The Single Hi-K MIM can not be used in the same chip design as a Single Nitride MIM or a Dual Nitride MIM.
11. The Single Nitride MIM uses design levels QT, HT and utility level MIM_NI. The Dual Nitride MIM uses design levels QT, HT and
KT and utility level MIM_NI. The Single Nitride MIM is built on the QT and HT mask levels. The Dual Nitride MIM is built on the QT,
HT and KT mask levels. For more information see MIM_NI in Table 6 on page 46, QT, HT and KT in Table 9 on page 62 and these
levels in Table 13 on page 66. The Single Nitride MIM and Dual Nitride MIM may co-exist in a chip design. However, the Single
Nitride MIM or the Dual Nitride MIM can not co-exist in the same design as a Single Hi-K MIM. For additional information or MPW
designs, contact your IBM Technical Representative.
12. SRAM designs must use dummy edge cells around the external perimeter of the array. Exceptions must be approved by the IBM
Waiver Review Board. Two SRAM cells have been approved, See Section I.0, Standard and Dense SRAM Designs on page 538.
13. Five levels of metal (5LM) only offered with the LM or OL with LD metallization options (MA metallization does not have a 5LM
feature option). For all metallization schemes offered, see Section 2.6 , Mask Metallization Options on page 63
14. IBMs technology level qualifications have included polyimide final passivation, but IBM sees no intrinsic impact to the wafer
reliability failure rate for foundry customers who require wafers without polyimide. The customer is responsible however to evaluate
product and packaging reliability failure rates for wafers without polyimide.
The author, who is responsible for the organization and approval of this document, is Kevin Ogg.
The complete and current version of this document can be obtained from the author. Please contact your IBM
Product Engineer for assistance.
Superseded versions of this document will be retained by the document owner for the life of the program.
The final version of the document will be retained according to IBM corporate guidelines.
Approval records for the revisions listed in Document Change Approval, are available from the author.
Approvals for current versions will be retained indefinitely. Approvals for earlier versions will be retained for
no less than one year after they are superseded.
No hot carrier shifts result in loss of circuit function, timing skew, performance shift or increases in
standby current.
All circuits operate at the reliability screen conditions and/or at burn-in conditions (See Section 5.1 ,
Guidelines for Optimal Reliability on page 458)
Inside cover: Updated Version number to V 1.8.0.0 (Version Date: November 30, 2010) on page 2.
Section 1.1: Updated the required mask listing for the HiVT 3.3V NFET and the HiVT 3.3V PFET. Addi-
tional masks XE and DW were added to the NFET and additional mask XF was added to the PFET in List
of optional devices requiring additional masks: on page 8
Section 1.1: Added the following information to clarify that Thick Oxide PCDCAP and Thick Oxide MOS
Varactor can be used up to 3.3V. Maximum Thick Oxide MOS Varactor and PCDCAP Thick Oxide bias =
3.3V on page 9
Section 1.3: Modified two feature PNs in Table 1, Optional Features with Feature Part numbers, on
page 11, in support of updates to the HiVT 3.3V NFET and the HiVT 3.3V PFET. Additional masks XE
and DW were added to the NFET and additional mask XF was added to the PFET.
Updated the wording from Select Any to Select up to one for the MIM Capacitors options, on page 12.
Added new footnote (#9) for additional clarity on features selection for designs which contain both single
and dual MIMs.
Section 1.5: Updated approver list for Wafer Finishing (Bromont) and Kerf and Reticle Design to reflect
changes in personnel.
Section 1.5: Added date of approval information for each approver in section 1.5 , Document Change
Approval on page 16
Section 1.6: Added another row to the table in section 1.6 , Summary of Changes on page 17.
Section 2.2: Added layer $XYCOORD, PAD1, and %OUTLINE to Restricted Mask or Dummy Design and
Utility Levels on page 44, per request from Al Norris. The intent is to get these levels into the technology
mapfile for future testsite work.
Section 2.2: Added layers QQ and HQ to Restricted Mask or Dummy Design and Utility Levels on page
44, in support of RL09 on page 83.
Section 2.7: Modified truth table rows for devices HIVT 3.3V NFET on page 69 and HIVT 3.3V PFET 1 on
page 69.
Section 2.10: Updated the wirebond and C4 density rules in Table 19, Global Pattern Density Rules, on
page 87. PDDV on page 87, PDFV on page 87, and PDLV on page 87 now check for the intersection of
{DV, LV, FV} with the last metal for the appropriate area calculation. Also moved all appropriate footnotes
into the Notes column of the table.
Section 2.10: Updated rule names in section titled RX and PC General Pattern Density Requirements on
page 88. Rule names EPDL_RX, EPDG_PC, and EPDL_PC account for all of the changes.
Section 3.1: Updated rule classification for the following rules: 500 on page 133, 602 on page 141, 650a
on page 149, 655b on page 150, FT8 on page 168, and 990E1a on page 320. All rules were changed to
class a rules. Updated rule classification to d for the following recommended rules: LUP13aR on page
179 and LUP13bR on page 179.
Section 3.1: Added footnote to EPDL_RX to account for the 21% DRC checking cutpoint which is different
than the value listed in the DM.
Section 3.1: Added rule EPDL_PC to supersede rule 42aR for local PC pattern Density checking.
Changed the checking box size to reflect a relaxation to this check.
Section 3.1-3.8: Changed rule names from EPD_xx to EPDL_xx, where xx is the level name. EPD stands
for Estimated Pattern Density. EPDL stands for Estimated Pattern Density - Local and is a more accu-
rate description for the types of checking that will be done. Added additional information on exclusions
specific to these rules as well.
Section 3.1: Modified ground rule T3W594b on page 108 to include BB in the Substrate Contact Defini-
tion.
Section 3.1: Modified the wording for rules T3WQCAP24 on page 109, T3WQCAP24a on page 109,
T3WQCAP24b on page 109, and T3WQT8e on page 109 to specify that each MIM needs to be tied down
at the first wiring level above the MIM. For QCAP24 rules that is level E1. For QT8e rules that is level OL.
Section 3.8: Added new estimated pattern density checking rules for 1x Cu levels (M1-M6) which touch
(IND, IND_FILT, or BONDPAD). Rule EPDLi_M1 on page 137, EPDLi_M2 on page 143, EPDLi_M3 on
page 143, EPDLi_M4 on page 143, EPDLi_M5 on page 144, and rule EPDLi_M6 on page 144.
Section 3.8: Added new estimated pattern density checking rules for 2x Cu levels MQ and MG. Rule
EPDL_MQ on page 151 and rule EPDL_MG on page 152. Additional rule details found in the appendix:
Rule EPDL_MQ on page 573 and Rule EPDL_MG on page 574. Added new estimated pattern density
checking rules for 2x Cu levels MQ and MG which touch IND, IND_FILT, or BONDPAD. Rule EPDLi_MQ
on page 151 and rule EPDLi_MG on page 152.
Section 3.8: Added documentation for the QT exemption to rules EPDL_MQ on page 151, EPDLi_MQ on
page 151, EPDL_MG on page 152 and EPDLi_MG on page 152.
Section 3.8: Combined rules E2 and E3 into 1 rule. E3 was moved into a footnote for design rule E2 on
page 171.
Section 3.8: Updated design rule 782 on page 175 to include layers IND and BFMOAT.
Section 3.10: Updated the wording for rule LUP14TW on page 179.
Section 3.10: Added rule clarification note for LUP09a through LUP10b, with a specific ratio equation,
found in Section , Notes: on page 180.
Section 3.11: Add references to new rules ELUP01TW and ELUP01BTW in section JEDEC JESD78
Latchup requirements on page 184.
Section 3.11: Added three new rules in Table 60, External Latchup Rules, on page 184: ELUP00 on
page 184, ELUP01TW on page 188, and ELUP01BTW on page 188. Modified the wording for rules
ELUP01 on page 184 through ELUP10B on page 187.
Section 3.12: Deleted the following ESD rules. ESD02a on page 192, ESD03a on page 192, ESD04 on
page 192, ESD04a on page 193, ESD05 on page 193, ESD06 on page 193, ESD06a on page 193,
ESD06b on page 193, ESD06c on page 193, ESD06d on page 193, ESD06e on page 193, ESD06f on
page 193, ESD11a on page 193, ESD11bR on page 193, ESD11dR on page 193, ESD11eR on page
193, ESD12f on page 193, ESD12g on page 194, ESD15a on page 194, ESD15b on page 194,
ESD19aa on page 194, ESD19ab on page 194, ESD19ac on page 194, ESD31a on page 195, ESD31b
on page 195, ESD31c on page 195, ESD32a on page 195, ESD32b on page 195, ESD32bR on page
195, ESD32c on page 195, ESD33 on page 195, ESD34a on page 195, ESD34b on page 195, ESD34c
on page 195, ESD35a on page 195, ESD35b on page 195, ESD35c on page 195, ESD39 on page 195,
ESD40 on page 195. Updated the NOTES section by removing any references to the deleted rules.
Section 3.12: Modified rule ESD16 on page 194 by updating the resistance calculation. The equation
listed in the rule now includes end resistance in the calculation. Removed a referenced to rule ESD19
from the rule wording.
Section 3.12: Reworded rule ESD30 on page 195 per request from the IBM ESD team.
Section 3.12: Add definitions for HBM double diode and CDM resistor to clarify the details associated with
reworded rule ESD30 on page 195. Also added two footnotes to rule ESD30.
Section 3.20: Modified ground rule KX9 on page 225 to remove the level QQ. This change is driven by the
obsolescence of levels QQ and HQ.
Section 3.24: Modified rule XF10 on page 235 from XF within NW to XF must be within NW.
Section 3.25: Added rule JN03 on page 236. Updated rules JN04a on page 236 and JN04b on page 236.
Removed rules JN04aR on page 236, JN04bR on page 236, and JN09 on page 236 because they have
been superseded by pre-existing XE-specific rules.
Section 3.25: Added rule JP03 on page 236. Updated Rules JP04a on page 237 and JP04b on page 237.
Removed rules JP04aR on page 237, JP04bR on page 237, and JP09 on page 237 because they have
been superseded by pre-existing XF-specific rules.
Section 3.31: Renamed sub-sections within Section 3.31 , Metal-to-Metal (MIM) Capacitor Layout
Rules on page 251 to more clearly state which MIM types are available by BEOL metallization option.
Section 3.31: Modified the wording for rules QCAP24 on page 255, QCAP24a on page 255, QCAP24b on
page 255, and QT8e on page 260 to specify that each MIM needs to be tied down at the first wiring level
above the MIM. For QCAP24 rules that is level E1. For QT8e rules that is level OL.
Section 3.31: Modified the wording of rule KT7 on page 258 to be less ambiguous. Original wording was
HT not touching MIM_HK; Updated wording is HT touching MIM_NI.
Section 3.31: Created 2 new tables to list the metallization specific QT/HT/KT specific rules. Table 92,
QT and HT and KT Layout Rules for the OL with LD metallization, on page 260.
Section 3.35: Modified the maximum number of C4s allowed for all 4on8 and 4on9 designs to 9000 from
7100 in Table 100 on page 278, Table 101 on page 284, Table 102 on page 288, and Table 103 on
page 293.
Section 3.35: Created new rule LD913 on page 289 to eliminate the use of VV vias under C4 pads.
Voltage Coefficient in table Table 140, Resistor Design Specifications, on page 379 from 1.0 to 1.68.
dw (m) in table Table 141, Resistor Design Parameters, on page 382 from 0.44 to -0.02.
ksh, msh in table Table 143, Self-Heating Coefficients, on page 384 from 160, 0.63 to 120, -0.05.
respectively.
Section 4.27: Modified the value for Leakage @ -1V on page 406 for the Schottky Barrier Diode from
Nom=2V to Nom=0.1V. Also modified the Min, Nominal, and Max values for Leakage Coeff. on page 407.
Section 4.32: Updated moat resistance values, which were off by a factor of 10x. Changed all of the val-
ues in column Moat resistance (ohm-um) in Table 181, Moat Parameters, on page 430. Also modified
the following lines which are found above said table:
Section 4.34: Added one column to Table 186, Single Layer Inductor Design Specifications on
page 434. The pre-existing column was renamed to BF/M1 Standard Spiral and the new column was
named BF/M1 Symmetric Inductor.
Section 4.34: Added one column to Table 187, Parallel Stacked Inductor Design Specifications on
page 434. The pre-existing column was renamed to BF/M1 Parallel Spiral and the new column was
named BF/M1 Symmetric Inductor.
Section 4.39: Fixed a typo in Section 4.39.10 , VMAX for Hi-K MIM (for OL with LD Metallization Option)
on page 456. Changes the subscripts from VHQ and VQQ to VHK and VQK respectively.
Section 5.3: Changed two headings to be inclusive of the Thick Oxide 3.3V devices:
Section , Non-conducting hot carriers: Regular I/O Thick Oxide (DG, 52A) and Thick Oxide 3.3V I/O
N-channel Devices on page 469
Section , Non-conducting hot carriers: Regular I/O Thick Oxide (DG) and Thick Oxide 3.3V I/O
P-channel Devices on page 476
Section 7.3: Added Section 7.3 , ESD Schematic level checks on page 524.
Section Q.1: Updated three PCF check rules. PD2a on page 562 has an updated checking box size
(400m) and stepping size (200m). PD5c, on page 563 and PD5g 6, on page 563 both have new foot-
notes which require that failing boxes must touch another failing box in order to be reported.
Section Q.1: Updated section heading and table headings from Predictive to Estimated. Section Q.1.1,
Estimated Pattern Density Generation on page 565. Table 247, Estimated Pattern Density Rules, on
page 565.
Section Q.1: Added new equations for rules EPDL_MQ on page 573 and Rule EPDL_MG on page 574.
Section Q.1: Modified the Current Practice column for rules DS581 & DS582 from 1.80 to 1.00.
Section Q.1: Added exclusion footnotes and updated rule names for rules EPDL_RX, EPDG_PC,
EPDL_Mx (where x=1,2,3,4,5,6,Q,G). Added new alternate checking rules (EPDLi_Mx where
x=1,2,3,4,5,6,Q,G) for boxes which touch IND, IND_FILT, or BONDPAD.
Section Q.1: Added PCING to the final equation used to calculate PC pattern density in rule EPDG_PC.
Polyimide
DV
Nitride
Oxide TD
TV
LM
VQ
MQ
VL
M4
V3
M3
V2
M2
V1
M1 M1
CA CA
salicide PC PC
RX Isolation RX
N-well
Figure 1. Cross Section of a 6 Level of Metal LM Last Metal Option (4 Thin Mx; x=1,2,3,4 and 2 Thick = MQ, LM) with
Wirebond Final Passivation (not drawn to scale).
Nitride LV (C4)
Polyimide
DV (wirebond)
Oxide
MA
F1 F1
E1
FT FT
LY LY
FY FY
MQ MQ
VL VL
M2 M2 M2
V1 salicide V1
M1 M1
salicide
CA CA
PC PC
RX Isolation RX
N-WELL
Figure 2. Cross Section of the 6 Level of Metal MA Last Metal Option (2 Thin Mx; x=1,2 and 1 Thick = MQ and RF Metal
= LY, E1, MA) with either DV or LV Final Passivation (not drawn to scale)
Nitride LV (C4)
Polyimide
DV (wirebond)
Oxide
LD
VV VV
OL
JT JT
MQ MQ
VL VL
M2 M2 M2
V1 salicide V1
M1 M1
salicide
CA CA
PC PC
RX Isolation RX
N-WELL
Figure 3. Cross Section of the 5 Level of Metal LD Last Metal Option (2 Thin Mx; x=1,2 and 1 Thick = MQ and RF Metal
= OL, LD) with either DV or LV Final Passivation (not drawn to scale)
Nitride LV (C4)
Polyimide
DV (wirebond)
Oxide
AM
FQ FQ
MQ MQ
VL VL
M5 M2 M5
V4 V4
M4 M2 M4
V3 V3
M3 M2 M3
V2 V2
M2 M2 M2
V1 salicide V1
M1 M1
salicide
CA CA
PC PC
RX Isolation RX
N-WELL
Figure 4. Cross Section of the 6 Level of Metal AM Last Metal Option (5 Thin Mx; x=1,2,3,4,5 and 1 Thick = MQ and RF
Metal = AM) with either DV or LV Final Passivation (not drawn to scale)
Appropriate metal and via levels must be submitted with CMOS8RF (CMRF8SF) designs as presented in
Section 2.6 , Mask Metallization Options on page 63.
.
GDSII GDSII
number type
2 0
0 0
3 0
4 0
GDSII GDSII
number type
12 3
12 31
12 1
12 30
PCFUS PC fuse
E
7 44
12 41
12 67
GDSII GDSII
number type
12 107
7 9
15 0/0/0
GDSII GDSII
number type
17 0/0/0
21 0/0/0
M5 M5 M5 dg/vdd/ Shape = fifth-level thin metal lines only for the LM or OL with
gnd 1 LD metallization options (See Table 11, LM last metal Back
End Of Line (BEOL) Metallization Options, on page 64 or
31 0/0/0 Table 13, OL with LD last metal Back End Of Line (BEOL)
Metallization Options, on page 66). Use is OPTIONAL.
GDSII GDSII
number type
M6 M6 M6 dg/vdd/ Shape = sixth-level thin metal lines only for the LM metalliza-
gnd 1 tion options (See section Table 11. , LM last metal Back End
Of Line (BEOL) Metallization Options on page 64). Use is
44 0/0/0 OPTIONAL
Kx, Kx, Kx dg Shape = BEOL Metal Resistor contacted using the VL via.
where where Only one Kx mask is used per chip design, where x = the last
x= thin (1x) metal level specified in the BEOL stack option per
x=2 12 130 Table 11 or Table 13. Use is OPTIONAL.
2,3,4,
5 or 6 x=3 12 131
x=4 12 132
x=5 12 133
x=6 12 134
VLBAR VLBAR dg 1 Shape = bar vias for connecting M2, M3, M4 to MQ for MA
metallization options (See section Table 12. , MA last metal
35 1 Back End Of Line (BEOL) Metallization Options on page 65)
or M3, M4, M5, M6 to MQ for the LM metallization options
(See section Table 11. , LM last metal Back End Of Line
(BEOL) Metallization Options on page 64).
34 0/0/0
GDSII GDSII
number type
65 0/0/0
GDSII GDSII
number type
GDSII GDSII
number type
LY LY LY dg/vdd/ Shape = Third to the last metal level before E1 and after MQ
gnd 1 or MG levels for MA metallization options (See Table 12, MA
last metal Back End Of Line (BEOL) Metallization Options,
42 1/1/1 on page 65).
HY HY HY dg Shape = Top plate for the Dual Aluminum MIM for MA metal-
lization options (See Table 12, MA last metal Back End Of
30 21 Line (BEOL) Metallization Options, on page 65). Use of HY
is OPTIONAL.
E1 E1 E1 dg/vdd/ Shape = Second to the last metal level before MA and after
gnd 1 LY for MA metallization options (See Table 12, MA last
metal Back End Of Line (BEOL) Metallization Options, on
83 0/0/0 page 65).
GDSII GDSII
number type
MA MA MA dg/vdd Shape = Last metal level for inductors and pad transfer for
gnd 1 MA metallization options (See Table 12, MA last metal Back
End Of Line (BEOL) Metallization Options, on page 65).
81 0/0/0
53 0/0/0
TD TD TD dg/vdd/ Shape = AlCu transfer pad from last wiring level to final pas-
gnd 1 sivation terminal opening required for C4 terminal pad con-
nections, for the LM metallization options (See Table 11, LM
36 0/0/0 last metal Back End Of Line (BEOL) Metallization Options,
on page 64).
TD is drawn for wirebond and automatically generated in by
Data Preparation for C4 Designs. Table 9, Shape Manipula-
tion Prior to Mask Write (for LM last metal), on page 62
GDSII GDSII
number type
2. CF is generated from CA for special SRAM designs. Contact your IBM Technical Representative for more information.
3. D1 is generated from M1 for special SRAM designs. Contact your IBM Technical Representative for more information.
4. VE is generated from V1 for special SRAM designs. Contact your IBM Technical Representative for more information.
The mask levels, identified in Table 3, Design Service and Data Preparation Levels (Restricted), on
page 37, are for IBM use only. Designers shall not specify new mask levels, nor use design levels, similar to
those identified in Table 3.
GDSII GDSII
number type
BU, PU, TU, UP, - - Reserved Level Names for masks not sup- Data Preparation
UT, DU ported in this technology
DPWAIVxx 2 - - Reserved Level for IBM use only, used for Dataprep
Dataprep layout checking (xx=any combi-
nation of alphanumeric characters)
FUSE 3,4 OUT- fuse Reserved Level name for un-supported Design Services
LINE feature in this technology
12 56
FL, FLBAR, F0, - - Reserved Level Name for masks or fea- Data Preparation
F0BAR, LB, tures not supported in this technology and Design Ser-
LBEXCLUD, vices
LBFILL,
LBTRANS, TT,
VVDUMMY
GDSII GDSII
number type
KERFEXCL 3,5 KER- dg Reserved Level name for IBM use only, Kerf Design
FEXCL associated with optical and alignment
structures in the manufacturing kerf. Used
101 250 to inhibit xxFILL generation inside certain
optical and alignment marks. KERFEXCL
is verified during DRC per Rule RL07a in
Table 18, Reserved Level Layout Rules,
on page 83 since it should not be present
in a production chip design except in the
IBM developed KERF (outside
CHIPEDGE)
LDFILL 3 LD fill Reserved Level Name. Used to fill empty Design Services,
space on the LD mask level to meet pat- Pattern Density
183 35 tern density requirements of the manufac-
turing process.
LMCHEXCL 3 LM CHEXC Placed over specific areas of the chip that LM Hole
L can not receive LM Hole shapes. Exclusion
24 47
LMFILL 3 LM fill Reserved Level Name. Used to fill empty Design Services,
space on the LM mask level to meet pat- Pattern Density
24 35 tern density requirements of the manufac-
turing process.
LMHOLE 3 LM HOLE Reserved Level for IBM use only. Used to LM Density
check the interior of wide LM wires to meet
24 1 the pattern density layout requirements of
the Copper manufacturing process.
LYFILL 3 LY fill Reserved Level Name. May be used to fill Design Services,
empty space on the LY mask level to meet Pattern Density
42 35 pattern density requirements of the manu-
facturing process.
GDSII GDSII
number type
MAFILL 3 MA fill Reserved Level Name. May be used to fill Design Services,
empty space on the MA mask level to Pattern Density
81 35 meet pattern density requirements of the
manufacturing process.
MxCHEXCL 3 Mx CHEXC Reserved Level for IBM use only. Placed Mx Hole Exclu-
L over specific areas of the chip that can not sion
receive Mx (x=1,2,3,4,5,6,Q,G) Hole
(x=1) 15 47 shapes.
(x=2) 17 47
(x=3) 19 47
(x=4) 21 47
(x=5) 31 47
(x=6) 44 47
(x=Q) 34 47
(x=G) 65 47
MxDUMHOL, - - Reserved Level for IBM use only. MxDUM- Mx Hole Exclu-
where x = HOL is not used in the technology. sion
1,2,3,4,5,6
GDSII GDSII
number type
(x=2) 17 2
(x=3) 19 2
(x=4) 21 2
(x=5) 31 2
(x=6) 44 2
(x=Q) 34 2
(x=G) 65 2
MxFILL 3 Mx fill Reserved Level Name. Used to fill empty Design Services,
space at the corresponding mask level Pattern Density
(x=1) 15 35 (first two letters or numbers in the Use
Level Name) to meet pattern density
(x=2) 17 35 requirements of the manufacturing pro-
cess.
(x=3) 19 35
(x=4) 21 35
(x=5) 31 35
(x=6) 44 35
(x=Q) 34 35
(x=G) 65 35
GDSII GDSII
number type
MxHOLE 3, Mx HOLE Reserved Level for IBM use only. Used to Mx Density
check the interior of wide Mx
(x=1) 15 1 (x=1,2,3,4,5,6,Q G) wires to meet the pat-
tern density layout requirements of the
(x=2) 17 1 Copper manufacturing process.
(x=3) 19 1
(x=4) 21 1
(x=5) 31 1
(x=6) 44 1
(x=Q) 34 1
(x=G) 65 1
OLEXCLUD 3 OL TRANS Reserved Level for IBM use only. Shape DRC/Design Ser-
Identifies Transmission Lines Fill Exclu- vices
140 2 sion
OLFILL 3 OL fill Reserved Level Name. Used to fill empty Design Services,
space on the OL mask level to meet pat- Pattern Density
140 35 tern density requirements of the manufac-
turing process.
GDSII GDSII
number type
14 45
(x=2) 18 51
(x=3) 20 51
(x=4) 22 51
(x=5) 32 51
(x=L) 35 51
(x=Q) 33 51
(x=G) 64 51
GDSII GDSII
number type
1. Unless otherwise specified, all levels in this table are not in the IBM Design Kit Technology File.
2. xx = any combination of one or two alphabetic characters representing the Design or Mask Level names identified in Table 2, Mask
and Design Level Definitions, on page 27.
4. For additional information on FUSE, see Rule RL07a in Table 18, Reserved Level Layout Rules, on page 83.
5. For additional information on KERFEXCL, see Table 4, KERF Dummy Design Levels (Restricted), on page 43 and Rule RL07a
in Table 18, Reserved Level Layout Rules, on page 83.
The levels, identified in Table 4, KERF Dummy Design Levels (Restricted), on page 43, are for IBM use
only. The levels in Table 4can not be used by designers. Designers shall not specify new mask levels similar
to those identified in Table 4.
KERFxxx1 Reserved Level for IBM use only, used in the Kerf Design
kerf design and merged during mask assembly.
KERFEXCL2 Reserved Level for IBM use only, associated Kerf Design
with optical and alignment structures in the man-
ufacturing kerf. Used to inhibit xxFILL genera-
tion inside certain optical and alignment marks.
KERFNUL Reserved Level for IBM use only, used in the Kerf Design
kerf design and merged during mask assembly
NEGMKS Reserved Level for IBM use only, used during Kerf Design and Mask
the auto kerf merge process during mask Merge
assembly of CN masks, associated with data
extremes.
POSMKS Reserved Level for IBM use only, used during Kerf Design and Mask
the auto kerf merge process during mask Merge
assembly of CP masks, associated with data
extremes.
FRAME Reserved Level for IBM use only, Reserved Kerf Design and Mask
Level, used during the auto kerf merge process Merge
during mask assembly of CP masks, associated
with shutter blade positioning.
1. xxx = any combination of one, two or three alpha-numerics.
2. For additional information on KERFEXCL, see Table 3, Design Service and Data Preparation Levels (Restricted),
on page 37 and Rule RL07a in Table 18, Reserved Level Layout Rules, on page 83.
The mask levels, identified in Table 5, Restricted Mask or Dummy Design and Utility Levels, on page 44,
are prohibited for use in a layout design. Designers shall not specify new mask levels, nor use design levels,
similar to those identified in Table 5.
GDSII GDSII
number type
(x=2) 17 89
(x=3) 19 89
(x=4) 21 89
(x=5) 31 89
(x=6) 44 89
GDSII GDSII
number type
%OUTLINE OUT- BOOK Test Site only
LINE
60 3
60 12
60 13
30 30
30 31
62 0
1. Unless otherwise specified, all levels in this table are included in the IBM Design Kit Technology File and verified in DRC as
prohibited. For additional information on all levels, except WVR, see Table 89, MIM Capacitor Rules (for MA BEOL
metallization only), on page 254.
AMESD1 AM esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking
53 60
AMEXCLUD AM exclude Reserved level for IBM use only. Shape Identifies DRC/Dat
Fill Exclusion areas aprep
53 2
BFMOAT BFMOA dg Used to block P-well and N-well implants from BFMOA
T BFMOAT regions to create regions of high resistive T device
path.
5 5
BFMOATIND BFMOA ind Used to identify the M1 ground plane inductor. DRC
T
5 4
BONDPAD2 PAD DEV Shape identifies Wirebond and C-4 pads which are DRC/
treated as devices and modeled. Modeling
41 25
C4LV C4 dg Shape identifies LV shapes which are C-4s and not DRC/
octagonal wirebond pads. Any LV not under C4LV Data
41 0 defaults to being checked to wirebond rules.
prep
CELLSNR CELL- dg Shape = marker level for SRAM layout rule check- DRC
SNR ing and denotes stepping periodicity of SRAM cells
in an array. Note to designers: When SRAM verifi-
63 103 cation checking is performed, there is checking that
RX not in the SRAM cell can not touch CELLSNR.
DIVPNP DI PNP Shape to identify 3-terminal diode device that also DRC/Mo
includes the DI dummy design and utility level. deling
12 236
E1ESD3 E1 esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking
83 60
E1EXCLUD E1 TRANS Reserved level for IBM use only. Shape Identifies DRC/Dat
Transmission Lines Fill Exclusion aprep
83 18
2 19
EFUSE OUT- efuse Electrical fuse marking layer used for BH genera- Datap-
LINE tion. rep
12 98
ESD_CDM ESD cdm Special level that is placed over ESD CDM struc- ESD
tures rules
12 88
ESD_CLAMP ESD clamp Placed over Big NFET of the RC-triggered power ESD
clamp structures connected to a power supply pad. rules
12 227
ESDIODE ESDIO dg Special level that is placed over ESD diodes. ESD
DE rules
62 71
ESDUMMY ESDU- dg Special level that is placed over the ESD pad struc- ESD
MMY ture. rules
63 36
ESD_STACK ESD stack Shape placed over the stacked devices (separate ESD
diffusions required for meeting ESD design rules). Rules
12 219
FINE_WB OUT- fine_wb Used to define fine-pitch terminal pad opening for DRC
LINE the LM BEOL only
12 191
GRLOGIC GR- dg Shape identifies structures that are exempt from DRC
LOGIC recommended or more stringent layout rule check-
ing. Intended for IBM use in Digital Library offerings.
6 34 See Rule 110 and 110a, 110R and 110aR, 269a,
385aR, 717a, 717a1, 737b, OP30R, OP31R,
DG110a
GUARDRNG OUT- guardrng Marking layer for the chip guard ring. DRC
LINE
12 71
IBLK IBLK dg Shape = BT mask generation block shape used for Datap-
T3 Isolation Well Isolation or T3 Well N-Band con- rep
212 37 tact.
INJECTOR_C INJEC- cde IBM Reserved level (do not use). Obsolete level External
DE TOR name formerly used over signal pads which require Latchup
ESD and latchup Rule checking. rules to
12 84 meet
CDE/HM
M
require-
ments
INJECTOR_J INJEC- jedec Special level placed over I/O structures connected External
EDEC TOR to a pad and used for checking Latchup
rules to
12 85 meet
JEDEC
require-
ments
IODUMMY IODUM dg IBM Reserved level (do not use). Obsolete level ESD and
MY name formerly over signal pads which require ESD Latchup
and latchup Rule checking rules
63 37
IND IND dg Special level that is placed over Inductor structures Induc-
to generate the BT and BF levels under the inductor tors
12 38 (see Table 7 and Table 8)
IND_FILT OUT- IND Shape identifies INDUCTOR [LM, MG, MQ] or [MA, DRC/
LINE- E1] or [LD, OL, MG, MQ] shapes unique to the Modeling
CMRF8SF technology, and are modeled and DRC
60 4 checked to additional rules.
JTESD_ JT esdf Placed over JT or JTBAR vias to enable area sum- ESD
FINGER ming. Rules/
141 62 DRC
LDESD LD esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking
183 60
LDESD_ LD esdf Placed over fingered metal to enable width sum- ESD
FINGER ming. Rules/
183 62 DRC
LDEXCLUD LD TRANS Reserved Level for IBM use only. Shape Identifies DRC/De
Transmission Lines Fill Exclusion sign Ser-
183 2 vices
LMESD LM esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking
24 60
LMESD_ LM esdf Placed over fingered metal to enable width sum- ESD
FINGER ming. Rules/
24 62 DRC
LMTRANS LM TRANS Reserved Level for IBM use only. Shape Identifies DRC/Dat
Transmission Lines Fill Exclusion aprep
24 18
LOGOBND LOGOB dg Placed over Product Labels to assist in DRC verifi- Labels
ND cation. See section 3.40 , Product Labels on
page 328
62 5
LOWCRNT OUT- LOWCRN Shape identifies FQ vias which only use 20% or Labels
LINE T less of the rated current. See Section 5.4 , Back
End Of Line (BEOL) Reliability Design Rules on
60 28 page 489 for current ratings and Rule AM1a
LYESD 3 LY esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking
42 60
LYESD_ LY esdf Placed over fingered metal to enable width sum- ESD
FINGER ming. Rules/
123 62 DRC
LYEXCLUD LY TRANS Reserved Level for IBM use only. Shape Identifies DRC/Dat
Transmission Lines Fill Exclusion aprep
42 18
MAESD 3 MA esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking.
81 60
MAEXCLUD MA TRANS Reserved Level for IBM use only. Shape Identifies DRC/Dat
Transmission Lines Fill Exclusion aprep
81 18
MULTI_CAP MULTI CAP Shape identifies multiplicity for MIMs and devices LVS
under MIMs.
60 27
MULTI MULTI DEV Shape identifies multiple devices which represent a LVS
single schematic symbol with a value greater than
60 25 one
MxESD Mx esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking.
(x=1) 15 60
(x=2) 17 60
(x=3) 19 60
(x=4) 21 60
(x=5) 31 60
(x=6) 44 60
(x=Q) 34 60
(x=G) 65 60
MxESD_ Mx esdf Placed over fingered metal to enable width sum- ESD
FINGER ming. Rules/
DRC
(x=1) 15 62
(x=2) 17 62
(x=3) 19 62
(x=4) 21 62
(x=5) 31 62
(x=6) 44 62
(x=Q) 34 62
(x=G) 65 62
(x=6) 44 18
(x=Q) 34 18
(x=G) 65 18
NOPLYMD NOPLY dg Shape identifies designs which use the no final Technol-
MD polyimide chip passivation. section 3.41 , No Poly- ogy Fea-
imide Final Passivation option on page 332 tures
212 136
NW_RES NW res Used to define N-well resistor. Also helps to prohibit LVS
RXFILL placement within the N-well resistor device
4 22 per Rule NWR06 (see Table 69, N-well Resistor
Layout Rules, on page 217).
OLESD OL esd Shape = Label that is used to identify pads used for DRC
ESD and Latchup Rule checking
140 60
OLESD_ OL esdf Placed over fingered metal to enable width sum- ESD
FINGER ming. Rules/
140 62 DRC
62 21
PCEXCLUD 5 PC exclude Placed over specific areas of the chip that can not PCFILL
receive PCFILL shapes, such as ESD/IO circuits. exclu-
7 2 sion.
PROBE OUT- probe Used to define tested wire-bond pads in the LM DRC
LINE BEOL options only.
12 62
RF_MODFILL RF_MO dg Marker Shape which identifies regions that receive Design
DFILL the reduced density fill during IBM design services. Services
212 183
RXEXCLUD5 RX exclude Placed over specific areas of the chip that can not RXFILL
receive RXFILL shapes, such as ESD/IO circuits exclu-
2 2 sion.
12 48
SCHKY OUT- SCHKY Shape identifies Schottky Barrier Diode devices. DRC,
LINE Datap-
rep
212 174
SILPCRES PC SILPCRE Marking layer for the silicided polysilicon resistor. DRC,
S Layer is used in data preparation to achieve p-type Datap-
doping for the polysilicon resistor. rep,
7 21 Design
Services
60 18
VNCAP8 VNCAP dg Marking layer for the vertical natural capacitor. Nor- DRC/LV
mal wiring is permitted above the last metal used for S
62 120 the capacitor for the back-end-of-line (BEOL) stack.
VNCAP_COU VNCAP count Marking layer for the vertical natural capacitor. It is LVS/DR
NT 8 used in design checking to indicate the number of C//Mod-
63 105 metal levels in the capacitor design. eling
VNCAP_Mx 8 Mx vncap Marking layer for the vertical natural capacitor. It is LVS/DR
used in design checking to validate correct capaci- C/Model-
(x=1) 15 80 tor construction. ing
(x=2) 17 80
(x=3) 19 80
(x=4) 21 80
(x=5) 31 80
(x=6) 44 80
VNCAP_PAR VNCAP parm Marking layer for vertical natural capacitor. It is LVS/DR
M8 used in design checking to indicate the capacitor C/Model-
63 104 starting metal level. ing
VTSENS VTS- dg Placed over (PC intersect RX). (PC intersect RX) Vt sensi-
ENS must be completely within VTSENS tivity
check-
12 52 ing.
(x=2) 18 62
(x=3) 20 62
(x=4) 22 62
(x=5) 32 62
(x=L) 35 62
(x=Q) 33 62
(x=G) 64 62
12 0
1. The levels are for labels only. For additional information, see Section 3.12.5 , Net Definitions for ESD and Latchup Verification on
page 201.
2. For additional information, see Table 111, Pad Model Rules for C4 and Wirebond with LM Metallization, on page 311 or Table 112,
Pad Model Rules for C4 and Wirebond with MA Metallization, on page 312.
4. OUTLINE [OUTLIN dg] is also used for IBM testsite macro outline definition.
5. For information on usage of RXEXCLUD and PCEXCLUD shapes, see section 2.9 , Important Design Guidelines on page 84. , and
see section 2.10 , Pattern Density Rules on page 87.
6. For additional information, see Rule 736a, 736a1, 736a2 and PBR19 in Table 63, ESDIODE Layout Rules, on page 203.
7. For additional information, see Table 83, Varactor Layout Rules, on page 237.
8. For additional information, see Table 93, Vertical Natural Capacitor Layout Rules, on page 263.
9. For additional information, see Table 25, ZVT NFET Layout Rules, on page 121.
GDSII GDSII
number type
11 0
BT BT dg Shape = blocks deep implant in both Nwell and Pwell regions of a chip
design. Regions of the chip design blocked from the deep implant are
12 55 identified by Dummy Design Levels {SCHKY, BFMOAT, ZEROVT,
IND_FILT, IND, (ESDIODE sized by +0.1m per edge} or Mask Levels
{(PI sized by +1.1m per edge), JD}
DW DW dg Shape = thick oxide gate device well implant area. Use is OPTIONAL3.
48 0
5 0
12 12
GDSII GDSII
number type
12 7
9 0
VI VI dg Shape = P+ implant area for HA Varactor. Use is OPTIONAL 3.
12 120
TD TD dg Shape = AlCu transfer pad from last wiring level to final passivation ter-
minal opening required for C4 terminal pad connections for the LM met-
36 0 allization options listed in Table 11 on page 64. TD is drawn for wirebond
and automatically generated in by Data Preparation for C4 Designs. See
also Table 9 on page 62.
TM TM dg Shape = area for plated terminal metal (C4 Plating) for both LM (see
Table 11 on page 64) and MA (see Table 12 on page 65) last metal
49 0 options. See also Table 9 on page 62 and Table 10 on page 63.
1. See Design Minimum values in Table 31, BP Layout Rules, on page 128 Some Design Levels also receive manipulation during
mask data preparation (DPREP). See section 2.5 , Level Generation and Design Preparation on page 59.
2. BN generations use BP shapes as designed and not the BP as generated in mask data preparation (DPREP).
3. For more information on optional Masks for Non-Design Levels, see Table 1, Optional Features with Feature Part numbers on
page 11 and Table 8, Shape Manipulation Prior to Mask Write on page 59.
BT BT = Union[ BB, BFMOAT, ZEROVT, (PI sized by +1.1um per edge), JD, IND_FILT, IND,
((ESDIODE) sized by +0.10), IBLK] Remove gaps 0.64, Remove slivers 0.64
NW1 NW = Union [ (NW not touching JD), (JD sized by -0.40um per edge), RN]
NV NV = Difference [ NV , NW ]
PV PV = Intersection [ PV, NW ]
BP gaps and notches are filled where the spacing is 0.34, the run length is 5.00, the
space to be filled is not touching RX or PC, and the space to be filled is 0.12 from
any RX or PC shape.
BN BN = DERIVEDBN
where,
DBP1 = BP
DBN1 = PD
DERIVEDBN = union {[DBP1 not touching union (PD,RP,JD)] , DBN1}
BN gaps are filled where the spacing is 0.34, the run length is 5.00, the net union of the
DERIVEDBN space to be filled is not touching RX or PC, and the space to be filled is
0.12 from any RX or PC shape.
VI VI = Intersection [BP,JD]
BH BH = DBH50
where
DBH01 = [Intersection (OP, PC)]
DBH02 = RX sized by +0.20
DBH10 = { Difference (DBH01, DBH02) sized by +0.12}
DBH25 = { [ PC(touching OP, over RR) ] sized by +0.12}
DBH26 = { [ PC(touching OP, over RP) ] sized by +0.12}
DBH40 = Union {BB, DG, NW, VAR, [ZEROVT sized by +0.52], JD, DBH10, DBH25,
DBH26, EFUSE, SILPCRES}
DBH50 = Remove slivers 0.38 for DBH40
PH PH = DPH2
where
DPH1 = {difference [intersection (OP, PC), (RX sized by +0.20)] sized by +0.12}
Slivers 0.38 are removed after DPH1 derivation
DPH2 = difference {NW, union (DG, VAR, RP, JD, SILPCRES, DPH1)}
M1 Data preparation for OPC, anchors, etc. Consult your IBM Technical Representative for
more detail.
M2 Data preparation for OPC, anchors, etc. Consult your IBM Technical Representative for
more detail.
M3 Data preparation for OPC, anchors, etc. Consult your IBM Technical Representative for
more detail.
M4 Data preparation for OPC, anchors, etc. Consult your IBM Technical Representative for
more detail.
M5 Data preparation for OPC, anchors, etc. Consult your IBM Technical Representative for
more detail.
M6 Data preparation for OPC, anchors, etc. Consult your IBM Technical Representative for
more detail.
MG Data preparation for anchors, etc. Consult your IBM Technical Representative for more
detail.
MQ Data preparation for anchors, etc. Consult your IBM Technical Representative for more
detail.
V1 Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
V2 Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
V3 Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
V4 Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
V5 Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
VL Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
VQ Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
VG Data preparation for VxHOLE. Consult your IBM Technical Representative for more detail.
1. Generated NW is not checked in DRC.
2. DE needs to be generated if ZVT or ZVT25 devices are used, even if no DG devices are present.
3. These keywords are listed to ensure inclusion of LYFILL, E1FILL or MAFILL (for the MA BEOL option only) or LDFILL, OLFILL (for
the OL with LD BEOL option only) in the KERF electrical macros.
2. The TD level is drawn for Wirebond connections rules and generated for C4 connection rules.
Table 10. Shape Manipulation Prior to Mask Write (for MA1 or OL with LD 2 last metals)
Table 11. LM last metal Back End Of Line (BEOL) Metallization Options
2. For Wirebond Final Passivation, See section 3.35.5 , Wirebond Terminals with LM (and TD) Last Metal Level on page 295.
3. For C4 Final Passivation, See section 3.35.1 , C4 Terminals with LM Last Metal on page 276.
4. Number 3 = (Mx, x = 1,2,3; Vx, x = 1,2,L); Number 4 = (Mx, x = 1,2,3,4; Vx, x = 1,2,3,L); Number 5 = (Mx, x= 1,2,3,4,5; Vx, x =
1,2,3,4,L); Number 6= (Mx, x = 1,2,3,4,5,6; Vx, x = 1,2,3,4,5,L);
5. Number 2 = (MQ, VQ, LM); Number 3 = (MQ, VQ, MG, VG, LM).
7. RF metal is also referred to as the Dual Metal [FY, LY, FT, E1, F1, MA] wiring option.
8. A VNCAP device is offered as a BEOL (MIM) capacitor. The VNCAP device does not require any additional mask levels, as it is
supported using the thin metal levels available for the Level of Metal option selected. The QY MIM or QY and HY MIM as well
as the QT and HT or KT MIMs are not supported for the LM metallization option.
Table 12. MA last metal Back End Of Line (BEOL) Metallization Options
3. A VNCAP device is also offered as a BEOL (MIM) capacitor. The VNCAP device does not require any additional mask levels, as it is
supported using the thin metal levels available for the Level of Metal option selected.
4. Design levels QT and HT or KT are not supported with the MA metallization options.
5. For Wirebond Final Passivation, See section 3.35.6 , Wirebond with MA Last Metal on page 302.
6. For C4 Final Passivation, See section 3.35.1 , C4 Terminals with LM Last Metal on page 276.
7. Number 2 = (Mx, x= 1,2; Vx, x=1,L); Number 3 = (Mx, x = 1,2,3; Vx, x=1,2,L); Number 4 = (Mx, x = 1,2,3,4; Vx, x = 1,2,3,L)
9. RF metal is also referred to as the Dual Metal [FY, LY, FT, E1, F1, MA] wiring option.
Table 13. OL with LD last metal Back End Of Line (BEOL) Metallization Options
2. The MIMs are located above the last thick copper metal level MQ (unless MG is present) or MG (if present) and below the JT via. The
QT MIM bottom plate design level and the HT top MIM plate design level (for Hi-K or single Nitride MIM) or HT middle MIM plate design
level (for dual Nitride MIM) and KT top plate design level (for dual nitride MIM) are connected using JT vias and OL metal wiring. The
QK and HK mask levels are required when the Hi-K MIM feature is selected and are generated in data preparation from the QT and
HT design levels when they intersect the MIM_HK utility level. QT and HT mask levels are both required when the Single nitride MIM
feature is selected. QT, HT and KT mask levels are all required when the Dual nitride MIM feature is selected.
3. A VNCAP device is also offered as a BEOL (MIM) capacitor. The VNCAP device does not require any additional mask levels, as it is
supported using the thin metal levels available for the Level of Metal option selected. The QY, HY MIM is not supported in the (OL with
LD) or LM metallization options.
4. For Wirebond Final Passivation, See section 3.35.8 , Wirebond for LD Last Metal (with OL wiring) on page 304.
5. For C4 Final Passivation, See section 3.35.3 , C4 Terminals for LD Last Metal on page 286.
6. Number 2 = (Mx, x= 1,2; Vx, x=1,L); Number 3 = (Mx, x = 1,2,3; Vx, x=1,2,L); Number 4 = (Mx, x = 1,2,3,4; Vx, x = 1,2,3,L), Number
5 = (Mx, x = 1,2,3,4,5; Vx, x = 1,2,3,4,L)
8. RF metal is a thick copper [OL] and thick aluminum [LD] wiring option. JT is the via between the last 2x copper and thick copper
OL wire, and VV is the via between OL second to the last metal and LD last metal.
Table 14. AM last metal Back End Of Line (BEOL) Metallization Options
2. A VNCAP device is also offered as a BEOL (MIM) capacitor. The VNCAP device does not require any additional mask levels, as it is
supported using the thin metal levels available for the Level of Metal option selected.
3. For Wirebond Final Passivation, See section 3.35.9 , Wirebond with AM Last Metal on page 307.
4. For C4 Final Passivation, See section 3.35.4 , C4 Terminals with AM Last Metal on page 291.
5. Number 5 = (Mx, x = 1,2,3,4,5; Vx, x = 1,2,3,4,L)
6. Number 1 = (MQ)
The structures in this table are the only structures permitted in this technology. Use of any other structure
requires prior approval in writing from the IBM Technical Representative.
In addition to the levels in the Truth Table the Identification level PD is required on the RR poly resistor. IND
is required on the Mx (x=1,2,3,4,5,6) Inductors (with the LM as the last metal level). IND or IND_FILT is
required on LM Inductors. IND_FILT is required on MA Inductors. IND_FILT is required for OL or LD induc-
tors.
Note: Design Levels XW, LW, NV, PV are manipulated by Section 2.5 , Level Generation and Design Prep-
aration on page 59. However, the Design Level entries in the truth table are accurate for the output after
Level Generation and Design Preparation is applied.
Note: Structures or Design or Derived Levels shaded in Gray are not supported or offered in the CMRF8SF
technology at this time.
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
T3 over 1 0 0 0 0 1 0 0 0 0 0 0 x 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 0
IBLK Well
Isolation
or T3 Well
N-band
contact
T3 Isola- 1 x 0 0 0 0 0 0 x 0 x x x 0 0 0 x 0 x x x x x 0 x 0 0 0 0 0 0 x
tion Pwell
T3 Isola- 1 x 0 0 0 1 x 0 0 x 0 x x 0 0 0 0 x x x x x x 0 x 1 x x 1 1 0 x
tion Nwell
not over
IBLK
T3 Isola- 1 1 0 0 0 0 x 0 x x x x 0 0 0 0 x x 1 0 0 0 0 0 x 0 x x 0 0 0 1
tion Pwell
Contact
T3 Isola- 1 1 0 0 0 1 x 0 0 x 0 x 0 0 0 0 0 x 0 0 0 0 0 0 x 1 x x 1 1 0 0
tion Well
Internal
NW Con-
tact
T3 Isola- 0 1 0 0 0 1 x 0 x x x 0 0 0 0 0 x x 0 0 0 0 0 0 x 1 x x 1 1 0 0
tion Well
External
NW Con-
tact (same
as bulk
NW con-
tact)
T3 Isola- 1 1 0 0 0 0 x 0 x x x x 0 0 0 0 x x 0 0 0 0 x 0 x 0 x x 0 0 0 0
tion Well
N+ junc-
tion
T3 Isola- 1 1 0 0 0 1 x 0 x x x x 0 0 0 0 x x 1 0 0 0 x 0 x 1 x x 1 1 0 1
tion Well
P+ junc-
tion
Regular x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
NFET
Regular x 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 1 0 1
PFET
Low x 1 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Power
(LP)
NFET
Low x 1 0 0 0 1 0 0 0 1 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 1 0 1
Power
(LP) PFET
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
LVT NFET x 1 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
LVT PFET x 1 0 0 0 1 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 1 0 1
ZVT Thin 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 0 0
NFET
ZVT Thick 0 1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 0 0
NFET
Thick x 1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 0 0 0 0
NFET25
Thick x 1 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 1 0 1
PFET25 1
Thick x 1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0
NFET33
Thick x 1 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 0 1 0 1
PFET33 1
HIVT 3.3V x 1 0 0 0 0 0 0 0 0 0 1 1 0 1 0 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0
NFET
HIVT 3.3V x 1 0 0 0 1 0 0 0 0 0 1 1 0 0 1 0 1 1 0 0 0 0 0 0 1 0 0 0 1 0 1
PFET 1
Thin Triple 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
Well
NFET
over PI
Thick Tri- 0 1 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 0 1 0 1 0 0
ple Well
NFET
over PI
PI Triple 0 x 0 0 0 1 0 1 0 0 0 x x 0 0 0 0 0 x 0 0 0 0 1 x P 0 x 0 1 0 x
Well Isola-
tion2
PI Triple 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 1
Well Con-
tact
HAVarac- 0 1 0 0 0 G 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 1 1 0
tor / Diff
HAVAR
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
N+ Junc- x 1 0 0 0 0 x 0 x x x x 0 x x x x x 0 0 0 0 x 0 x x 0 x 0 0 0 0
tion
Substrate 0 1 0 0 0 0 x 0 x x x x 0 0 x x x x 1 0 0 0 0 0 x x 0 x 0 0 0 1
Contact
P+ Junc- x 1 0 0 0 1 x 0 x x x x 0 x x x x x 1 0 0 0 x 0 x 1 x 0 x 1 0 1
tion3
N-well x 1 0 0 0 1 x 0 x x x x 0 0 x x x x 0 0 0 0 0 0 x 1 x 0 x 1 0 0
Contact
DI Diode x 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 1 0 1
SBD 0 1 1 1 1 G 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 P
Electronic x 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 x 0 0 1 0 0 0 0 0 1
Fuse
OP N+ dif- x 1 0 0 0 0 0 0 0 x x 0 0 0 x x x x 0 0 0 0 1 0 x 0 0 0 0 0 0 0
fusion
resistor
OP N+ dif- 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 x 0 0 0 0 1 0 0
fusion
resistor,PI
OP P+ x 0 0 0 0 x x x x x x 0 1 0 x x x x 1 0 0 0 1 0 x 1 0 0 0 x 0 1
poly resis-
tor
OP P+ x 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 1
poly resis- 4
tor
(over_NW
)
PC P+ 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1
poly resis-
tor
(over_BF
MOAT)
OP RR x 0 0 0 0 x 0 x 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 0 0 1 0 0 0 x 0 1
PC poly
resistor
OP RR x 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 0 0 1 0 0 0 1 0 1
PC poly
resistor
(over_NW
)4
OP RR 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 1 0 1 0 0 0 1 0 1
PC poly
resistor
(over_BF
MOAT)
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
OP RP PC x 0 0 0 0 x 0 x 0 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 x 0 0
poly resis-
tor
OP RP PC x 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 1 0 0
poly resis-
tor
(over_NW
)5
OP RP PC 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 1 0 0
poly resis-
tor
(over_BF
MOAT)
N-well 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 0 0
Resistor
Silicided x 0 0 0 0 x 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0
PC resis-
tor
L1 BEOL x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
resistor
Kx BEOL x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
resistor
(x= 2,3,4,5
or 6)
PCDCAP x 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0
Thin
Oxide /
Varactor
Thin
Oxide/ Diff
NCAP
PCDCAP x 1 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0
Thick
Oxide /
Varactor
Thick
Oxide
Hi-K MIM x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
(QT, HT)
Single x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
Nitride
MIM
(QT, HT)
Dual x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
Nitride
MIM (QT,
HT, KT)
Single HP x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
MIM (QY)
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
Dual HP x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
MIM (QY,
HY)
VNCAP x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
Bondpad 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
(WB,LD,
BFMOAT
or M1)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(WB,LD,
PC)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(WB,LD,
RX)
Bondpad 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
(C4,LD,
BFMOAT
or M1)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(C4,LD,
RX)
Bondpad 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
(WB,LM,
BFMOAT
or M1)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(WB,LM,
PC)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(WB,LM,
RX)
Bondpad 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
(C4,LM,
BFMOAT
or M1)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(C4,LM,
PC)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(C4,LM,
RX)
Bondpad 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
(WB,MA,
BFMOAT
or M1)
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(WB,MA,
PC)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(WB,MA,
RX)
Bondpad 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
(C4,MA,
BFMOAT
or M1)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(C4,MA,
PC)
Bondpad x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1
(C4,MA,
RX)
LD 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
Inductor
LD Induc- 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
tor (M1)
LM 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
Inductor
LM Induc- 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
tor (M1)
MA 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
Inductor
MA 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
Inductor
(M1)
AM 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
Inductor
LM 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
rfline
MA 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0
rfline
LM (sin- x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
glewire)
LM (cou- x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
pledwires)
LM (sin- x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
glecpw)
LM (cou- x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
pledcpw)
T R N R B N L P X P N D P J J J X X B P R R O B D B D D P B V B
3 X S N B W W I W V V G C D N P E F P D R P P T W H F E H F I N
MA x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
(sin-
glewire)
MA x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
(coupled-
wires)
MA (sin- x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
glecpw)
MA (cou- x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x
pledcpw)
Salicide x 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0
Blocked
ESD Reg-
ular NFET
Salicide x 1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 1 0 1 1 0 1 0 0 0 0
Blocked
Thick
(2.5V)
ESD
NFET
Salicide x 1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 0
Blocked
Thick
(3.3V)
ESD
NFET
Vertical 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 1
PNP not in
T3 isola-
tion well6
Vertical 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 1 0 1
PNP in T3
isolation
well7
N+/SX 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 P 0 0 P P 0 1
8
diode
N+/PW 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 P 0 0 P P 0 1
8
diode in
T3 isola-
tion well9
1. DE may be formed by dataprep outside the active device, where DE shapes = ((DG overlap past NW) not over BP). PH may be formed by dataprep
outside the active device, where PH shapes = NW(not over DG).
2. BH is generated for the NW isolation ring, but not under the active device.
3. Forward-biased junctions employed in bandgap reference circuits require an additional design level (DI) for model extraction and design rule
checking. See section 3.14 , Forward-Biased Diode Layout Rules on page 206. .
4. For P+ Poly and OP RR Poly resistors, PH is generated over the ends of these two resistors, where PC intersects difference [NW. DPH1], where
DPH1 is defined in the PH row in Table 8, Shape Manipulation Prior to Mask Write, on page 59 and represents the high resistance portion of the
device.
5. For the RP resistor, PH is not generated over the ends of the resistor as defined in the PH row in Table 8, Shape Manipulation Prior to Mask Write,
on page 59.
6. Also referred to as a P+/NW diode. See See section 3.12 , ESD Rules on page 190. and See section 3.13 , ESDIODE Layout Rules on
page 203. .
7. Also referred to as a P+/NW diode. This is a separate device because it does not use the ESDIODE utility level.
9. The N+/SX diode, when placed inside a T3 isolation well, effectively becomes a NPN physical device.
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
T3 over IBLK 1 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
Well Isola-
tion or T3
Well N-band
contact
T3 Isolation 0 0 0 0 0 0 x 0 0 x 0 0 0 0 x x x 0 x 0 x x x x x x x x x 0
Pwell
T3 Isolation 0 x x x 0 0 x 0 0 x 0 0 0 0 x x 0 0 0 1 x x x x x x x x x 0
Nwell not
over IBLK
T3 Isolation 0 0 0 0 0 0 x 0 0 x 0 0 c c x x 0 0 0 0 x x x x x x 0 x x 0
Pwell Con-
tact
T3 Isolation 0 x x 0 0 0 x 0 0 x 0 0 c c x x 0 0 0 x x x x x x x 0 x x 0
Well Internal
NW Contact
T3 Isolation 0 0 0 0 0 0 x 0 0 0 0 0 c c x x 0 0 0 x x x x x x x 0 x x 0
Well Exter-
nal NW Con-
tact (same as
bulk NW con-
tact)
T3 Isolation 0 0 0 0 0 0 x 0 0 0 0 0 c c x x 0 0 0 0 x x x x x x 0 x x 0
Well N+ junc-
tion
T3 Isolation 0 x x 0 0 0 x 0 0 x 0 0 c c x x 0 0 0 x x x x x x x 0 x x 0
Well P+ junc-
tion
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
Regular 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x x x x 0
NFET
Regular 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
PFET
LP NFET 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 x x x 0
LP PFET 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
LVT NFET 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 x x x 0
LVT PFET 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
ZVT Thin 0 0 0 0 0 1 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 x x x 0
NFET
ZVT Thick 0 0 0 0 0 1 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
NFET
Thick 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x x x x 0
NFET25
Thick 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
PFET25
Thick 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 x x x 0
NFET33
Thick 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
PFET33
HIVT 3.3V 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 x x x 0
NFET
HIVT 3.3V 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
PFET
Thin Triple 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 x x x x x 0 0 x x x 0
Well NFET
Thick Triple 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 x x x x x 0 0 x x x 0
Well NFET
Triple Well 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 x x x x x 0 0 x x x 0
Isolation
Triple Well 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 x x x x x 0 0 0 x x 0
Contact
HAVaractor / 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
Diff HAVAR
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
N+ Junction 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
Substrate 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
Contact
P+ Junction1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 x x x x x x x 0 x x 0
N-well Con- x 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 x x x x x x x 0 x x x
tact
SBD 0 0 0 0 1 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
DI Diode (2 0 1 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
terminal)
DI Diode (3 0 1 1 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
terminal)
Electronic 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 1 0 1 0 0 0 0 0 0 0 0 x x 0
Fuse
OP N+ diffu- 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x x x x 0
sion resistor
OP N+ diffu- 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x x x x 0
sion resistor,
PI
OP P+ poly 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
resistor
OP P+ poly 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
resistor
(over_NW)
PC P+ poly 0 0 0 0 0 0 0 1 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
resistor
(over_BFMO
AT)
OP RR PC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
poly resistor
OP RR PC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
poly resistor
(over_NW)
OP RR PC 0 0 0 0 0 0 0 1 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
poly resistor
(over_BFMO
AT)
OP RP PC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
poly resistor
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
OP RP PC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
poly resistor
(over_NW)
OP RP PC 0 0 0 0 0 0 0 1 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 0
poly resistor
(over_BFMO
AT)
N-well 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x x x 0 x x 1
Resistor
Silicided Pol- 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 1 0 0 x x x x x x 0 x x 0
ysilicon resis-
tor
L1 BEOL x x x x x x x x 0 0 0 0 0 0 0 0 x x x x x x x x x x x x x x
resistor
Kx BEOL x x x x x x x x 0 0 0 0 0 0 0 0 x x x x x x x x x x x x x x
resistor
(x=2,3,4,5 or
6)
PCDCAP 0 0 0 1 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
Thin Oxide
Varactor Thin
Oxide / Diff
NCAP
PCDCAP 0 0 0 1 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 0 0 0 x x 0
Thick Oxide /
Varactor
Thick Oxide
Hi-K MIM x x x x x x x x 0 0 0 0 0 0 0 0 x 0 x x x x x x x x x 1 0 x
(QT, HT)
Single Nitride x x x x x x x x 0 0 0 0 0 0 0 0 x 0 x x x x x x x x x 0 1 x
MIM
(QT, HT)
Dual Nitride x x x x x x x x 0 0 0 0 0 0 0 0 x 0 x x x x x x x x x 0 1 x
MIM (QT,
HT, KT)
Single HP x x x x x x x x 0 0 0 0 0 0 0 0 x 0 x x x x x x x x x 0 1 x
MIM (QY)
Dual HP MIM x x x x x x x x 0 0 0 0 0 0 0 0 x 0 x x x x x x x x x 0 1 x
(QY, HY)
VNCAP x x x x x x x x 0 0 0 0 0 0 0 0 x 0 x x 1 1 1 1 x x x x x x
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
Bondpad 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,LD,
BFMOAT or
M1)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,LD, PC)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,LD, RX)
Bondpad 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,LD,
BFMOAT or
M1)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,LD, PC)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,LD, RX)
Bondpad 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,LM,
BFMOAT or
M1)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,LM, PC)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,LM, RX)
Bondpad 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,LM,
BFMOAT or
M1)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,LM, PC)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,LM, RX)
Bondpad 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,MA,
BFMOAT or
M1)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,MA, PC)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(WB,MA, RX)
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
Bondpad 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,MA,
BFMOAT or
M1)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,MA, PC)
Bondpad 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(C4,MA, RX)
LD Inductor 0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
LD Inductor 0 0 0 0 0 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(M1)
LM Inductor 0 0 0 0 0 0 0 1 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
LM Inductor 0 0 0 0 0 0 0 1 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(M1)
MA Inductor 0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
MA Inductor 0 0 0 0 0 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
(M1)
AM Inductor 0 0 0 0 0 0 0 1 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0
LM rfline 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 x 0 0 0 0 0 0 0 x x 0
MA rfline 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 x 0 0 0 0 0 0 0 x x 0
LM x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
(singlewire)
LM x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
(coupled-
wires)
LM (sin- x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
glecpw)
LM (coupled- x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
cpw)
MA x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
(singlewire)
MA x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
(coupled-
wires)
I D D V S Z D B B B I I x x T x P S E E V V V V E E S M M N
B I I A C E i F F O N N x x R x C I F S N N N N S S B I I W
L V R H R o M M N D D _ _ A T F L U D C C C C D L M M
K P K O d O O D _ N R U P S I A A A A U D K _ _ _
N Y V e A A P C R S A S C E O P P P P M _
P T T T A F O F M N E R D _ _ _ M C H N R
I D I I L I S E E M P C Y D K I E
N L L I S S x A O M S
D T N R U
E M N
T
MA (sin- x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
glecpw
MA (coupled- x x x x x x 0 x 0 0 0 0 0 0 1 1 x 0 x x x x x x x x x x x x
cpw)
Salicide 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 1 1 1 x x 0
Blocked ESD
Regular
NFET2
Salicide 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 1 1 1 x x 0
Blocked
Thick (2.5V)
ESD NFET 2
Salicide 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 1 1 1 x x 0
Blocked
Thick (3.3V)
ESD NFET
Vertical 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 1 x x x x 1 1 0 x x 0
PNP3 not in
T3 isolation
well
Vertical P 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 1 x x x x 1 1 0 x x 0
PNP4 in T3
isolation well
N+/SX 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 1 1 0 x x 0
diode 2
N+/PW diode 0 0 0 0 0 0 0 0 0 0 0 0 0 0 x x 0 0 0 0 x x x x 1 1 0 x x 0
in T3 isola-
tion well 2
1. Forward-biased junctions employed in bandgap reference circuits require an additional design level (DI) for model extraction and design
rule checking. See section 3.14 , Forward-Biased Diode Layout Rules on page 206. .
2. ESDUMMY or ESD_CDM must be present. For more information see See section 3.12 , ESD Rules on page 190. .
3. ESDUMMY or ESD_CDM must be present, except when the Vertical PNP is used in for antiparallel circuit layout. For antiparallel circuit layout,
ESDUMMY or ESD_CDM design level is prohibited.
4. ESDUMMY or ESD_CDM must be present, except when the Vertical PNP is used in for antiparallel circuit layout. For antiparallel circuit layout,
ESDUMMY or ESD_CDM design level is prohibited.
1. Unless otherwise specified, the dummy design levels listed in this table are to be included in the IBM Design Kit technology file per
Table 3, Design Service and Data Preparation Levels (Restricted), on page 37, and are to be validated in DRC. For GL1, CDS or
GDSII information, see Table 3.
2. See related Rule TLR1 in Table 99, Transmission Line Rules, on page 276 which is also verified during DRC.
3. Rule not verified in DRC since these IBM reserved levels are not in the technology file.
4. IBM reserved levels. These levels are not used during IBM Data Preparation or Design Services. Rule is verified during DRC since
levels exist in the technology file.
5. These levels were used in support of the HiK MIM for the AM BEOL. This MIM is not qualified and support for these levels have
been dropped.
6. These levels are used in support of the 3.3V HiVt N/P-FETs. These fets are not qualified and support for these levels is restricted.
Contact your IBM Technical Representative for more information.
Dummy cells or half cells are often used to terminate arrays. These are images that are not electrically active,
but serve to create a consistent physical environment across all of the active cells. Both RX and PC photo
effects have been observed that make the last cells on the edges of the array behave differently than the rest.
The addition of these dummy images will mitigate these effects.
Dynamic Circuits
Dynamic circuits are sensitive to leakage currents which could come from many sources. The designers must
ensure the circuits will function at all worst-case leakage conditions. Please refer to Section 4.0 , Electrical
Parameters and Models on page 335 for detailed information.
Keep dynamic nodes away from any junction that gets forward-biased (at least 3X minimum spacing
rules). If the junction is forward biased by an input-output (I/O) signal, an N-well guardring is recom-
mended.
Forward-Biased Diodes
The use of forward biased diodes is restricted to the bandgap reference circuit described Section 4.26 , For-
ward-Biased Diode Device Models on page 404.
Narrow polysilicon (PC < 0.19 m wide) and diffusion lines (RX < 0.24 m wide) are highly susceptible to
defects which result in localized increases in the sheet resistance. The following design practices are strongly
recommended:
Narrow lines of polysilicon or diffusion must not be used in applications where DC voltage drops are
important.
Avoid chaining of gates.
Place contacts close to the end of the gate, preferably on both ends of the gate.
The length of narrow polysilicon or diffusion lines used for wiring should be kept to a minimum.
Leakage Sensitive Circuits
For circuits that are more sensitive to leakage than static logic designs, please see Section 4.0 , Electri-
cal Parameters and Models on page 335 for leakage-dictated design constraints.
If a No Polyimide Final Passivation Feature is used (see Table 1, Optional Features with Feature Part
numbers, on page 11) the Polyimide elimination is not accounted for in the device models. See section
3.41 , No Polyimide Final Passivation option on page 332 for layout definition and Note: on page 432
for inductor modeling impact.
Special consideration must be given when the design will interface to a voltage above 1.6V. See Section
4.39 , Mixed Voltage Interfaces on page 450.
Using redundant contacts and vias is strongly recommended to prevent contact open circuits in the
design. See the recommendations in General Rules at 100C/100K POH on page 491 for using contacts
and vias in wide lines.
The electromigration reliability in terms of minimum intersection area of M1/CA, M2/V1, and so forth,
must be verified as described in Section 5.4 , Back End Of Line (BEOL) Reliability Design Rules on
page 489. Verification is particularly important for designs that will be miniaturized in future technologies.
In order to meet the stringent across-chip line-width variation (ACLV) and chip mean variation require-
ments of the device channel length and to minimize planarization problems at subsequent levels, IBM has
added a local PC fill requirement, see Local PC Pattern Density Requirements on page 90. High local
PC density is caused by large areas of dense polysilicon (e.g. decoupling capacitors) that can degrade
the ACLV. See Section 4.19.1 , MOS varactor Design on page 390 for more information on spacing
from these type of structures.
The chip origin (x=0, y=0) must be placed at the lower left corner of the chip. CHIPEDGE must be
bounded at X=0 on the left side of the chip (not in the chamfer area), and bounded at Y=0 at the bottom
of the chip. See rule 999 in Table 114, Chip Guard Ring Rules, on page 319.
PDRX b 2,3,4 (Summed RX area across full chip) / (CHIPEDGE area) 25% 75%
PDPC b 2,5,6
(Summed PC area across full chip) / (CHIPEDGE area) 15% 30%
PDOL a 7 (Summed OL area across full chip) / (CHIPEDGE area) 27% 70%
PDVV a 7 (Summed {VV, VVBAR} area across full chip) / > 0% 10%
(CHIPEDGE area)
PDLD a 7 (Summed LD area across full chip) / (CHIPEDGE area) 27% 70%
PDLY a 8
(Summed LY area across full chip) / (CHIPEDGE area) 27% 70%
PDE1 a 8
(Summed E1area across full chip) / (CHIPEDGE area) 23% 70%
PDMA a 8
(Summed MA area across full chip) / (CHIPEDGE 27% 70%
area)
PDDV a 10,11 (Summed (DV intersect last metal) area across full 0% 20%
chip) / (CHIPEDGE area)
PDFV a 12 (Summed (FV intersect TD) area across full chip) / 0% 20%
(CHIPEDGE area)
PDLV a 13 (Summed (LV intersect last metal) area across full 0% 20%
chip) / (CHIPEDGE area)
1. These rules will be checked as part of the IBM release process.
4. The interpretation of the RX density value for the Design Maximum in the Global Pattern Density rule is for the drawn RX shapes in the
chip design. When feasible, it is recommended that designers avoid submitting designs to IBM close to the maximum RX global
pattern density limit.
5. The PC Design Min (minimum) Global Pattern Density rule is not checked in the Design Kit. IBM prefers that the PC minimum Global
Pattern Density is not checked by the customer, or attempted to be met by the customer, prior to submission of the chip design to
IBM. The PC minimum Global Pattern Density for the chip design will be verified by the IBM release team to this rule requirement after
IBM-generated PCFILL is applied to the chip design, which is part of the IBM release process. After IBM generated PCFILL is applied,
the PC minimum Global Pattern Density must be met as a requirement. Any chip design aspects that prohibit the PC Global Pattern
Density minimum from being met will require modification prior to final design submission. The PC maximum Global Density Rule is
checked in the Design Kit and should not be exceeded upon chip design submission to IBM.
6. The interpretation of the PC density value for the Design Maximum in this Global Pattern Density rule is for the drawn PC shapes in the
chip design, prior to the inclusion of IBM generated PCFILL. After the IBM release process is completed, (PC + IBM generated
PCFILL) may exceed this value. This effect is anticipated and is accounted for the Manufacturing Process assumptions.
7. Rules PDQT, PDOL, PDLD apply for the OL with LD metallization options.
8. Rules PDQY, PDLY, PDE1, PDMA apply for the MA metallization options.
11. For designs using the LM Metallization scheme PDDV should be interpreted as DV intersect TD since the TD layer is used for the
wirebond pad.
The CMRF8SF Shallow Trench Isolation manufacturing process requires that local RX density be at least
20% over a distance of 126 m (Primary Layout Rule EPDL_RX). This STI-specific process requirement can
be satisfied by inactive dummy shapes placed by the designer on the level RX. Although non-functional from
a circuit perspective, these added shapes must satisfy all of the design rules applicable to RX. Alternatively,
dummy shapes generated on the reserved level RXFILL (available from IBM Product Engineering as a part of
the standard Tape-out and Release process) will also satisfy the STI manufacturing process requirements,
and with potentially much less design effort.
If RXFILL programs are to be used, shapes must be added to mark all of the following structures for exclu-
- product label area: IBM will place RXFILL shapes within product label areas defined by the design
level LOGOBND. If designers seek to exclude RXFILL shapes from the product label area, draw
RXEXCLUD over the entire product label. The local RX density within such RXEXCLUD shapes must
satisfy the minimum local-RX-density (see Rule EPDL_RX in Table 247 on page 565). For additional
information on LOGOBND, see section 3.40 , Product Labels on page 328.
- other regions: in certain rare circumstances it may be beneficial to exclude RXFILL shapes from
other circuit regions; draw RXEXCLUD to cover such regions. The local RX density within such
RXEXCLUD shapes must satisfy the minimum local-RX-density (see Rule EPDL_RX in Table 247 on
page 565). Contact IBM Product Engineering for detailed guidelines.
N-well resistors. Draw RXEXCLUD over the body of all n-well resistors. See Rule NWR06 in
Table 69, N-well Resistor Layout Rules, on page 217.
If PCFILL programs are to be used, shapes must be added to mark all of the following structures for exclu-
sion from PCFILL:
- product label area: draw LOGOBND over the entire product label. See section 3.40 , Product
Labels on page 328.
- other regions: in certain rare circumstances it may be beneficial to exclude PCFILL shapes from
other circuit regions; draw PCEXCLUD to cover such regions. IBM recommends that the local PC
density within such PCEXCLUD shapes should still satisfy the minimum local-PC-density (Primary
Layout Rule EPDL_PC). Contact IBM Product Engineering for detailed guidelines.
If PCFILL generation is not performed by Product Engineering, the minimum recommended local-PC-density
(see Layout Rule EPDL_PC) is specified in Table 21, Polysilicon and Isolation Layout Rules, on page 95. If
PCFILL generation is performed by Product Engineering, Rule EPDL_PC is still recommended to be met
within every region. Contact your IBM technical representative for more guidelines.
The CMOS8RF (CMRF8SF) Copper manufacturing process requires several design constraints on the wiring
levels that are not necessary for Aluminum and Tungsten/Oxide based technologies. Specifically, local
regions of very-high or very-low metal pattern density are difficult to manufacture, as are very wide wires or
very wide regions of whitespace. Because of these constraints, IBM-generated Metal FILL and Metal HOLE
shapes are required for all CMOS8RF (CMRF8SF) designs. All portions of the chip except those specifically
enumerated below will receive Metal FILL and Metal HOLE shapes from IBM Product Engineering as a part
of the standard Tape-out and Release process.
Wide Copper Mx (x=1,2,3,4,5,6,Q,G) wires (structures) or LM wires (structures) that violate the spirit of the
wide line restrictions (see Rules 500b, 600b, 635b, or 690b) will receive special MxPLANE (x = 1,2,3,4,5,6,Q,
G) or LMPLANE level for 70% pattern density by IBM Design Services. For more guidelines, IBM Product
Engineering.
IBM-generated MxFILL shapes are small, electrically-isolated metal shapes on a staggered grid. They are
squares, three times as large as the minimum linewidth for that metal level. The closest approach of an
MxFILL shape to Mx is twice the minimum space for that metal level. See Table 244, xxFILL Rules, on
page 549.
IBM-generated MxHOLE shapes lie on the same staggered grid as MxFILL shapes, and are resolved at
Mask-write as small openings in the associated metal level. HOLE shapes are squares, two times as large as
the minimum linewidth for that metal level, and are only placed within wide metal. The closest approach of an
MxHOLE shape to the inside edge of an Mx line is twice the Mx minimum linewidth. HOLE shapes do not
obstruct single vias, single rows of vias or double rows of vias, and M1HOLE shapes do not obstruct CA or
CABAR shapes. MxHOLE shapes are allowed to touch or intersect a fraction of the vias directly above or
below Mx if those vias are redundant, as for example those in a dense array of vias contacting the same wide
metal above and below (See Figure 6). Typically 80% of the metal/via/metal intersect-area in such an array is
unobstructed by MxHOLE shapes. The via resistances specifications in Table 171, Corrected Linewidth for
Wires with HOLE Shapes, on page 419 are met for any collection of vias, even in the presence of IBM-gen-
erated HOLE shapes.
VxHOLE shapes are used to remove from the design those few redundant vias that are covered or
nearly-covered by a metal hole on the level immediately above.
The specified capacitance of the BEOL dielectric (4.31 Wiring Capacitance Models on page 421) and the
specified metal sheet resistance (Table 170, Conducting Film Thicknesses and Sheet Resistances at 25C,
on page 417 and Table 167, Capacitance Parameters for SBDI Diode, on page 410) anticipate the place-
ment of generated Fill and Hole shapes, and accurately reflect their effects on the measurable wiring resis-
tance and capacitance. Contact IBM Product Engineering for further details.
As MxFILL and MxHOLE shapes are generated for every design in CMOS8RF (CMRF8SF), shapes must be
added to mark all of the following specific structures for exclusion or modification:
Modelled Wirebond or C4 pads: draw BONDPAD to cover LM or MA or LD. See Section 3.35 , Ter-
minals, IO Pads, C4 and Wirebond on page 276. Drawing BONDPAD is not required for typical
non-modelled wirebond or C4 pads. No explicit MxEXCLUD shapes are required or recommended
for wirebond pads; all necessary exclude shapes are automatically generated in Design Services.
Chip guardring: draw GUARDRNG to cover the guardring structure. No explicit MxEXCLUD or
MxCHEXCL shapes are required or recommended for the Chip Guard Ring; all necessary exclude
shapes are automatically generated in Design Services. See Section 3.37 , Chip Guard Ring and
Chamfer on page 318.
Product Label area: draw LOGOBND over the entire product label. See Section 3.40 , Product
Labels on page 328.
Inductors: draw IND or IND_FILT (or both IND and IND_FILT) to cover the body of the inductor. See
Section 3.33 , Inductor Layout Rules on page 267.
RF Interconnect Line: See Section 3.34.1 , MA RF Interconnect Line Layout Rules on page 274 or
Section 3.34.2 , LM RF Interconnect Line Layout Rules on page 275. For MA rfline device: draw
MA_RFLINE. For LM rfline device: draw LM_RFLINE.
Transmission Lines: IBM uses TRANSMIS to cover the body of the transmission line. See TRANS-
MIS in Table 6, Dummy Design Levels and Utility Levels on page 46. The TRANSMIS shape
enables the use of xxEXCLUD (xx = LY, E1, MA) per Rules RL03a. Note that MxEXCLUD
(x=1,2,3,4,5,6,Q, G) or LMEXCLUD use is prohibited. Transmission line structures receive IBM
auto-generated MxFILL on the Mx (x=1,2,3,4,5,6,Q,G) or LM mask levels during the IBM release pro-
cess.
Kx BEOL Resistor (where x=2,3,4,5,6): IBM uses the Kx design level to prohibit MxFILL physically
under these devices. When Kx BEOL resistors are placed in a chip design, Rule EPDL_Mx, where
x=2,3,4,5,6(see Table 34, Mx (x=2,3,4,5, 6) Metal and Via Layout Rules on page 141) must be met
prior to design submission to IBM, and Rule PD4a (see Table 246, Pattern Density Rules (Post
Cheese and Fill) on page 562) must be met after IBM-generated Metal FILL is applied as a part of
the standard IBM Product Engineering Tape-out and Release process.
Note: MxEXCLUD or MxCHEXCL shapes are prohibited without prior approval of IBM Product Engineer-
ing, where Mx = 1,2,3,4,5,6,Q,G. LMEXCLUD or LMCHEXCL shapes are prohibited without prior
approval of IBM Product Engineering.
Additional Copper or Aluminum Pattern Density Layout Requirements (for non-LM last metal options)
The following rules describe additional layout rules to be met if the designer includes inactive metal shapes
prior to design submission to IBM. The inactive metal shapes drawn by the customer must be on the existing
metal drawing levels and can not be on the reserved levels xxFILL.
For further details on the IBM FILL-aware checking decks, or to have IBM fill the design in lieu of the designer
including inactive metal shapes, contact your IBM Product Engineering Representative.
F27c b 4, 5 Inactive {MA, LD, AM} area (m2) maximum per shape 100.0
1. Inactive xx (where xx = metal level name) identified in this table refers to electrically inactive shapes drawn by the designer on the
respective LD, OL, LY, E1 or MA design levels. xx does not refer to the xxFILL shapes designed on these levels that are reserved for
IBM use only.
2. Inactive LY shapes are checked as: LY not touching (FY or (FT or FTBAR) or TRANSMIS or LOGOBND)
3. Inactive E1 shapes are checked as: E1 not touching ((FT or FTBAR) or (F1 or F1BAR) or TRANSMIS or LOGOBND). Inactive OL
shapes are checked as: OL not touching ((JT or JTBAR) or (VV or VVBAR) or TRANSMIS or LOGOBND)].
4. Inactive MA shapes are checked as: MA not touching ((F1 or F1BAR) or (LV or LVDUMMY or DV) or TRANSMIS or MA_RFLINE or
LOGOBND). Inactive LD shapes are checked as: LD not touching ((VV or VVBAR) or (LV or LVDUMMY or DV) or TRANSMIS or
LOGOBND). Inactive AM shapes are checked as: AM not touching ((FQ or FQBAR) or (LV or LVDUMMY or DV) or TRANSMIS or
LOGOBND)
5. Inactive MA, AM, or shapes are only required to meet Global Pattern Density Requirements. There is no local pattern density
requirement for MA or AM.
110a b ((RX overlap past PC) not over GRLOGIC) (sili- 0.55 0.4699 0.065
cide width). This Rule is checked as outside
edge of PC to inside edge of RX except for float-
ing gate tie-downs. See one example in Figure
7, Isolation and Polysilicon Rules on page 103.
Note: Rule 110a only applies to RX (diffusion)
overlap past PC (gate edge) on the same FET.
110aR d ((RX overlap past PC) not over GRLOGIC) (sili- 0.680 0.5999 0.065
cide width)
This Rule is checked as outside edge of PC to
inside edge of RX except for floating gate
tie-down shapes.
Note: Rule 110aR only applies to RX (diffusion)
overlap past PC (gate edge) on the same FET.
111 b PC overlap past RX, when [(PC intersect RX) to 0.23 0.0845 0.068
RX corner 0.08 m] and [PC(END) area not
over RX <0.046m2].
112 b PC overlap past RX, when (PC intersect RX) to 0.28 0.0345 0.077
RX corner < 0.08 m.
114 c PC to RX corner, when RX corner and gate are 0.06 -0.007 0.074
on same FET.
114b c 9 PC to RX corner, when RX notch width < 0.28 0.10 -0.007 0.074
and RX corner and gate are on same FET.
114c c 9 PC to RX corner, when RX notch width < 0.24 0.15 -0.007 0.074
and RX corner and gate are on same FET.
115 c PC corner to RX, when gate corner and RX are 0.08 0.0255 0.067
on same FET; does NOT maintain constant Leff.
115R d PC corner to RX, when gate corner and RX are 0.24 0.1855 0.067
on same FET; for constant Leff.
119 c (PC vertex within RX) or (RX vertex within PC). 0.20 - -
131 b 12 Gates connected to Mx must meet the following ratio: { ( Mx area ) / [ ( PC over
RX ) area + ( 5 * ( diode diffusion area ) ) ] } 150. Where Mx = M1, M2, M3, M4,
M5, M6.
Gates connected to Mz must meet the following ratio: { ( Mz area ) / [ ( PC over
RX ) area + ( 2 * ( diode diffusion area ) ) ] } 150. Where Mz = MQ, MG, LM, LY,
E1, MA, OL, LD, AM.
See Rule 131f and see additional Rule 131g for (Gates touching DG) with metal-
lization options listed in Table 13 on page 66. See Rule 131f_Mx and Rule
131f_Mz for (Gates not touching DG).
131a b 13 Gates (Thick Oxide) connected to Vx must meet the following ratio: { ( Vx area )
/ [ ( ( PC over RX ) over DG ) area + ( 7.5 * ( diode diffusion area ) ) ] } 0.50 ,
where Vx = [union (V1, V1BAR) or union (V2, V2BAR) or union(V3, V3BAR) or
union (V4, V4BAR) or union (V5, V5BAR)].
Gates (Thick Oxide) connected to ViaZ must meet the following ratio: { ( ViaZ
area ) / [ ( ( PC over RX ) over DG ) area + ( 3.0 * ( diode diffusion area ) ) ] }
0.50, where ViaZ = [union (VL, VLBAR) or union (VQ, VQBAR) or union (VG,
VGBAR) or union (FY, FYBAR) or union (FT, FTBAR) or union (F1, F1BAR) or
union (JT, JTBAR) or union (VV, VVBAR) or union (FQ, FQBAR)].
131b b 13 Gates (Thin Oxide) connected to Vx must meet the following ratio: { ( Vx area ) /
[ ( ( PC over RX ) NOT over DG ) area + ( 7.5 * ( diode diffusion area ) ) ] }
10.00, where Vx = [union (V1, V1BAR) or union (V2, V2BAR) or union(V3,
V3BAR) or union (V4, V4BAR) or union (V5, V5BAR)].
Gates (Thin Oxide) connected to ViaZZ must meet the following ratio: { ( ViaZZ
area ) / [ ( ( PC over RX ) NOT over DG ) area + ( 3.0* ( diode diffusion area ) ) ]
} 10.00, where ViaZZ = [union (VL, VLBAR) or union (VQ, VQBAR) or union
(VG, VGBAR) or union (FY, FYBAR) or union (FT, FTBAR) or union (F1, F1BAR)
or union (JT, JTBAR) or union (VV, VVBAR) or union (FQ, FQBAR)].
131f b (Gates over DG) connected to (VL or VLBAR) must have a RX diode diffusion tie
down (see section 3.1.3 , Antenna Rules on page 113 for valid tiedown defini-
tion) at Mx or lower. Where Mx = M1, M2, M3, M4, M5, M6. See also Rule 131.
See additional Rule 131g for gates touching DG using only the metallization
options listed in Table 13 on page 66).
131f_Mx b 14 For metallization options identified in Table 12, MA last metal Back End Of Line
(BEOL) Metallization Options, on page 65 or Table 14, AM last metal Back End
Of Line (BEOL) Metallization Options, on page 67, (Gates not over DG) con-
nected to (VL or VLBAR) must have a RX diode diffusion tie down (see section
3.1.3 , Antenna Rules on page 113 for valid tiedown definition) at the last 1x
metal wiring level Mx or lower. Where Mx = M1, M2, M3, M4, M5, M6 (See also
Rule 131).
131f_Mz b For metallization options that include LM as the last metal, identified in Table 11,
LM last metal Back End Of Line (BEOL) Metallization Options, on page 64,
(Gates not over DG), that are connected to the via level below the LM wiring level
[(VQ or VQBAR) when MG is not present] or [(VG or VGBAR) when MG is
present] in a chip design, must have a RX diode diffusion tie-down (see section
3.1.3 , Antenna Rules on page 113 for valid tiedown definition) at the last 2x
metal wiring level Mz, where z = (MQ or MG) or lower Mx metal wiring level,
where Mx= M1, M2, M3, M4, M5, M6 or (MQ if MG is present). See also Rule
131.
For metallization options that include JT or JTBAR vias (LD as last metal), identi-
fied in Table 13, OL with LD last metal Back End Of Line (BEOL) Metallization
Options, on page 66, (Gates not over DG), that are connected to the (JT or
JTBAR) via level below the OL wiring level in a chip design, must have a RX
diode diffusion tie-down (see section 3.1.3 , Antenna Rules on page 113 for
valid tiedown definition) at the last 2x metal wiring level Mz, where z = (MQ or
MG) or lower Mx metal wiring level, where Mx= M1, M2, M3, M4, M5, M6 or (MQ
if MG is present). See also Rule 131.
131m1aR d (PC over RX) touching (QT or QY) is recommended to have a valid RX diode dif-
fusion tied-down (see section 3.1.3 , Antenna Rules on page 113 for valid
tiedown definition) at M1. This gate and mim plate interaction is not a net based
verified check. This rule verifies gates physically placed under MIMs in a chip
design.
131m1bR d ((PC over RX) not over GRLOGIC) is recommended to have a valid RX diode dif-
fusion tied-down (see section 3.1.3 , Antenna Rules on page 113 for valid
tiedown definition) at M1.
134 b Every (Nwell not over T3) containing a gate15 must satisfy either
there is a p+ diffusion in the Nwell that is connected to a n+ diode diffu-
sion outside of (Nwell or IND or IND_FILT or BB or BFMOAT or PI or JD).
there is a n+ Nwell contact connected to an n+ diode diffusion outside of
(Nwell or IND or IND_FILT or BB or BFMOAT or PI or JD) (this may be
satisfied by an RX image straddling the Nwell).
there is a n+ Nwell contact (in the Nwell) or p+ diffusion (in the Nwell)
connected to an valid substrate contact [(RX over BP) not over (Nwell or
PC or IND or IND_FILT or BB or BFMOAT or PI or JD)].
by the time M1 level is complete.
1. This measurement is a physical on-wafer dimension for both the Waf. Dim. column and the Tol. column.
2. In checking this local area requirement the checking box must be stepped in half box sized increments. When tiling steps over the chip
or cell boundary, move the tile back in bounds to satisfy this requirement. For tiles containing corner chamfers, corner density is
calculated based on the least enclosing rectangular shape. If chip will be filled by IBM Product Engineering as part of the release
process, designers are expected to meet this rule, including areas that will be prevented from receiving IBM generated RXFILL (i.e.,
within RXEXCLUD or PCEXCLUD if RXFILL and PCFILL run together using Design Services) prior to design submission. For regions
of RXEXCLUD that are smaller than the checking box in at least one direction, the RX density must satisfy the minimum specification
for the checking box, taking into account that the region of RXEXCLUD will not get any additional RXFILL to increase the RX density.
IBM will place RXFILL within (LOGOBND intersect CHIPEDGE). For RXFILL aspects within LOGOBND that is part of the IBM KERF
(LOGOBND not touching CHIPEDGE), refer to the applicable RXFILL rule in Table 244, xxFILL Rules, on page 549. Lastly, as stated
in the rule description, this rule is not applicable for checking boxes that touch PROTECT, as the PROTECT shape is outside the
CHIPEDGE.
3. This rule is intended to be equivalent to the checking that is performed per Rule PD1a, after IBM design services is completed during
the typical IBM release process (see Table 246, Pattern Density Rules (Post Cheese and Fill), on page 562). Graphical
representation of the individual RXFILL shapes is not provided, rather a summary of the predicted local density results. This rule is
included to predictively estimate the resulting RX local pattern density, inclusive of IBM added RXFILL, that will occur in the final chip
design, after IBM design services is applied, to assist designers with layout so that the RX local density requirements that is necessary
for Front-End-Of-Line manufacturability can be achieved.
5. The design kit uses the same design minimum value that is specified in this table for checking to this requirement. This check is not
required to include predictive RXFILL added during the IBM release process.
6. In checking this local area requirement the checking box must be stepped in half box sized increments. When tiling steps over the chip
or cell boundary, move the tile back in bounds to satisfy this requirement. For tiles containing corner chamfers, corner density is
calculated based on the least enclosing rectangular shape. If chip will be filled by IBM Product Engineering as part of the release
process, designers are expected to meet this rule in areas that will be prevented from receiving IBM generated PCFILL (i.e., within
PCEXCLUD or RXEXCLUD if RXFILL and PCFILL run together using Design Services) prior to design submission. For regions of
PCEXCLUD that are smaller than the checking box in at least one direction, the PC density must satisfy the minimum specification
for the checking box, taking into account that the region of PCEXCLUD will not get any additional PCFILL to increase the PC density.
Lastly, as stated in the rule description, this rule is not applicable for checking boxes that touch LOGOBND or PROTECT, as the
LOGOBND shape does not receive IBM auto-generated PCFILL and the PROTECT shape is outside the CHIPEDGE
7. This rule is intended to be equivalent to the checking that is performed per Rule PD2a, after IBM design services is completed during
the typical IBM release process (see Table 246, Pattern Density Rules (Post Cheese and Fill), on page 562). Graphical
representation of the individual PCFILL shapes is not provided, rather a summary of the predicted local density results. This rule is
included to predictively estimate the resulting PC local pattern density, inclusive of IBM added PCFILL, that will occur in the final chip
design, after IBM design services is applied, to assist designers with layout so that the PC local density requirements that is necessary
for Front-End-Of-Line manufacturability can be achieved.
8. The design kit uses the same design minimum value that is specified in this table for checking to this requirement. This check includes
predictive PCFILL added during the IBM release process.
9. Rule 114b and 114c are intended to protect against RX (space/notch) end shorting that travels perpendicular to the PC line direction. A
PC line traveling across a narrow RX notch (run perpendicular to the notch run - all or part way) should be subject to this rule. However,
(PC end that is coming into the RX notch) or (PC overlap past RX into the notch) are covered by other rules.
10. Rule 123a/b value is determined by Rule 120a/b. For additional information, contact your IBM technical representative.
11. Rule is waived for decoupling capacitors or varactors where (PC over RX) is covered by VAR. For additional information, see Rule
VAR29 in Table 83, Varactor Layout Rules on page 237.
12. For more information concerning these rules, including resistor pass through for gate tie-down, see section , Definitions on page 113.
Rules 130a and 131 and 131f, 131f_Mx, 131f_Mz, are intended to avoid gate oxide integrity degradation by polysilicon charging during
manufacturing. If PC is within 0.08 m (Rule 130b for PC of RX, PC may overlap RX and form a very large antenna. Therefore It is
highly recommended that ALL PC touching RX ({PC < Rule 130b from RX ) be connected to N+ or P+ junction prior to the MQ wiring
level. Circuits whose operation is critically dependent on threshold voltage control or matching should not have antennae without this
diode clamp. Note All gates are assumed to be analog gates and must be tied down to a diffusion before the MQ wiring level. Even if
gates are covered by GRLOGIC, or are logic gates, they are only assumed to be able to withstand the threshold voltage shift of the
metal antenna mentioned in Rule 131 if they are not in the same net as (Mx touching VL). However, gates tied to substrate contacts
do not need to meet the tiedown diffusion area requirements.
14. For MPW designs, this rule applies to the individual chip containing this MA metallization option only. For additional information, contact
your IBM Technical Representative.
15. In GR 134, the term gate also includes the PCDCAP/MOS varactor. All NWs containing ((PC intersect RX) must satisfy the
requirements specified.
111b
0.20 w/ 111b
114b,c
RX
PC PC RX
Note: IND or IND_FILT or BB or BFMOAT or (PI which is not tied down (see rule
TW134)) or JD) are not valid tie-downs, as these levels are prohibited in the T3
isolation well.
Note: See additional Rule T3W131g for gates touching DG using only the OL
metallization option.
T3W131f_Mx b For metallization options that include MA or AM as the last metal, ((Gates not
over DG) over T3) connected to (VL or VLBAR) must have a [((RX not over PC)
over T3) not touching {IBLK, (NW which is not tied down per T3W134)} diode
diffusion tie-down in its own T3 isolation well at the last 1x metal wiring level Mx
or lower. Where Mx = M1, M2, M3, M4, M5, M6 (See also Rule 131).
Note: IND or IND_FILT or BB or BFMOAT or (PI which is not tied down (see rule
TW134)) or JD) are not valid tie-downs, as these levels are prohibited in the T3
isolation well.
T3W131f_Mz b For metallization options that include LM as the last metal, ((Gates not over DG)
over T3), that are connected to the via level below the LM wiring level [(VQ or
VQBAR) when MG is not present] or [(VG or VGBAR) when MG is present] in a
chip design, must have a [((RX not over PC) over T3) not touching {IBLK, (NW
which is not tied down per T3W134)} diode diffusion tie-down in its own T3 iso-
lation well at the last 2x metal wiring level Mz, where z = (MQ or MG) or lower
Mx metal wiring level, where Mx= M1, M2, M3, M4, M5, M6 or (MQ if MG is
present). See also Rule 131.
For metallization options that include JT or JTBAR vias (LD as last metal),
((Gates not over DG) over T3), that are connected to the (JT or JTBAR) via level
below the OL wiring level in a chip design, must have a [((RX not over PC) over
T3) not touching {IBLK, (NW which is not tied down per T3W134)} diode diffu-
sion tie-down in its own T3 isolation well at the last 2x metal wiring level Mz,
where z = (MQ or MG) or lower Mx metal wiring level, where Mx= M1, M2, M3,
M4, M5, M6 or (MQ if MG is present). See also Rule 131.
2. P+ Junction over T3 = ((RX over BP) over NW) over (T3 not IBLK); NWell contact over T3= ((RX not over BP) over NW) over T3.
3. N+ Junction over T3 = (((RX not over BP) not touching SCHKY) not over NW) over (T3 not IBLK); T3 P-well contact= ((RX over BP) not
over NW) over T3
4. In case of large N+ diffusions, one requires to measure from the farthest N+ RX edge to the RX substrate contact.
5. P+ Junction touching DG over T3 = (((RX over DG) over BP) over NW) over (T3 not IBLK); NWell contact over T3= ((RX not over BP)
over NW) over T3.
6. N+ Junction touching DG over T3 = (((RX over DG) not over BP) not over NW) over (T3 not IBLK) ; T3 PWell contact= ((RX over BP)
not over NW) over T3
7. Only the current metal level is included in the metal area measurement.
8. Only (RX p+ junction not over PC) connected to (NW contact of the NW level in which the p+ junction resides) is included in the areas
measurement; any p+ junction note connected to the NW level in which it resides is ignored in the area measurement.
9. (NW not T3) and T3 are conductors, so two different NW contacts in the same NW level or two different T3 contacts in the same T3 level
are assumed to be shorted.
10. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
11. Only (RX n+ junctions not over PC, not over NW) connected to (T3 isolated well contact of the isolated pwell in which the n+ junction
resides) is included in the area measurement; any n+ junction not connected to the isolated pwell in which it resides is ignored in the
area measurement.
12. This rule requires all MIMs to be wired up to OL before being connected to other circuit nodes and then also requires the nodes
containing the MIM to be connected to a (RX not over {PC, OP, T3}) or to a ((RX over T3) not over NW). This ensures that all MIMs
electrically float until AFTER all RIE processing above QT as well as HT is completed and then are tied to RX.
T3W135a
T3W16
T3W17
T3W07b, c T3W06
[(RX not T3W07e
over BP) (RX RX
T3W07b over T3] over T3W07b
T3W07c BP) T3W07c
(RX N+
T3W04a Junction) T3W05
RX
IBLK [(RX not NW (touching T3)
over BP) T3W11
T3W10a over T3] (PC
T3W20 over RX P+ T3W10a
T3 Well T3 (RX NW
RX) Junction
Contact)
(not NW, NW
T3W07b T3W27b T3W07d
not IBLK
polygon) RX NW
CA
T3W07a T3W12
T3W27b T3
T3W04
T3W02 RX (or RX NW (PC over
Contact) or (RX RX)
T3 P+ Junction) [Gate]
T3 over
IBLK Well
Isolation
Contact
(PC over (RX over BP)
RX) T3W08 T3W19
T3W27b CA T3W10b
T3W25
T3W04 (RX not over (RX over
NW) T3W07c NW, over
T3 over)
IBLK) T3W09
T3W22 T3W09a
T3
T3W04a T3W09
T3W01 RX T3W09a RX over
T3W07b, T3W07c T3W09b BP
IBLK (Substrate
T3W21 Contact)
T3W24a
T3W24b T3W08
T3W07a T3W07a T3W07d
T3W07a T3W07d T3W07b
T3W07b T3W08
RX
(RX not (RX over BP)
over BP) P+ Junction T3W09b
T3W09b
Figure 8. T3 Isolated Well (Multiple T3 shapes)
T3W135a T3W07a
T3W07e
T3W16 T3W08 RX
T3W17 (RX over BP) or T3W09b
RX Substrate
Contact T3W07b
RX or N+
Junction
IBLK (over T3) RX N+
T3W07b NW
T3W24a Contact
T3W24b T3W07c RX
T3W07b
T3W07c T3W12
T3W27b
T3 Well T3W07b, c (PC over
(not NW, RX)
not IBLK (PC over
T3W09 T3 over
polygon) Substrate RX) T3W09a IBLK Well
Contact T3W27b T3W09b Isolation
T3W08 Contact
RX over IBLK CA
T3W19
T3W09 T3W10b
P+
Junction T3W09a T3W25
T3W04 (RX over BP)
T3W08 T3W08
(RX over
T3 BP)
T3W01
NW
Definitions
A floating gate device is any device where the PC (poly) shape
- touches RX (diffusion) and
- is NOT electrically connected to RX
The PC over RX area is defined as the gate oxide area.
For each PC shape intersecting RX, the poly antenna ratio is defined as the ratio of the total PC area to
the gate oxide area.
The metal antenna ratio is defined as the ratio of the metal area to the gate oxide area to which it is con-
nected at any level at which the gate has not yet been electrically connected to RX. Example: For gate
not electrically connected to RX at M2 (nor at M1), the M1 and M2 antenna ratios would be the M1 and
M2 area, respectively, divided by the gate oxide area of the gate to which the M1 and M2 are connected.
The antenna ratio defined is for individual level, not cumulative.
A valid tiedown RX diffusion is defined as ((RX not over PC) not touching (((NW not touching PI) which is
not tied down (see rule 134)) or IND or IND_FILT or BB or BFMOAT or (PI which is not tied down (see
rule TW134)) or JD). The following is a list of the valid tiedown devices: NFET source/drain (including
thick oxide and Zero Vt versions), N+ Diffused resistor, N+ junction in the substrate, Thin or Thick Triple
Well NFET source/drain in a tied down well (see rule TW134), PFET source/drain (including thick oxide
versions), P+ junction in a tied down NWell and substrate contact. Note: PFET pass-through not allowed
for tie-down net connectivity ((PC intersect RX) forming a gate breaks the net connectivity).
(RX over T3) is not a valid tie-down for any gate not touching T3.
(RX over PI) is not a valid tie-down for any gates over T3.
For diffused resistors with an aspect ratio (OP intersect RX) greater than or equal to 100:1, only the con-
tact area (RX not over OP) which is part of the net is a valid tiedown.
The connectivity net definition allows pass through for resistors which have an (OP intersect (RX or PC))
aspect ratio of less than 100:1. This limits the resistance of pass through resistors.
Rules
These rules are intended to avoid gate oxide integrity degradation by polysilicon charging. See Rules
130a, 130c, 131, 131a, 131b, 131c, 131f, 131f_Mx, 131f_Mz, and 131g.
Rule 130a - Poly antenna. Since prior to first metal level, each PC shape that intersects RX results in a
floating gate device, poly antennae larger than 100:1 are NOT ALLOWED.
Rule 130c - Poly perimeter intensive antenna. This rules restricts the total perimeter of poly antennas as
a function of gate oxide area.
Rule 131 - Metal antenna. Floating gate devices with metal antennae larger than 150:1 at any level are
NOT ALLOWED (each metal layer may have an antenna ratio of 150:1). Additional RX tie-down diode cri-
teria may also be required.
Thick Oxide gates in the same net as a VL or VLBAR via must meet Rules 131 and 131f.
Thin Oxide gates in the same net as a VL or VLBAR via must meet Rule 131 and 131f_Mx.
Thick Oxide Gates in the same net as a VL or VLBAR via must meet Rules 131, 131f and addi-
tional Rule 131g.
Thin oxide gates in the same net as JT, JTBAR vias must meet Rules 131 and 131f_Mz.
Thick Oxide Gates in the same net as a VL or VLBAR via must meet Rules 131 and 131f.
Thin oxide gates in the same net as the via below LM must meet Rules 131f and 131f_Mz.
Rules 131a, 131b and 131c - Contact and vias antennae. For active gates that are tied down by a diode,
these rules need to be followed to insure that the diode area is big enough to be able to clamp the voltage
low enough to avoid gate oxide damage.
Rule 134 - Nwell antenna rule. It is required that every NW is tied down by a n+/PW diode. No area spec-
ification exists. It is intended to prevent the Nwell potential from rising too high relative to the P-substrate
by providing the reverse biased leakage path from the n+/PW diode. This rules applies to both thin and
thick oxide devices. This rule also applies to n+ in Nwell devices (varactors and decoupling capacitors),
see section 4.19 , NCAP and DGNCAP Models on page 389.
Recommendations
It is recommended that all PC touching RX be connected to RX (N+ or P+ diffusion) by M1.
Circuits whose operation is critically dependent on threshold voltage control or matching should not have
antennae without a diode clamp. The diode area need to follow Rule 131 through Rule 134.
203b a 1 CA to CA space, for common run lengths 0m, 0.20 0.2050 0.045
corner to corner spacing.
207 b 3 CA (over RX) to adjacent PC; for PC width 0.10 0.0945 0.067
<0.13m.
252b a 4,7 NW to NW space - for same potential wells; this 0.70 0.000 0.208
usage is restricted to unbended common run
lengths 0.68m (Rule 250).
261 b RX N-well Contact overlap of NW, when overlap 0.33 0.5275 0.130
area is <0.13m2.
261f b RX N-well Contact overlap of NW, when overlap 0.20 0.3975 0.130
area is 0.13m2.
268a b 10 ((RX P+ Junction not over T3) to (RX N-well Con- 38.12 - -
tact not over T3) over NW for no latchup.
3. CA resistance tolerance depends on PC to CA distance and CA within PC , see Table 168, Contact Resistance, on page 416 and
Table 169, Via Resistance, on page 416.
4. These rules also check shapes internally generated in the DRC deck as defined in Table 8, Shape Manipulation Prior to Mask Write,
on page 59.
5. It is strongly recommended that shapes on levels involving pre-mask data preparation (DPREP) difference functions be placed at the
same cell nesting hierarchy in the design data. Examples of these levels are NW and DG; see Shape Manipulation Prior to Mask
Write on page 59.
7. The dummy level NWASP must be placed over (and fully covering) adjacent NW shapes at the same potential; place these shapes at
the lowest level of nesting possible for design rule checking. N-well regions using this rule may be electrically shorted together; hence,
RX shapes are not allowed between NW shapes spaced less than the value of Rule 252a apart.
9. The N+ and P+ junctions in these rules must include the gate area (RX) under the PC for these rules.
10. P+ Junction not over T3 = ((RX over BP) over NW) not over T3; NWell contact not over T3= ((RX not over BP) over NW) not over T3.
For blocking shape information see also Figure 11, on page 118
11. N+ Junction not over T3 = (((RX not over BP) not touching SCHKY) not over NW) not over T3;Substrate contact= ((RX over BP) not
over NW) not over T3
13. See also Rule 252b and the note requirement specified.
204
CA
209 207
PC
CA CA 208
203
203b
CA
CA
200 RX
RX RX
BP
Figure 11. Blocking shapes (NW,JD,BB,BFMOAT,IBLK) for 268 series of rules (268a, 268b1 {shown above},
DG268a1DG268a1, DG268b1, T3W268, T3W268b, T3WDG268, T3WDG268b.
GR 268b1
STI n+ p+
STI STI
P-well
NW NW
BP 252
261
RX (N+ P+
N-Well Junction
Junction) Contact
250
RX RX
269 353
262 260
PC
266 265
350
355 N+
Substrate Junction
Contact
352 RX
RX
BP BP
BP 354a
RX
NW sized by +0.30
354b 354b
NW
356b
Rules 354b and
356b apply in this RX
NW sized by -0.30
shaded area
356b
RX
356a BP
BP 354a
RX
1a b PC width over (RX over ZEROVT) for 0-Vt NFET device Leff. 0.42
1b b PC width over (RX over ZEROVT over DG) for 0-Vt NFET device Leff - 0.56
Thick Gate.
10a b (RX under PC) touching ZEROVT min gate width (for Zero-VT NFET 2.34
device Weff).
ZT1 b ZEROVT must overlap past gate on two opposite sides. 0.66
RX
288 284
ZT1 10a
RX 1a
289
PC
ZEROVT NW
294b b [(PC intersect RX) not within NW] within XW. 0.22
294bR d [(PC intersect RX) not within NW] within XW. 0.34
NV04b b (PC intersect RX) not within NW] within NV. 0.22
NV04bR d [(PC intersect RX) not within NW] within NV. 0.34
2. NR is an obsolete Design and Mask Level in the CMRF8SF technology. The LP NFET requires use of design and mask level NV. Design
and Mask Level NR is not used for the CMRF8SF Technology. See Table 2 on page 27 for NV and Table 3, Design Service and Data
Preparation Levels (Restricted), on page 37 for NR. For additional information on NV, see Table 8, Shape Manipulation Prior to Mask
Write on page 59.
PC PC
265 260
NW PV/LW
RX LW09/PV09
PC 260 265 PC
RX
PC 290
LW09/PV09
PV04b PV04a
LW04b LW04a
RX
RX
PC NW
PV/LW
RX 294a 294b
NV04a NV04b
RX 299b/NV09b
PC
LP or LVT NFET
NV/XW
NW
VTSENS01 c ((PC intersect RX) over VTSENS) to {NW, BB, IND_FILT, JD, 3.00
ZEROVT, BFMOAT, IND}.
VTSENS02 c (((PC intersect RX) over BP ) over VTSENS) within NW. 2.30
354a b 3 [RX Substrate Contact not over (NW sized by 0.00 0.0225 0.095
+0.30)] within BP.
354bR d [RX Substrate Contact over (NW sized by 0.14 0.1625 0.095
+0.30)] within BP.
356a b 4 [RX N-well Contact over (NW sized by -0.30)] to 0.00 0.0225 0.095
BP.
356bR d [RX N-well Contact not over (NW sized by 0.14 0.1625 0.095
-0.30)] to BP.
370 b 7 (PC over RX) to BP for no P+ in NFET gate. 0.25 0.2550 0.095
371 b 8 (PC over RX) within BP for no N+ in PFET gate. 0.25 0.2550 0.095
2. If a violation occurs where the RX is not fully within BP, then the Butted Junction rules apply. See Table 32, Butted Junction Layout
Rules, on page 131.
3. This rule does not need to be checked. When RX within BP < 0, butted junction rules apply. This rule clarifies that the coincidence of
BP and RX are allowed when Rule 354b does not apply.
4. This rule does not need to be checked. When RX to BP < 0, butted junction rules apply. This rule clarifies that the coincidence of BP
and RX are allowed when Rule 356b does not apply.
5. These rules are to check shapes internally generated in the DRC deck as defined in Table 8, Shape Manipulation Prior to Mask Write,
on page 59.
6. Wafer dimension bias 0.13m [ 0.88m to 1.01m, or 0.72m to 0.59m] specified is measured during lithography. Implant diffusion
bias is expected to be 0.1m (or approximately 0.03m [0.015m/edge] less than the lithography bias [ 0.88m to 0.98m, or 0.72m
to 0.62m]).
8. Exempt for BP edge of Butted N-well Contact; see rule 379. Space from butting BP inner edge of N-well contact to gate is given by rule
379.
BP
353
355
RX RX
371
PC 370 PC
371
(P+ Junction) (N+ Junction)
374 b (RX not over BP) over (NW sized by -0.08m) area 0.076 - -
(m2) (butted Nwell contact).
378 b (BP intersect RX) to adjacent [(PC intersect RX) not 0.24 0.1824 0.111
within BP] NFET gate to substrate contact space.
379 b (BP intersect RX) overlap past [(PC intersect RX) 0.24 0.1824 0.111
within BP] PFET gate to n-well contact space.
NW BP
379 378
260 265
CA CA CA CA
PC
CA CA CA CA
355
RX p+ junction n+ junction
RX
353
BP
506aR d M1 overlap past CA for two opposite sides. 0.06 -0.0205 0.069
550 a V1, V2, V3, V4, V5 width and length. 0.20 0.230 0.050
553b a Vx minimum space for runlength > 0m, were 0.28 0.25 0.050
x=1,2,3,4,5 on different nets.
571aR d 7 M1 overlap past V1 for two opposite sides. 0.06 -0.0074 0.067
594R d 8,9, 10, For nets connected to HA Varactor Cathode ((RX 0.20 - -
11 not over BP) over JD), where the cathode is not
connected to a substrate contact defined by ((RX
over BP) not over (NW or RN or BB or JD or PI
or T3)), the ratio of [ (20*Mx area) + (Non-iso-
lated p+ junction area ((((RX over BP) over NW)
not over PC) not over T3)) ] /
(union[NW,RN,PI,JD] area) where x=1,2,3,4,5,6.
(This check is for HA varactor well that is not
connected to a substrate contact, and p+ junc-
tions in the non-isolated substrate regions are
used to meet the ratio).
595 a 8, 10, For nets connected to ((RX over BP) over PI) 0.20 - -
11, 12 (Triple Well Pwell contact), the ratio of [ (20*Mx
area) + (Triple Well n+ junction area ((RX not
over (BP or JD or NW or RN or BB or PC)) over
PI) area ] / (union [(PI not over NW), (T3 not over
NW)] area) where x=1,2,3,4,5,6.
(This check is for the PI/T3 triple well (Pwell)
contact, and the PI/T3 N+ junction regions are
used to meet the ratio).
2. All expand rules, which determine the boundaries of the via arrays, are in um/edge and are derived from: (Vx expanded by (Vx -
0.01um)), the generated shapes are unioned, then shrunk ((-3.5 x Vx) + 0.01um). The space and notch to any other Vx union (same
expand and shrink as above) must be groundrule defined width.
3. See also Rule IND11 in Table 96, Inductor Layout Rules, on page 269 for the inductor layout rule guidelines for the OL with LD or MA
metallization options. VxBARs are allowed only in the LM metallization option inductors or the Chip Guard Ring. However, per Rule
IND11, VxBARs are not allowed for the OL with LD or MA inductors.
4. See also Rule IND11 in Table 96, Inductor Layout Rules, on page 269for the inductor layout rule guidelines and Rule TL6a in Table 97,
MA RF Interconnect Line Rules, on page 274 for the MA metallization option. VQBARs are allowed in the LM or OL with LD
metallization option inductors, the LM metallization option RF lines structures and the Chip Guard Ring. However, per the rules
mentioned, VQBARs are not allowed for the MA inductors or MA RF lines.
5. See also Rule IND11d in Table 96, Inductor Layout Rules, on page 269 for the inductor layout rule guidelines and Rule TL6a in Table 97,
MA RF Interconnect Line Rules, on page 274 for the MA metallization option. VGBARs are allowed in the LM metallization option
inductors, the LM metallization option RF lines structures and the Chip Guard Ring. However, per the rules mentioned, VGBARs are
not allowed for the LD or MA inductors or MA RF lines since MG is not a valid level in those metallization stack options.
6. See also Rule IND11 in Table 96, Inductor Layout Rules, on page 269 for the inductor layout rule guidelines for the MA metallization
options. VxBARs are allowed in the LM or LD metallization option inductors or the Chip Guard Ring. However, per Rule IND11,
VxBARs are not allowed for the MA inductors.
8. Only the current metal level is included in the metal area measurement.
9. Only (RX p+ junction not over PC) connected to (NW contact of the NW level in which the p+ junction resides) is included in the area
measurement; any p+ junction not connected to the NW level in which it resides is ignored in the area measurement.
10. (NW not PI) and PI are conductors, so two different NW contacts in the same NW level or two different PI contacts in the same PI level
are assumed to be shorted.
11. The connectivity net definition for these rules allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of
less than 100 to 1. This limits the resistance of pass through resistors.
12. Only (RX n+ junctions not over PC, not over NW) connected to (triple well contact of the isolated pwell in which the n+ junction resides)
is included in the area measurement; any n+ junction not connected to the isolated pwell in which it resides is ignored in the area
measurement.
553
V1 V1
553
V1 V1
V1
M1
V1 M1 V1 CA
M2
506a, 506ab
550
602
570,571b
571
M1 V1 571b M2
500 V2 V1
570,571 502
V1
V1 M1 CA
M1 M1
575
Clusters of min pitch Via arrays 0.38 apart will be unioned after expansion and treated as a larger array
(generated light gray shaded shape) which is measured against the size in the first line of Rule 551a, Rule
551b, Rule 551c description ( 0.40, 0.80, = 1.20) OR the Design Min column in GR 551d.
Example: Three 2X8 arrays spaced exactly equal to 0.38 apart are treated as a 6X8 array wider than
allowed by GR551d.
Figure 20. Example of 551d for 6 x 8 array Via Expansion, Union and Shrink
551a
600 a M2, M3, M4, M5, M6 width (minimum). 0.20 0.2000 0.060
610R d Vx must be within Mx, for at least 2 sides, 0.09 0.0934 0.078
preferably opposite sides, where x = 2-5.
610aR d Vx must be within Mx for two opposite sides, 0.00 0.0034 0.078
where x = 2-5.
623a b 6 (VL not over Kx) must be within the Mx metal 0.00 0.0284 0.083
below (x=2,3,4,5,6).
2. This local density requirement limits the stacked metal pattern (directly above and below) using an intersection methodology for
compatibility with available checking tools.
3. As further clarification for Rule 612: Redundant Vx vias can exist in any (Mx over M(x+1)) intersection under evaluation as long as the
redundant vias under consideration touch the wide metal intersection under consideration. To satisfy Rule 612, 612b, 612c, only one
via has to touch the wide metal intersection under consideration, while the remaining redundant vias, spaced according to the note
above and Figure 22, can be in any (Mx over M(x+1)) intersection, whether it is comprised of wide wires or thin wires, or any
combination thereof, that touch (or abut) the initial wide metal intersection, to satisfy this rule. It is preferred that all vias are placed in
the intersection where the wide metal is located, but it is not mandatory. See Figure 23 for one example layout.
5. As further clarification for Rule 612: A minimum of 2 vias (touching each other when sized by 0.30um per edge) must connect to the
same metal shapes above and below. See Figure 22.
6. See various BEOL metallization options under Table 11, LM last metal Back End Of Line (BEOL) Metallization Options, on page 64,
Table 12, MA last metal Back End Of Line (BEOL) Metallization Options, on page 65, and Table 13, OL with LD last metal Back
End Of Line (BEOL) Metallization Options, on page 66, and Table 14, AM last metal Back End Of Line (BEOL) Metallization Options,
on page 67.
M(x+1)
Figure 22. Redundant Via on Wide Line Rules (Mx=M1,M2,M3,M4,M5 and M(x+1) = M2, M3, M4, M5, M6)
Figure 24. Thin Metal Interconnect Rules (Mx=M1,M2,M3,M4,M5 and M(x+1) = M2, M3, M4, M5, M6)
600
550
553 Vx
Vx
610 Vx
611
Mx Mx
MQ MQ
692
690
620a
Mx 620b
623a
VL VL
622
Mx
VL
639b b LM to LM space (when at least one metal line is > 8.0 1.12 - -
m) for run length > 0.
639c b 2 LM to LM space (when at least one metal line is > 25.0 1.92 - -
m) for run length > 0.
2. The LM C4 pad oxide supports (holes), identified using LMDUMHOL, as shown in Figure 65, C4 Pad Design with LM pad (not drawn
to scale). on page 281 are excluded from this rule. For additional information on LMDUMHOL, see Table 6 on page 46.
LM LM
637
635
VQ
675
VG
676
VQ
VG
MQ, MG
650a a 1 TV Width (wafer bias shown is for small TV). 9.900 10.000 0.50
653a a TV to TV space (wafer bias shown is for small TV). 12.00 11.273 0.05
For MA metallization options, see also Table 12 on page 65 and Table 106 on page 302.
For the OL with LD metallization options, see also Table 13 on page 66 and Table 107 on
page 305.
For AM metallization options, see also Table 14 on page 67 and Table 108 on page 307.
See Table 13, OL with LD last metal Back End Of Line (BEOL) Metallization Options, on page 66 for the
allowable OL with LD BEOL metallization options that are related to the rules in Table 47. See also
Table 102, C4 Layout Rules (Active and Dummy for LD last metal level), on page 288 for additional LV lay-
out rules.
MG
690
MQ
692
675
676 VQ
VQ
695
693
MQ
Note: MxDUMHOL shapes that do not intersect the dummy design and utility level IND_FILT, when used for
OL or LD inductor layout, are prohibited.
For additional information on MxDUMHOL, where x = Q or G, that can only be used for the OL with LD metal-
lization options, see Table 6, Dummy Design Levels and Utility Levels on page 46, or Rule DS609h in
Table 245, xxHOLE Rules on page 558.
INDMG2a b 1,2 ((IND_FILT touching MG) touching {OL, LD}) must be within MGDUM- 0.0
HOL.
INDMGS3 b (MG over MGDUMHOL) space and notch if one MG wire is > 4.8m 1.5
wide.
INDMGS4 b (MG over MGDUMHOL) space and notch if one MG wire is > 6.0m 1.8
wide.
INDMGS5 b (MG over MGDUMHOL) space and notch if one MG wire is > 7.2m 2.0
wide.
INDMGS6 b (MG over MGDUMHOL) space and notch if one MG wire is > 8.0m 2.5
wide.
INDMGS7 b (MG over MGDUMHOL) space and notch if one MG wire is > 11.5m 3.5
wide.
INDMGS8 b (MG over MGDUMHOL) space and notch if one MG wire is > 14.0m 4.5
wide.
INDMGS9 b (MG over MGDUMHOL) space and notch if one MG wire is > 17.5m 5.0
wide.
1. The measured distance of MGDUMHOL not over IND_FILT, or IND_FILT within MGDUMHOL might not exactly match the specified
dimensions due to grid snapping ( grid times the square root of 2 tolerance).
2. Rule applies only to OL with LD metallization options that include MG. For additional information, see Table 13 on page 66.
INDMQ2a b 1 ((IND_FILT touching MQ) touching {OL, LD}) must be within MQDUM- 0.0
HOL.
INDMQS3 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 4.8m 1.5
wide.
INDMQS4 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 6.0m 1.8
wide.
INDMQS5 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 7.2m 2.0
wide.
INDMQS6 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 8.0m 2.5
wide.
INDMQS7 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 11.5m 3.5
wide.
INDMQS8 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 14.0m 4.5
wide.
INDMQS9 b (MQ over MQDUMHOL) space and notch if one MQ wire is > 17.5m 5.0
wide.
1. The measured distance of MQDUMHOL not over IND_FILT, or IND_FILT within MQDUMHOL might not exactly match the specified
dimensions due to grid snapping ( grid times the square root of 2 tolerance).
JA4 b (JT not touching QT) must be within the metal below
(that is MQ, when MG is not present; or MG, when MG
is present). (Note: JT must be within metal below, unless
used for contact to QT or HT or KT MIM capacitor 0.6 0.11 0.18
plates. See Rule QT8ae in Table 90, QT and HT and
KT Common Layout Rules, on page 257 for (JT touch-
ing UNION(QT,HT, KT)).
JA551a b Via Density Rules: Use this Via Density Algorithm: Expand all JT vias by 1.19
m/edge, union all touching shapes, and shrink all unioned shapes by 4.19
m/edge to get Result Shapes. WR means width of Result Shape and SR means 1)
space between any two Result Shapes and 2) notch width of a Result Shape. The
algorithm groups JT vias with space 2.38 m (SD, array defining spacing). Rules
JA551b through JA551e gives limits on WR and SR. See also Section 3.8.10 Via
Density and Via Array Design Guide for JT Vias on page 159.
OL1b b (OL not touching IND_FILT) maximum width. 25.0 25.0 0.26
OL2 b JT must be within metal above (that is, OL). 0.6 0.33 0.25
2. Non orthogonal widths can be checked to + or - 0.014 um from the groundrule value.
4. Rule is not required to be verified in DRC since its intent is presently verified in Rule JA1d1. Rule defined as a reminder for future feature
development only.
5. Checking boxes with < 10% OL pattern density must not adjoin or hit any other box (in 8 directions) with < 10% OL density.
Table 43. OL Thick Metal Wire Step-Space (Wire Spacing) Layout Rules
OLS1 b OL minimum space and notch if at least one OL wire is > 2.4 m. 1.5
OLS1R d OL minimum space and notch if at least one OL wire is > 1.2 m. 1.5
OLS2 b OL minimum space and notch if at least one OL wire is > 6.0 m. 1.8
OLS3 b OL minimum space and notch if at least one OL wire is > 7.2 m. 2.0
OLS4 b OL minimum space and notch if at least one OL wire is > 8.0 m. 2.5
OLS5 b OL minimum space and notch if at least one OL wire is > 11.5 m. 3.5
OLA1 b OL minimum enclosed area (m2) touching (OL with width > 8.0 m and
19.0
11.5 m).
OLA2 b OL minimum enclosed area (m2) touching (OL with width > 11.5 m and
37.0
14.0 m).
OLA3 b OL minimum enclosed area (m2) touching (OL with width > 14.0 m and
61.0
17.5 m).
OLA4 b OL minimum enclosed area (m2) touching (OL with width > 17.5 m and
91.0
21.0 m).
OLA5 b OL minimum enclosed area (m2) touching (OL with width > 21.0 m and
127.0
24.5 m).
OLA6 b OL minimum enclosed area (m2) touching (OL with width > 24.5 m and
169.0
30.0 m).
3.8.10 Via Density and Via Array Design Guide for JT Vias
Via density is controlled because JT is etched before OL. Via density is not calculated by the usual pattern
factor formula (area of vias in a sample region divided by total sample area). Rather it is calculated by a linear
algorithm based on the clustering and spacing of vias. The result is a range of via densities between 11% and
21% depending on the actual layout of vias. The algorithm is correlated with test results.
The algorithms are complicated, so via pattern density is difficult to verify during design without stopping to
run a computer checking program. This design guide tells how to design arrays of vias without having to run
the algorithm. Final checking should use the algorithm.
The via density algorithms and this array density design guide do not apply to bar vias JTBAR. They gener-
ally do not form arrays because bar vias have more restrictions on their use (restricted to inductors and chip
guard ring and have bigger spaces).
Table 45 on page 160 applies only to square JT vias. JT via array means any group of two or more JT vias
whose space is =< SD = 2.38. The rules for the two arrays do not interact. If an external via comes within SD
= 2.38 m of a via array or group being designed, then it must be considered part of the array or group. If any
internal spaces become greater than SD then the array splits up and must regroup.
Table 45. Via Array Design Guide for JT Vias - Simplest Method
2 2.38 No Comment
3 2.38 No Comment
4 6.00 No Comment
5 8.40 No Comment
6 8.40 only if tightly packed Tightly packed is defined as all internal via spaces are
minimum via space, per Rule JA7.
7 Not allowed 7 or more vias create a dense region that is too wide for
processing, if internal spacing is < SD = 2.38m.
Spread-out arrays (all internal space > SD) may be any
size.
JT JT JT JT JT
S external
S internal
JT JT JT JT JT
JT
Neighboring Via
Decide how big an array to design (how many vias across and down, the array span size).
Select the smaller array span, N (in number of vias in a row or column).
Decide on internal via space, Sinternal (between vias inside the array). (It may be uniform or an average
space along the row or column in step 2.) Select a internal via space value, Sint, that is within one of the
ranges in the table, between Sint lo and Sint hi.
In the table below, find the row corresponding to the desired N and Sinternal (Sint). The last column
gives, Sexternal (Sext), the minimum external space between the desired array and any other via, either
inside an array or isolated. (If the neighbor is a larger array, it will have its own external space require-
ment, which might be larger than for this array.)
Table 46 on page 162 applies for approximately regular dense arrays of JT square vias. Arrays designed
using this table are expected to pass the Via Density Algorithm. There might be exceptions. Final check
should be with the exact via density rule JA551. All dimensions are in microns.
Table 46. Via Array Design Guide for JT Vias - Space-Saver Method
1. Internal space Sint is the space between vias inside the array. SD is the dense via space, which determines when vias are
grouped by the via density algorithm. If Sint > SD = 2.38, then the array might change, becoming two arrays, requiring different
array rules. If all Sint > 2.38, then the dense array becomes a sparse array.
2. External space is the space between the outer vias of the array and a via outside the array. It is measured in x or y or both; not diagonal.
If Sect SD = 2.38, then the adjacent via becomes part of the array, changing the array size, and possibly requiring different array
rules.
This guide works with regular arrays and somewhat irregular arrays. A regular array has uniform internal via
spacing, Sint, and N vias across its width (i.e., N columns wide). Array width is the smaller of the two overall
dimensions of the array, as measured in microns along x and y design axes, independent of which direction
has more vias. (Usually, the long direction, or array length, has more vias, i.e. more rows.) This assumes the
array is oriented in the usual manner, with rows and columns of vias parallel to x and y.
An irregular array has variable internal spacing Sint, missing vias, staggered columns or rows, and other
deviations from a regular pattern. This guide might work with arrays that are not too irregular, and have the
general appearance of an array.
Under certain conditions the space from the array (outer vias) to a via outside the array, including to adjacent
arrays, must be greater than the minimum ground rule, S0, for space between vias. This is called the external
space, Sext. This guide shows how to determine Sext for a given array.
Via space requirement for Sparse Array: The only requirements are Sint > SD = 2.38 m and Sext > SD
= 0.38 m, when measured along either x or y design direction, or both. Diagonal space (corner to corner)
being greater than SD is not sufficient. This means the space from any via in a sparse array to any other via
whatsoever, inside the same array or outside it, must be greater than SD when measured along x or y as
described. The array rules JA551a do not apply to sparse arrays, or to any pattern, no matter how irregular,
so long as all spaces are > SD.
LD04a b LD minimum space and notch to (LD with width > 35 4.0 - -
m).
LD04a1 b LD minimum space and notch to (LD with width > 50 5.0 - -
m).
LD04b b LD minimum space and notch to (LD with width > 17.50 - -
140 m).
LD91a a VVBAR minimum space to VV with touching prohib- 2.0 1.90 0.50
ited.
1. The wafer value is at the half-height measurements of an isolated feature. For the complete listing of wafer values see Table 180,
Extraction Parameters for Metal Wiring, on page 428.
2. The measured space of LD shapes used in inductors might not exactly match the specified dimensions due to grid snapping ( grid
times the square root of 2 tolerance).
3. For this rule only, {DV wirebond pads, DV probe pads} is defined as (DV over LD).
4. The wafer value for this rule is measured from the top of VV to top of VV.
5. For VVBAR within the metal above or below, see Table 96 on page 269.
6. The wafer value for this rule is measured from the top of VV to the bottom of LD.
7. The measured width of LD shapes used in inductors might not exactly match the specified dimensions due to grid snapping ( grid times
the square root of 2 tolerance).
FY3 c FY must be square or rectangular and not at 45 degrees. (no polygon shapes
allowed).
FY7 c A minimum of 2 FY vias, spaced 1.00 m and 2.00 m, must connect (MQ or MG)
to LY.
LY1 a LY width (wafer dimension is at the bottom of metal). 0.600 0.600 0.260
LY2a c LY to LY space (if at least one metal line is > 10.0m 0.800 0.800 0.260
wide and the common run length is > 10.0m long).
LY2b c LY to LY space (if at least one metal line is > 35.0m 2.000 - -
wide).
FT7 a 2 FTBAR space (for common run less than or equal to 2.000 1.240 0.250
5.0m).
FT8 a 2 FTBAR space (for common run greater than 5.0m). 4.000 3.240 0.250
FT13 c A minimum of 2 ((FT not touching QY) or FTBAR(not in chip guard ring)) vias,
spaced 2.0 m and 4.0 m, must connect LY to E1.
1. Wafer dimension is at the bottom of via
3. For verification of 45 degree (FTBAR (in the chip guard ring)) width aspects, a 0.014 tolerance range on the exactly equal to design
minimum value specified applies to avoid false verification errors. This tolerance is intended to only apply in the non-orthogonal 45
degree bends in the chip guard ring (over GUARDRNG).
E1 General Rules
E1a a E1 width (wafer dimension is at the bottom of the metal). 1.500 1.250 0.250
E1d b F1BAR(not touching L1) must be within E1. 1.260 0.855 0.530
E1A1 c E1 minimum enclosed area (m2) touching (E1 with width > 19.0 - -
8.0m and 11.5m).
E1A2 c E1 minimum enclosed area (m2) touching (E1 with width > 37.0 - -
11.5m and 14.0m).
E1A3 c E1 minimum enclosed area (m2) touching (E1 with width > 61.0 - -
14.0m and 17.5m).
E1A4 c E1 minimum enclosed area (m2) touching (E1 with width > 91.0 - -
17.5m and 21.0m).
E1A5 c E1 minimum enclosed area (m2) touching (E1 with width > 127.0 - -
21.0m and 24.5m).
E1A6 c E1 minimum enclosed area (m2) touching (E1 with width > 169.0 - -
24.5m and 28.0m).
E1A7 c E1 minimum enclosed area (m2) touching (E1 with width > 217.0 - -
28.0m and 30.0m).
E1S1 b 1 E1 to E1 space (if one metal line is > 8.0m and 11.5m). 2.50 - -
2. Checking boxes with < 10% E1 pattern density must not adjoin or hit any other box (in 8 directions) with < 10% E1 density.
MAF1c2 a 1,3 F1BAR (over {IND_FILT, GUARDRING}) width. 1.240 1.240 0.250
MAF1g a 2 F1BAR space (for common run 5.0m). 2.000 1.240 0.250
MAF1h a 2 F1BAR space (for common run > 5.0m). 4.000 3.240 0.250
3,4
MAF1i b (F1BAR (not covered by IND_FILT) or (not in the chip guard ring)) must be rect-
angular and not at 45 degrees. (no polygon shapes allowed).
MAF1j b F1 must be square or rectangular and not at 45 degrees (no polygon shapes
allowed).
MAF1p c 5 A minimum of 2 F1 vias, spaced 2.0 m and 4.0 m, must connect E1 to MA.
MAF1qR d 6 A minimum of 2 F1BAR(not in the chip guard ring4) via bars, spaced 2.0 m and
4.0 m, must connect E1 to MA.
1. Wafer dimension is at the bottom of via
3. For verification of 45 degree width aspects, a 0.014 tolerance range on the exactly equal to design minimum value specified applies
to avoid false verification errors. This tolerance is intended to only apply in the non-orthogonal 45 degree bends in the inductors (over
IND_FILT) or chip guard ring (over GUARDRNG).
4. For verification, the description syntax (not in the chip guard ring) is the equivalent of (not over GUARDRNG).
5. The intent of Rule MAF1p is to verify where at least one or more F1 vias touches an E1 over MA metal intersection. Consecutive E1
and MA metal level intersections, not touching F1 vias, is not validated to this rule.
6. This is a recommended rule. The intent of Rule MAF1qR is where at least one or more F1BAR vias touches an E1 over MA metal
intersection. Consecutive E1 and MA metal level intersections, not touching F1BAR vias, is not validated to this recommended rule.
It is a known limitation that F1BAR vias in inductors coil areas may not comply with this recommended rule, when verified in DRC using
recommended rule verification.
AM2b c (AM not over IND_FILT) space (if at least one metal 4.00
line is greater than 10 m wide).
AM2c c AM space (if at least one metal line is greater than 50 5.00
m wide).
FQ3 a FQ must be square (no polygon shapes allowed except in chip guard ring)
FQ7 c A minimum of 2 FQ vias, spaced 0.6m and 1.8m, must connect MQ to AM. This
rule only applies to the intersection of MQ/AM does not apply to MIM structures.
The PCI requirement is waived on any chip with a width or length shorter than 6mm on any side. Suf-
ficient PCIs are placed in the kerf for chips with a length or width dimension smaller than 6mm.
Requirements:
1. The design level PCING must be used to draw each PCI
2. The PCI shape must exist in its own, separate data cell (model). The cell must have a 6-8 character
uppercase alphanumeric name containing the string IPCI. The character string IPCI must be in the
781b a The character sequence IPCI (all uppercase) is prohibited in all data cells -
in the design except those defined by Rules 780, 782 and 783.
782 a PCING to {PC, PCING, RX, CA, CABAR, IND_FILT, BONDPAD, IND, 0.42
BFMOAT}
(PCING touching {PC, PCING, RX, CA, CABAR, IND_FILT, BONDPAD,
IND, BFMOAT} is prohibited).
784 a 1 At least 40 PCI cells should be uniformly distributed over the active chip -
area.
1. This requirement is waived on any chip with a width or length shorter than 6mm on any side. . For width/length checking purposes,
the chip active area = least enclosing rectangle of CHIPEDGE (because of the chamfered corners)
780
780
783
centerpoint
783
783
LUP07 b (RX P+ junction touching DG) within NW , refer to Rule DG260 (see
Table 78 on page 231).
LUP08 b (RX N+ junction touching DG) to adjacent NW, refer to Rule DG265a
(see Table 78 on page 231).
LUP09A b (Total area of [(RX intersect BP) touching CA] outside {NW, BB}) / 0.01
(Total {PC intersect RX} outside {NW, BB}) over local (2.1XLUP02)2
area stepped in ((2.1XLUP02)/2) increments (Applicable if burn-in
or in general elevated voltage stressing will NOT occur).
LUP09B b (Total area of [(RX intersect BP) touching CA] outside {NW, BB}) / 0.2
(Total {PC intersect RX} outside {NW, BB}) over local (2.1XLUP02)2
area stepped in ((2.1XLUP02)/2) increments (Applicable if burn-in
[1.5X Vdd] WILL occur).
LUP10A b (Total area of [(RX intersect NW) touching CA] outside BP) / (Total 0.01
{PC intersect RX and BP} inside NW) over local (2.1XLUP01)2 area
stepped in ((2.1XLUP01)/2) increments (Applicable if burn-in or in
general elevated voltage stressing will NOT occur) (For each
Nwell within the tile, the ratio should be checked separately).
LUP10B b (Total area of [(RX intersect NW) touching CA] outside BP)/(Total 0.2
{PC intersect RX and BP} inside NW) over local (2.1XLUP01)2 area
stepped in ((2.1XLUP01)/2) increments (Applicable if burn-in,
[1.5X Vdd] WILL occur) (For each Nwell within the tile, the ratio
should be checked separately).
LUP11A b (Total area of [(RX intersect BP) touching CA] outside {NW, BB}) / 0.06
(Total {(PC intersect RX) over DG} outside {NW, BB}) over local
(2.1XLUP02)2 area stepped in ((2.1XLUP02)/2) increments (Appli-
cable if burn-in or in general elevated voltage stressing will NOT
occur).
LUP12A b (Total area of [(RX intersect NW) touching CA] outside BP) / (Total 0.06
{(PC intersect RX and BP} over DG inside NW) over local
(2.1XLUP01)2 area stepped in ((2.1XLUP01)/2) (Applicable if
burn-in or in general elevated voltage stressing will NOT occur)
(For each Nwell within the tile, the ratio should be checked sep-
arately).
LUP12B b (Total area of [(RX intersect NW) touching CA] outside BP) / (Total 1.0
{(PC intersect RX and BP} over DG inside NW) over local
(2.1XLUP01)2 area stepped in ((2.1XLUP01)/2) (Applicable if
burn-in, [1.5X Vdd] WILL occur) (For each Nwell within the tile,
the ratio should be checked separately).
LUP13aR d {[NW touching (RX n-well contact guard ring, satisfying Rule LUP13)] 2.0
over ESDUMMY} minimum width.
LUP13bR d [CA over (RX n-well contact guard ring, satisfying Rule LUP13a)] 10.0
maximum space.
LUP14A b NW of ESD PNP devices meeting Rule ESD01b must be enclosed within a P+
diffusion ring (substrate ring for dual well or T3 P-Well Contact T3 triple well.)
LUP14B b Inside edge of P+ diffusion ring of LUP14A must be placed near the 5.0
outer edge of the NW of Rule ESD01b.
LUP14TW b (NW over IBLK) intersecting T3 of ESD triple well PNP device meeting Rule
ESD01b (sized by 5m) must be enclosed within an additional P+ diffusion ring
(substrate ring over dual-well or P-well contact in triple-well).
LUP15B b LUP14A and LUP14TW P+ diffusion rings must have CA to CA spac- 10.0
ing [m].
Substrate contacts are defined as [(BP intersect RX) touching CA] outside of (NW or BB)
NWell contacts are defined as [(RX intersect NW) touching CA] outside BP
Window shapes are squares of size (2.1*GR 268a) and the windows are stepped across the data in
increments of ((2.1*GR 268a)/2)
N-Type gate is defined as (RX intersect PC) outside (BP or BB) and outside NW
All thin oxide NFET with channel lengths >1.5 X (Rule 1) should NOT be included for checking
All thin oxide PFET with channel lengths >1.5 X (Rule 2) should NOT be included for checking
All thick oxide NFET with channel lengths >1.5 X (Rule DG8a) should NOT be included for checking
All thick oxide PFET with channel lengths >1.5 X (Rule DG8b) should NOT be included for checking
For latchup ratio rules, a gate only fails if it is hit by a failing window
SX (p-well) area
Ratio = ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
Thin-oxide PC Area + [ ( ( LUP 11a ) ( LUP 09a ) ) Thick-oxide PC area ]
In a given tile, the thick oxide gate areas should be weighed by the ratio of LUP11A/LUP09A (i.e. DG gate
area X LUP11A/LUP09A) and the tile used should be the same as that used in LUP9A
In a given tile, the thick oxide gate areas should be weighed by the ratio of LUP11B/LUP09B (i.e. DG gate
area X LUP11B/LUP09B) and the tile used should be the same as that used in LUP9B
In a given tile, the thick oxide gate areas should be weighed by the ratio of LUP12A/LUP10A (i.e. DG gate
area X LUP12A/LUP10A) and the tile used should be the same as that used in LUP10A
In a given tile, the thick oxide gate areas should be weighed by the ratio of LUP12B/LUP10B (i.e. DG gate
area X LUP12B/LUP10B) and the tile used should be the same as that used in LUP10B
For checking time improvement, Nwells with a ratio 10 X (LUP10A or LUP10B or LUP112A or LUP12B)
maybe screened out as passed.
See section , Pad Identification for Latchup Verification Purposes: on page 181.
PC
Tile
LUP02 LUP04
2.1 x
GRLUP02
RX
LUP08
LUP06 SX
LUP05 LUP07 BP contact
DG
LUP03
BP
LUP01 2.1 x (GR LUP02)
NW
BP
DG
2.1 x
GRLUP02 BP
RX RX
NWell SX
contact contact
BP
NW GR
SX GR
DG
RX
RX
NWell
contact
SX GR SX GR
NW NW
RX RX
BP BP
ESDUMMY
LUP15B
LUP14B
LUP15A LUP14A
I/O Pad I/O Pad
Rules ELUP01 to ELUP10B, ELUP01TW, and ELUP01BTW are applicable only inside I/O cell
Rules ELUP01 to ELUP10B, ELUP01TW, and ELUP01BTW are applicable inside I/O cell and outside I/O
cell
Rules ELUP11 to ELUP23B are applicable inside I/O cell and outside I/O cell.
All JEDEC JESD78 Latchup requirements, included in rules ELUP01 to ELUP10B, will be satisfied by
adhering to the applicable rules ELUP11 to ELUP17B.
ELUP20RNR d (NS touching [(RX over RN) connected to a pad]) must be cov- = -
ered by {INJECTOR_JEDEC, INJECTOR_CDE}.
ELUP01TW b RX P+ junction within ((NW over IBLK) intersecting T3) (within 5.00
0.0<D20m from an INJECTOR_JEDEC shape touching RX
P+ (RX over BP) shapes connected to an I/O pad over same T3
shape but not within same (NW over IBLK)) maximum distance
to RX N-well contact.
ELUP01BTW b RX N+ junction within ((NW over IBLK) intersecting T3) (within 5.00
0.0<D20m from an INJECTOR_JEDEC shape touching RX
P+ (RX over BP) shapes connected to an I/O pad over same T3
shape but not within same (NW over IBLK)) maximum distance
to triple-well RX P-well contact.
N+ junction ELUPxxB
I/O Cell
RX BP
INJECTOR_JEDEC or
INJECTOR_CDE SX Contact
D < S
NW
ELUPxx
Notes:
These DRC rules apply only to n-diffusion (RX not inside a NW or BP) whose RX has a maximum spac-
ing < S to adjacent NW shapes containing p-diffusions (RX inside BP inside NW). These DRC rules also
apply to p-diffusions <S inside (NW shapes that are < S from n-diffusion).
For regular I/O FETs neighboring ((INJECTOR_JEDEC or INJECTOR_CDE) not over T3), S=6.0um.
For 3.3V I/O FETs neighboring ((INJECTOR_JEDEC or INJECTOR_CDE) not over T3), S =8.0um.
For all other diffusions neighboring ((INJECTOR_JEDEC or INJECTOR_CDE) not over T3),
S=2.5um.
I/O signal pad: All I/O pads either labeled FULL_ESD on the xxESD level or not otherwise identified
as LC power or HC power supply pads, where xx = the appropriate alphabetic or
numeric or alphanumeric identifiers supported for pad definition (see Table 6,
Dummy Design Levels and Utility Levels, on page 46).
An I/O pad either labeled LC_POWER_ESD on the xxESD level or electrically con-
nected to a metal and labeled LC_POWER_ESD on the xxESD level, where xx = the
appropriate alphabetic or numeric or alphanumeric identifiers supported for pad defini-
tion (see Table 6, Dummy Design Levels and Utility Levels, on page 46).
An I/O pad either labeled HC_POWER_ESD on the xxESD level or electrically con-
nected to a metal and labeled HC_POWER_ESD on the xxESD level, where xx = the
appropriate alphabetic or numeric or alphanumeric identifiers supported for pad defini-
tion (see Table 6, Dummy Design Levels and Utility Levels, on page 46).
Design rule ESD30, presented in Table 61, ESD Rules, on page 191 uses the following syntax:
HBM diode string: A diode-based ESD HBM protection device comprised of two or three p+ n-well
diodes connected in series between an I/O pad and a power supply and an N+ sub-
strate diode connected between an I/O pad and a ground.
HBM NFET: An NFET-based ESD HBM protection device comprised of a grounded gate NFET
with a drain connected to an I/O pad.
HBM double diode: A diode-based ESD HBM protection device comprised of one P+ n-well diode con-
nected between an I/O pad and a power supply and one N+ substrate diode con-
nected between an I/O pad and a ground.
CDM resistor: A P+ polysilicon OP resistor placed in the path between an I/O signal pad and receiver
FET gates.
ESD01 c 1 All I/O (not including power supply pads) pads must be connected to one or more
RX (not covered by BP) or RX (covered by BP inside NW) shapes within
ESDUMMY satisfying (ESD01a and ESD01b) or ESD01c or (ESD01a and
ESD01e).
--> Diode based or NFET based ESD device required.
ESD01a c If none of the diffusion shapes within ESDUMMY identified in ESD01 110
contain an NFET, the pad must be connected to one or more RX (not
covered by BP or NW) shapes within ESDUMMY having a total
perimeter [um].
--> N+/SX or N+/PW diode perimeter.
ESD01aR d If none of the diffusion shapes within ESDUMMY identified in ESD01 125
contain an NFET, the pad must be connected to one or more RX (not
covered by BP or NW) shapes within ESDUMMY having a total
perimeter [um] (On pads where minimum capacitance is not
required, it is strongly recommended to use this groundrule to
meet ESD robustness requirement).
--> N+/SX or N+/PW diode perimeter.
ESD01b c If none of the diffusion shapes within ESDUMMY identified in ESD01 220
contain an NFET, the pad must be connected to one or more RX (cov-
ered by BP inside NW) shapes within ESDUMMY and ESDIODE hav-
ing a total perimeter.
--> P+/NW diode perimeter.
ESD01bR d If none of the diffusion shapes within ESDUMMY identified in ESD01 350
contain an NFET, the pad must be connected to one or more RX (cov-
ered by BP inside NW) shapes within ESDUMMY and ESDIODE hav-
ing a total perimeter [um] (On pads where minimum capacitance is
not required, it is strongly recommended to use this groundrule
to meet ESD robustness requirement).
--> P+/NW diode perimeter.
ESD01c c 2 If one or more of the diffusion shapes within ESDUMMY identified in 400
ESD01 contain an NFET, the pad must be connected to one or more
RX (not covered by BP or NW) shapes within ESDUMMY where the
gate (PC intersect RX) perimeter [um].
--> NFET width/perimeter.
ESD01cR d 2 If one or more of the diffusion shapes within ESDUMMY identified in 800
ESD01 contain an NFET, the pad must be connected to one or more
RX (not covered by BP or NW) shapes within ESDUMMY where the
gate (PC intersect RX) perimeter [um].
--> NFET width/perimeter.
ESD01d c 3 LC power supply pads must be connected to one or more [(RX n+ dif- 4000
fusions touching NFET gates) within ESD_CLAMP] with a total gate
width.
--> Big NFET gate width of the RX-triggered power clamp.
ESD01e c If none of the diffusion shapes within ESDUMMY identified in ESD01 220
contain an NFET, the pad must be connected to one or more RX (cov-
ered by BP inside NW) shapes within (ESDUMMY not over
ESDIODE) and T3 having a total perimeter.
--> P+/NW diode perimeter in T3 isolation well.
ESD01eR d If none of the diffusion shapes within ESDUMMY identified in ESD01 350
contain an NFET, the pad must be connected to one or more RX (cov-
ered by BP inside NW) shapes within (ESDUMMY not over
ESDIODE) and T3 having a total perimeter. (On pads where mini-
mum capacitance is not required, it is strongly recommended to
use this groundrule to meet ESD robustness requirement).
--> P+/NW diode perimeter in T3 isolation well.
ESD08 c 4,5 {[NW connected to I/O signal pads], [(NW within ESDUMMY) con- 4.40
nected to LC power supply pads]} minimum space to NW, different
net [um].
--> Minimizes current through a parasitic NPN (NW/SX/NW)
during a negative-mode HBM event.
ESD09 c 4,5 {[RX n+ diffusions connected to I/O signal pads], [(RX n+ diffusions 3.50
within ESDUMMY) connected to LC power supply pads]} minimum
space to RX n+ diffusions, different net [um].
--> Minimizes current through parasitic NPN (n+/SX/n+)
during a negative-mode HBM event.
ESD10 c 4,5 {[RX n+ diffusions connected to I/O signal pads], [(RX n+ diffusions 3.50
within ESDUMMY) connected to LC power supply pads]} or {[NW
connected to I/O signal pads], [(NW within ESDUMMY) connected to
LC power supply pads]} minimum space to {NW, different net} or {RX
n+ diffusions, different net} respectively [um].
--> Minimizes current through parasitic NPN (n+/SX/NW) or
(NW/SX/n+) during a negative mode HBM event.
ESD17 c Rules 710, 710R, 711, 711R, 712, 712R, 713, 713R, 735a, 736a,
737, 738, 739aR do not apply if covered by (ESDUMMY or
ESD_CDM).
ESD22 c (OP under (ESDUMMY or ESD_CDM)) must cut RX into two diffu- -
sions.
ESD24 c (OP under (ESDUMMY or ESD_CDM)) width on the drain not within 2.00
DG.
ESD24a c (OP under (ESDUMMY or ESD_CDM)) width on the drain within DG. 3.00
ESD30 c 6, 7 All gates connected to an I/O signal pad and an HBM device must be -
connected through a CDM resistor to one or more (RX diffusions
within ESD_CDM).
2. Designs MUST pass rules ESD20 to ESD26 only on NFETs if they are used as HBM or CDM protection devices.
3. ESD_CLAMP is a dummy layer placed over the Big NFET of the ESD RC-triggered power clamp.
5. This rule does not apply for (RX diffusions not touching PC) with an area < 1.0m2.
6. HBM Device is a term inclusive of the following devices: HBM diode string, HBM double diode, and HBM NFET. These devices are
defined in detail in Section 3.12.1 , Rule Definitions on page 190.
Notes:
The ESDUMMY shapes should be drawn to cover all RX shapes (expanded by 0.5 um) associated with
the ESD design that are connected to the IO pad.
It is recommended to tie both the Source and the Substrate of a transistor to the same ground and not dif-
ferent grounds to stop the formation of a parasitic diode between any two grounds
Rule ESD14f conflicts with Rule 739aR for certain programs when diffused OP resistors are used. In this
case Rule ESD14f takes precedence.
Note that all required guardrings are not included it the figures that follow.
A CDM resistor length of 2.5um will allow a maximum of 40V across the resistor.
For Allowed CDM protection strategies, see Table 62.
See section , Pad Identification for ESD Verification Purposes: on page 196.
ESD HBM diodes with diode finger length < 35m result in a higher on-resistance of the diode and could
lead to lower ESD results.
NW NW
ESD08
RX
ESD10
LM/MA/LD PC
LY/E1/OL M2
M1
I/O PAD M1
ESD09
ESD01a
RX
I/O NFET
ESD RX
ESDUMMY
NWell/SX ESD01
or N+/SX diode
ESD
P+/NW
Diode
NW
ESD01b
RX BP
Nwell Contact
NW Guard Ring
LM/MA/LD
M1
LY/E1 M2
/OL
I/O PAD
M1
Resistor
PC
ESDUMMY RX ESD1c
ESD NFET
NW Guard RIng
LM/MA/LD OP
LY/E1/OL M2 M1 N+ OP
ESD10
Diffusion
RX Resistor
ESD14f
in I/O
I/O PAD M1 Circuits
M1
ESDUMMY
RX
RX
BP DG
Sx Contact PC
ESD23 ESD24
Source Drain
RX
ESDUMMY or
ESD_CDM
OP
ESD20 ESD NFET
PC
ESD 32b
I /OPad
ESD 32a
Figure 37. ESD30 when > 250 ohm is used and diode / bipolar based CDM is used
For chip level testing, only the FULL_ESD, LC_POWER_ESD or HC_POWER_ESD labels are valid on chip
pads. All pads not identified with any label will be assumed to have the FULL_ESD label and checked as a
signal I/O pad. These chip pad text labels should use LMESD or MAESD or LDESD level and the text should
be placed within the chip pad region (e.g. DV, LV, etc. passivation opening layer). Use of LMESD or MAESD
or LDESD is determined by which Back-End-Of-Line metallization option is being used for the chip design, as
described in Table 11 on page 64 or Table 12 on page 65 or Table 13 on page 66, or Table 14 on page 67 .
LC_POWER_ESD and HC_POWER_ESD labels on xxESD used in cell level checking can also be used to
identify pads as if those pads were labelled with LMESD or MAESD text as described above (i.e. chip pads
connected to metal levels containing these xxESD labels are to be treated as power supply pads).
FULL_ESD - Full checking of all ESD and Latchup layout rules, signal pads with ESD protection.
WIRE_ESD - Used to check metal width, contact and via areas for fat wire like books w/o ESD protection,
pad transfer books. ESD02a-ESD06e values (wires, vias, contacts only checked) are applicable here even if
the metal does not extend to RX.
WIRE_ESD_ENDPT - Used to define a termination point for wide metal checking of cell I/O pads labeled
WIRE_ESD and LC_POWER_WIRE_ESD within a cell.
NO_PROTECT_HBM - Used for internal books without HBM protection but will eventually
go to a pad. All rules except ESD01 - ESD06e apply.
ESD01b LUP14a
ESD14f
ESD15b
LUP14b to LUP15b
ESD01d
ESD02a
to
ESD06e
HC_POWER_ESD - Used for power supply pins that DO achieve 100nF of chip capacitance between the
supply and GND. Metal rules ESD02a-ESD06e should be followed, similar to WIRE_ESD. Rules
ESD02a-ESD06e are not checked by DRC on pads using this label.
LC_POWER_WIRE_ESD - Used for a power supply net that DOESNT achieve 100nF of chip capacitance
between the supply and GND, and whose HBM protection is provided in another cell that connects to the chip
pad. Metal rules ESD02a-ESD06e and CDM rules ESD30 to ESD40 are applicable.
WIRE_ESD_ENDPT
LC_POWER_WIRE_ESD
WIRE_ESD
Wide Metal
or
3.13.1 General
To keep the NW sheet resistance low enough, as well as the parasitic vertical pnp current gain, the blanket
PW implant needs to be blocked from beneath the P+/NW diodes and the NW/PW diodes but not from the
N+/PW diodes. For this purpose, the layer ESDIODE is introduced.
BT42 c ESDIODE touching {PC, PCFUSE, VAR, DG, DI, (RX touching OP) } not -
allowed.
BT42a c ESDIODE touching {NV, PV, JN, JP, XW, LW, XE, XF } not allowed. -
PI (expanded by 1.1um ).
Note: Triple Well NW Isolation Ring
may straddle or be exactly
equal to PI (expanded by 1.1um )
BT00
BT04
BFMOAT BT03a
PI
BT40
ZEROVT
BT23 RX
JD
BT41
BT20
PC BT02
BT21
BP
NW ESDIODE
Figure 39. Layout Rules for p+/NW diode (ESDIODE drawn coincident with NW)
The level DI is required as a dummy level for forward-biased diodes. ESD diodes must be marked with the
ESDUMMY level. These dummy levels are used for both DRC and LVS verification. Rules for implementing DI
are tabulated below. See Section 3.12 , ESD Rules on page 190 for other ESD diode design rules.
384a b (NW touching DI) touching {DG, PC, OP} not allowed. -
385a b 1 (RX not over GRLOGIC) width (maximum) - (when RX is within DI). 2.00
389 b [ RX substrate contact over ((NW touching DI) sized by +1.00)] within BP. 0.12
1. The maximum width is limited to minimize current crowding in the structure that affects series resistance. Larger diode width can
be used if the RX p+ anode is covered by a GRLOGIC shape, but these geometries are not represented by the IBM device model.
2. The RX minimum length requirement applies to (RX within DI), for the allowable range of (RX within DI) widths that are specified in Rule
385 as well as Rule 385a. When the (RX within DI) over GRLOGIC width exceeds 2.00m, it is expected that the (RX within DI) length
complies with Rule 386.
3. Rule requires a substrate contact ring to enclose the perimeter of this diode device defined by (NW touching DI) at a spacing that does
not exceed the value specified.
387b NW
DI, BP
RX
Unit Cell
380
385 RX
381
DI
BP
RX
NW
Figure 40. Forward-Biased Diode Layout Rules (The figure illustrates the layout for p-diffusion in grounded n-well
diodes)
710 b 1 Diffusion resistor length (OP intersect RX). 0.44 0.4400 0.100
710R d 1,2 Diffusion resistor length (OP intersect RX). 1.60 1.6000 0.100
711 b 1 Diffusion resistor width (OP intersect RX). 0.20 0.1900 0.040
711R d 1,2 Diffusion resistor width (OP intersect RX). 1.50 1.4900 0.040
712 b 1 Poly resistor length (OP intersect PC). 0.80 0.8000 0.100
712R d 1,2 Poly resistor length (OP intersect PC). 1.60 1.6000 0.100
713 b 1 Poly resistor width (OP intersect PC). 0.20 0.1790 0.02
713R d 1,2 Poly resistor width (OP intersect PC). 1.50 1.4790 0.02
717a c ((PC intersect OP) covered by GRLOGIC) to (NW cov- 0.52 0.5200 0.15
ered by GRLOGIC) space (for BH generation).
717a1 c ((PC intersect OP) not over GRLOGIC) to (NW not over 0.63 0.6300 0.148
GRLOGIC) space (for BH generation).
717b c (PC intersect OP) within NW (for PH generation). 0.52 0.5200 0.15
717c c (PC intersect OP) to (PC intersect OP) space (for 0.64 0.6400 0.10
PH,BH generation).
733 b (CA over (RX or PC)) to adjacent (OP intersect (RX or 0.20 0.200 0.140
PC)).
733R d (CA over (RX or PC)) to adjacent (OP intersect (RX or 0.20 0.200 0.140
PC)).
734a b (RX over OP) to {NV, PV, JN, JP, XW, LW, XF}. ((RX 0.26 - -
over OP) touching {NV, PV, JN, JP, XW, LW, XF} is
prohibited).
735a b 1,3 (( PC touching OP) must be within BP. 0.25 0.2605 0.11
736a1 c RX(touching OP, not touching PC) touching SBLK not allowed.
737b c 5
((PC touching OP) not touching GRLOGIC) touching - - -
DG - not allowed.
OP30R d (((PC over OP) over NW) not over GRLOGIC) to RX. 0.320 - -
OP31R d (((PC over RX) over NW) not over GRLOGIC) to {differ- 0.320 - -
ence [intersection (OP, PC), (RX sized by +0.20)] sized
by +0.12}.
4
PBR19 c No more than 1 set of contacts allowed on any resistor (no center-tapping
allowed!)(only1 OP allowed per resistor > no center tapping of resistor body).
1. Approved IBM ESD structures covered by (ESDUMMY or ESD_CDM) are exempt from this rule. See also ESD specific rules
Section 3.12 , ESD Rules on page 190.
2. For details on the OP recommended rules, see Section 4.18 , Resistor Models on page 378
3. For OP RR Resistor, See Rule RR10 in Table 66, RR Rules, on page 213.
4. Approved IBM ESD structures covered by (ESDUMMY or ESD_CDM) or ((OP intersect RX) touching SBLK) are exempt from this rule.
See SBLK in Table 6 on page 46. See Section 7.0 , Electrostatic Discharge (ESD) on page 523.
5. The intent of this rule is to when Rule 737 ((OP touching DG) not allowed) is nullified in DRC for IBM ESD structures covered by
(ESDUMMY or ESD_CDM) that P+ poly resistors (PC touching OP) still can not touch DG for model-to-hardware correlation integrity.
6. See Rule RR9a in Table 66, RR Rules, on page 213 for the actual Rule to be checked in DRC.
725
OP 727
RX
CA 733 711 733 CA
710
739a
CA CA
738
728 731
RX PC
725
OP 730
PC
CA 733 713 733 CA
735a
712
739b
CA CA
738
BP
728 731
RX PC
RR9 b ((BP touching RR) can not touch (PC not touching RR)).
RR10 c 1 ((PC touching OP) over RR) must be within BP. 0.400 0.4105 0.111
RR14 c RR touching {DG, NV, PV, JN, JP, XE, XF, XW, LW} not allowed.
RR15 b ((PC touching OP) touching RR) width (minimum). 0.740 0.719 0.02
1. GR RR10 supersedes Design Min in Rule 735a (see Table 65, OP Resistor Layout Rules, on page 209) for the OP RR Resistor.
PD5 b (RX intersect PD) width (for SBD guardring, width 0.560 - -
checked in orthogonal directions only).
PD14 b ((CA touching SCHKY) not over RN) must be within 0.280 - -
PD.
PD21a b (PD touching SCHKY) cannot touch (PC or (RX not touching PD)).
RR/BP/BFMOAT
RR10 PD15, PD15a, RR8
High Resistance Region
PD PD7 PD
RR1 OP
PC
CA RR9a CA PD1
CA CA
RR15
PD2s
PD4 PD10a
RR7 RR5
RX PC
RP9 b ((BP touching RP) must not touch (PC not touching RP)).
RP10 b 1 ((PC touching OP) over RP) must be within BP. 0.400 0.4105 0.111
RP14 c RP touching {NV, PV, JN, JP, XE, XF, XW, LW} not allowed.
RP15 b ((PC touching OP) touching RP) width (minimum). 0.740 0.719 0.02
RP16 NW (optional)
RP
RP8
RP4a
BP RP10
RP1 OP
PC
CA CA
CA CA
RP15
RP5 RP10
RP2 RP2b RP7
RP RR PC RX PD
NWR03 a 1 [(Least enclosed rectangle of RX) over NW_RES] within NW (exact 0.90
value).
NWR05 a 2 [(Least enclosed rectangle of CA) over NW_RES] within RX (exact 0.12
value).
NWR10 c {LW, XW, PV, NV, JN, JP, DG, PC, XE, XF, BP, PD, RR, RP, OP, ZEROVT,
VAR, DI, SBLK} touching NW_RES is prohibited.
2. Assumes two rows of CAs are present for each N-well resistor device RX contact.
3. Intent of this rule is limit how far the edges of a RXEXCLUD shape should be placed beyond the perimeter of a N-well resistor. For
additional information, see Rule 40 in Table 21 on page 95.
4. Intent of this rule is to prevent N-well resistor being part of the NW ground plane of either the VNCAP or MIM devices, and to prevent
RXEXCLUD placement over the VNCAP or MIM N-well groundplanes. VNCAP or MIM layout, without its N-well groundplane, being
placed over typical Front-End-Of-Line devices, including the N-well resistor, is not restricted.
NWR09
NWR01 NW NWR03
RX RX
NWR08
CA CA CA CA
NWR05
NWR04 NWR02
CA CA CA CA
CA CA CA CA NWR06a
NWR03 NWR03
PCR16g b SILPCRES touching (RR, LW, JN, JP, XE, XF, SBLK, IND) is prohibited.
PCR11bR
PCR11
PCR11a PCR209 PCR209
CA CA PCR13b CA CA
M1 M1
CA CA CA CA
PCR505a
CA CA CA CA
PCR505aR PCR209
PCR209
PCR505aR PCR505aR
PC
PCR735a
SILPCRES, BP PCR735a
PCR22
PCR16f PCR12
PCR23
NW not N3
SILPCRES
BP
PC
E1
L11 L1 L12a L1
L11a
F1BAR F1BAR
MA MA F1BAR MA
Figure 48. Layout for BEOL (L1) Layout
L13a b 1 (L1 not over L1TEMP25) to (L1 not over L1TEMP25) 45.0 - -
space.
L13b b 1 (L1 over L1TEMP25) to (L1 not over L1TEMP25) space. 45.0 - -
L15 c No more than 1 set of contacts allowed on L1 resistor (no center-tapping allowed).
M2
KX4
KX2
KX1 K2 Kx
KX1a
KX7 KX3
VL VL
MQ MQ VL MQ
Figure 50. Sample layout for BEOL K2 Resistor. In the figure, K2 may be replaced by Kx, and M2 may be replaced by Mx,
where x = 2,3,4,5, or 6 for the other layout options.
KX4 b Kx to Mx space. (Kx touching Mx not allowed), where 1.00 0.990 0.309
x=2,3,4,5 or 6.
KX5 c No more than 1 set of VL vias allowed on Kx resistor (no center-tapping allowed).
KX8 c Kx shapes must be rectangular. 45 degree Kx shapes not allowed, where x=2,3,4,5
or 6.
Or
(If K2 is used, VL not touching M2 (where M2 will always be present) vias must touch
K2 (see Rule 623a), and Kx can not be present within CHIPEDGE, where x= 3,4,5 or
6)
(If K3 is used, VL vias not touching M3 (if M3 is present) must touch K3 (see Rule
623a), and Kx can not be present within CHIPEDGE, where x= 2,4,5 or 6).
(If K4 is used, VL vias not touching M4 (if M4 is present) must touch K4 (see Rule
623a), and Kx can not be present within CHIPEDGE, where x= 2, 3, 5 or 6).
(If K5 is used, VL vias not touching M5 (if M5 is present) must touch K5 (see Rule
623a), and Kx can not be present within CHIPEDGE, where x= 2, 3,4, or 6).
(If K6 is used, VL vias not touching M6 (if M6 is used) must touch K6 (see Rule
623a), and Kx can not be present within CHIPEDGE, where x= 2,3,4,or 5).
2
KX13a a L1 can not touch (CHIPEDGE touching Kx); where x = 2,3,4,5,6.
(TRANSMIS touching M2TRANS) touching K2 not allowed; for 5LM OL/LD BEOL
option. (TRANSMIS touching M3TRANS) touching K3 not allowed; for 6LM OL/LD
BEOL option. (TRANSMIS touching M4TRANS) touching K4 not allowed; for 7 or
8LM OL/LD BEOL option.
(TRANSMIS touching M5TRANS) touching K5 not allowed; for 8LM OL/LD BEOL
option.
1. Rule may be omitted from DRC if it is verified by existing Rule 558c as well as Rules IND11a, IND11b.
2. See Table 1, Optional Features with Feature Part numbers, on page 11. L1 and Kx can not be used in the same chip design. Features
is setup as select one.
3. See Table 11, LM last metal Back End Of Line (BEOL) Metallization Options, on page 64 or Table 12, MA last metal Back End Of
Line (BEOL) Metallization Options, on page 65 or Table 13, OL with LD last metal Back End Of Line (BEOL) Metallization Options,
on page 66. Rule is to prevent Kx resistor body from straddling a transmission line device, only when a transmission line device, from
a BEOL cross-sectional perspective, passes through the mask level where a Kx resistor could also be placed. For example, in a five
level-of-metal (5LM) LM BEOL stack (M1, M2, M3, MQ, LM) a ( LM over MQ ) singlewire transmission line may have a K3 resistor
body below or straddling the MQ groundplane of the singlewire device, since the singlewire device TRANSMIS level would not touch
a M3TRANS dummy design level. However, for a (LM over M1) singlewire device, can not have a K3 resistor body touching or
straddling the TRANSMIS dummy design level, since TRANSMIS will touch a M3TRANS dummy design level.
3.21.1 RN Rules
Table 73. RN Layout Rules (Reachthrough N+)
Rule C Notes Description Des Waf. Tol.
l Min. Dim.
a
s
s
RN1 a RN width. 0.680
RN2 a RN space (diffusion to diffusion). 0.920
RN2a a RN notch (same potential). 0.700
RN3 b RN to adjacent RX. 0.360
RN3a b RN touching more than one RX shape not allowed.
RN4 b RX within RN. 0.200
RN4a b RN must touch RX.
RN9 a RN enclosed area, m2 (for minimum resist island). 0.537 - -
RN10 b (RN over RX) must not touch {PD, BN(generated)}.
RN11 b RN must be within NS. 0.000 - -
RN12 a RN to NW (for RN copy to NW in dataprep). 0.920 - -
RN12a a RN not touching {RX, BB, NS} not allowed (This rule means that each RN must touch
one or more of the listed shapes.
RN13 a RN union NW width (for RN copy to NW in dataprep). 0.680 - -
RN14 a RN union NW notch (for RN copy to NW in dataprep). 0.920 - -
3.21.2 NS Rules
NS is the subcollector for the Schottky Barrier Diode.
3.21.3 BB Rules
Table 75. BB Layout Rules
Rule C Notes Description Des Waf. Tol.
l Min. Dim.
a
s
s
BB1 a BB width. 2.760 - -
BB2 a BB to BB space. 2.00 - -
BB6 a BB area (m2 ) (for minimum resist island). 9.600 - -
BB6a1 b SCHKY must be within BB. 0.000 - -
BB7 a BB enclosed area (m2). 4.000 - -
BB32 c BB to NW. 1.760 - -
BB34a1 b BB touching NW not allowed.
BB34a2 b BB touching more than 1 union(NS, RN) not allowed.
BB35 b BB to BP (BB touching BP not allowed). 0.400 - -
BB38a c (RX touching BB) must touch NS.
BB42 c Rule deleted, check consolidated into BB51.
BB50 c {ESDUMMY, ESD_CDM, ESD_CLAMP, ESDIODE,ESD_STACK} touching BB not
allowed.
BB51 c {LW,DG,XW,PC,RR,RP, NV, PV, JN, JP} touching BB not allowed.
BB53 a {IND, IND_FILT, ZEROVT} to BB ({IND, IND_FILT, ZEROVT} 2.000
touching BB not allowed) (for BF,BT generation).
BB55 a VAR to BB (VAR touching BB not allowed) (for BH genera- 0.400
tion).
PBN12 c PC to BB (abutting or straddling not allowed). 0.520
790 c BFMOAT not touching (PC touching (OP over BP)) width. 10.00 - -
790a c BFMOAT touching (PC touching (OP over BP)) width. 1.00 - -
792 c BFMOAT not touching (PC touching (OP over BP)) space. 10.00 - -
792b c BFMOAT touching (PC touching (OP over BP)) space. 2.00 - -
798 c {PC, RX, OP, RR, PD, RP} straddling BFMOAT not allowed.
799 b BFMOAT touching {RX, NW, PI, XW, LW, NV, PV, JN, JP, NS, RN, XE, XF, DG, JD,
DI, VAR, ESDIODE, PCFUSE, EFUSE, ({PC,RR, PD, RP} not touching OP)} not
allowed.
DG8a b 2 [(PC over RX) over DG] width for NFET device 0.24 0.2200 0.034
Leff.
DG8a45 b [(PC over RX) over DG] width for 45 NFET 0.26 0.2400 0.034
device Leff.
DG8b b 2 [(PC over RX) over DG] width for PFET device 0.24 0.2140 0.034
Leff.
DG8b45 b [(PC over RX) over DG] width for 45 PFET 0.26 0.2340 0.034
device Leff.
DG8c b (((PC to PC) over RX) over DG) - spacer to 0.30 0.1847 0.026
spacer.
DG50 c [(RX over PC) over DG] width for device Weff. 0.36 - -
DG110 c ((RX over DG) overlap past PC) 0.25 0.2170 0.040
(Checked as outside edge of PC to inside edge
of RX).
DG110a c (((RX straddling DG) overlap past PC) not over 0.60 0.5670 0.040
GRLOGIC).
Note: Rule DG110a only applies to RX (diffusion)
overlap past PC (gate edge) on the same Thick
Oxide FET whether DG covers the entire RX dif-
fusion, or RX diffusion straddles DG.
DG260 b 5 ((RX P+ junction) touching DG) within NW. 0.50 0.5825 0.099
DG265a b 5 ((RX N+ junction) touching DG) to adjacent NW. 0.50 0.805 0.200
DG265b b 5 (RX N+ junction) to adjacent (NW touching DG). 0.50 0.805 0.200
1. It is strongly recommended that shapes on levels involving pre-mask data preparation (DPREP) difference functions be placed at
the same cell nesting hierarchy in the design data. Examples of these levels are NW and DG; see Data Preparation Section
5. The N+ and P+ junctions in these rules must include the gate area (RX) under the PC for these rules.
6. P+ Junction touching DG not over T3 = (((RX over DG) over BP) over NW) not over T3; NWell contact not over T3= ((RX not over BP)
over NW) not over T3.
7. N+ Junction touching DG not over T3 = (((RX over DG) not over BP) not over NW) not over T3;Substrate contact= ((RX over BP) not
over NW) not over T3
DG
DG
BP DG6 RX
NV PV N+
DG7 DG265a
NW
DG10 DG11
BP
DG5
DG9
RX DG RX
DG14 P+
DG4
DG260
DG110
RX RX RX
DG8a,b DG52 DG16
DG4
DG52 DG5
DG265b
DG8c
207c CA RX
DG110
N+
DG110a PC PC
PC
XE50 b ((RX over PC) over XE) width; RX width for Weff. 0.50
XE100 b ((PC over RX) over XE) width; PC width for Leff. 0.40
1. DRC should first check to see if the shapes are coincident ( within == 0.000) meaning after the shapes are subtracted, the
remainder is 0.000 which is acceptable. However, if the remainder after subtraction is not 0.000, then DRC should check that the
remaining distance after subtractions is greater than or equal to 0.400.
XF50 b ((RX over PC) over XF) width. RX width for Weff. 0.50
XF100 b ((PC over RX) over XF) width. PC width for Leff. 0.40
1. DRC should first check to see if the shapes are coincident ( within == 0.000) meaning after the shapes are subtracted, the
remainder is 0.000 which is acceptable. However, if the remainder after subtraction is not 0.000, then DRC should check that the
remaining distance after subtractions is greater than or equal to 0.400.
1. DRC should first check to see if the shapes are coincident ( within == 0.000) meaning after the shapes are subtracted, the
remainder is 0.000 which is acceptable. However, if the remainder after subtraction is not 0.000, then DRC should check that the
remaining distance after subtractions is greater than or equal to 0.400.
1. DRC should first check to see if the shapes are coincident ( within == 0.000) meaning after the shapes are subtracted, the
remainder is 0.000 which is acceptable. However, if the remainder after subtraction is not 0.000, then DRC should check that the
remaining distance after subtractions is greater than or equal to 0.400.
In rules VAR1a, gatelength refers to PC length while in rules VAR10a it refers to RX width.
VAR1a a ((PC over RX) over VAR) min width gatelength. 0.24
VAR1aR d ((PC over RX) over VAR) exact width gatelength. 1.0
VAR1b c ((PC over RX) over VAR) max width gatelength. 10.0
VAR10a a ((RX width under PC) over VAR) min width gatewidth. 1.0
VAR10aR d ((RX width under PC) over VAR) exact width gatewidth. 10.0
VAR10b c ((RX width under PC) over VAR) max width gatewidth. 32.0
TW07a c {(PC intersect RX), (PC touching OP) } touching (NW intersect (PI expanded by
+1.1)) not allowed (straddling not allowed).
TW10 c NW ring must have at least one RX(not over PI) NW contact (NW ring around the PI
triple well tub must have at least one RX NW contact that is not over the PI which
contains Pwell. RX NW contact abutting PI not allowed).
TW12 a (NW over PI) minimum spacing and notch. 1.300 1.300 0.208
TW13 a PI to BFMOAT (PI touching BFMOAT not allowed) (for 3.100 3.1000 0.205
BF and BT gen).
TW13b a PI to ZEROVT (PI cannot touch ZEROVT) (for BF and 2.020 2.0200 0.207
BT gen).
1
TW13c c {NV, PV, JN, JP, XW, LW} touching PI not allowed.
TW19 c (PI not over NW) must touch (RX over BP) to insure triple well contact.
TW134 b All triple wells (PI not NW) must touch RX, which is electrically connected to M1 to a
(RX not over (IND or IND_FILT or BB or BFMOAT or PI or NW or JD or T3)).
TW252b b PI to adj {XW, PV, LW, NV, JN, JP, XE, XF}. 1.100 - -
TW260 b RX P+ Junction2 to adjacent PI ((RX over BP) over 2.320 2.3425 0.184
NW) touching PI not allowed (for BT spacing to P+
junction).
TW260a b ((RX P+ Junction2) over DG) to adjacent PI ((RX over 2.580 2.6025 0.184
BP) over NW) touching PI not allowed (for BT spacing
to P+ junction).
TW261 b RX NW contact to PI (RX NW contact can not touch 0.290 -.0542 0.541
PI, RX NW contact abutting PI not allowed).
TW265 b (RX N+ junction2 over PI) min space to NW. 0.460 0.4225 0.099
TW265a b ((RX N+ junction2) touching DG) over PI) min space to 0.600 0.5625 0.099
NW.
TW265b b (RX N+ Junction2 not over PI) to adjacent (NW touch- 0.560 0.5225 0.099
ing PI) (where the RX does not intersect the (NW
touching PI)).
TW265c b (((RX N+ Junction2) touching DG) not over PI) to adja- 0.940 0.9025 0.099
cent (NW touching PI) (where the (RX touching DG)
does not intersect the (NW touching PI)).
TW266 b (RX triple-well contact over PI) min space to NW. 0.290 0.0925 0.099
TW266a b ((RX Substrate Contact) not over PI) to (NW touching 0.120 0.0825 0.099
PI).
TW268c b ((RX N+ junction over PI) over DG) maximum distance 10.00 - -
to RX triple-well contact [for no latchup]).
1. See Rules XE10c and XF10c for 3.3V NFET and PFET check for not allowed in the triple well.
2. N+ and P+ Junctions in these rules also include the gate area under the NFET and PFET.
TW5
N+
Junction
TW265 P+
TW268b Contact TW261
TW265a
TW268c
TW266 NW
Contact
TW06 TW10
PI
TW261
TW260
NW TW00
P+ Contact
Junction
TW04 [The two
(PI not over
NW) are not
electrically
isolated]
TW260
P+
P+ Junction
TW12 Junction
TW260
TW01 NW
N+
Junction
TW265
TW5
P+
TW268b
TW265a Contact
TW268c
TW266
TW06
NW
TW00
TW261
TW02 PI
NW
Contact TW10
TW00
TW260
TW01
TW12 P+
Junction
TW04
TW260
P+
Junction
3.28.1 Specifications
The electrically programmable fuse (e-fuse) is constructed on the poly-silicide level, which also is the level at
which the transistor gates are formed. The shape of the e-fuse is as shown in Figure 54, Electrically Pro-
grammable Fuse (e-fuse) Layout on page 243. For layout purposes, the e-fuse-link will be drawn in the
PCFUSE level which will be later integrated into PC level during data preparation. The EFUSE level is used in
dataprep to block the N+ S/D implant from being in the fuse device area.
BP
one direction EF14a EF25
EF16b
EF11b
EF24 one direction
PC EF16a
CA
EF11a
EF10a PC
CA CA CA
EF10b PCFUSE
CA CA CA
ANODE
CA EF11c
CATHODE
EF11d
M1
EFUSE M1
Figure 54. Electrically Programmable Fuse (e-fuse) Layout
The e-fuse structure is positioned above the shallow trench isolation (STI) region. The poly-silicon on the
e-fuse link is p-doped. Hence, the e-fuse layout is enclosed by a BP mask.
The FET channel length must be the minimum allowed by the Layout Rules.
Each e-fuse is to be programmed by a programming transistor attached to it (shown schematically for one
e-fuse in Figure 55). The electrical parameter requirements for the e-fuse are given in Table 85, e-fuse
programming specifications on page 244.
VGS
Program Transistor (NFET or PFET)
t
The transistor and e-fuse programming specifications are shown in the following Table 85:
EF11a c (PC touching PCFUSE) butting edge length on one side of PCFUSE 0.68
(anode).
EF11b c (PC touching PCFUSE) butting edge length on other side of PCFUSE 1.70
(cathode).
EF11c c (PC touching PCFUSE) butting edge width on one side of PCFUSE 1.73
(anode).
EF11d c (PC touching PCFUSE) butting edge width on other side of PCFUSE 1.38
(cathode).
EF12c c PCFUSE to {RX, NW, OP, DG, XW, LW, NV, PV, JN, JP, V1, M2, V2}. 2.00
EF12d c PCFUSE not allowed over {RX, NW, OP, DG, XW, LW, NV, PV, JN, JP, -
CA, M1, V1, M2, V2}.
EF12g c (BP touching PCFUSE) to JD (((BP touching PCFUSE) touching JD) 3.00
not allowed).
EF13c c PCFUSE may only abut one anode and one cathode. -
EF15 c (PC touching PCFUSE) must touch exactly 4 CA shapes (4 CAs on the -
anode and 4 CAs on the cathode).
EF16a c { (M1 touching (PC touching PCFUSE)) /Anode width } in one direction. 0.8
EF16b c { (M1 touching (PC touching PCFUSE)) /Cathode width } in one direc- 1.8
tion.
EF27b c EFUSE over {XW, LW, NV, PV, JN, JP} not allowed. -
EF28 c 4 EFUSE to adj {NW, DG,VAR} (EFUSE touching {NW, DG,VAR} not 0.40
allowed) (for BH generation).
EF28a c EFUSE to {difference [ intersection (OP, PC), (RX sized by +0.20m)]} 0.52
(for BH generation).
EF28dR d EFUSE to [PC(touching OP, over RR)] (for BH generation, see Rule 2.00
EF29).
EF29 c EFUSE to {PD, RR, RP} (EFUSE touching {PD, RR, RP} not allowed). 1.60
EF30R d EFUSE to (PC over RX) (EFUSE touching (PC over RX) not allowed). 0.50
2. The PCFUSE must abut two separate PC shapes on each small edge end of PCFUSE.
3. Former design levels {NW, DG} in this rule are checked by the not touching condition in Rule EF28, and have been deleted from the
Rule EF27 description.
4. XE is not listed since it will be checked by related Rule XE11. XF is not listed since it will be checked by related Rule XF11.
All models to receive matched FILL and HOLE shapes should contain one or more DS_MATCH marker lev-
els. All IBM design services-generated FILL and HOLE shapes are then identical in the model, provided there
are no shapes incurring on DS_MATCH from elsewhere in the hierarchy. If shapes from elsewhere in the
hierarchy do incur upon DS_MATCH, IBM-generated FILL and HOLE shapes are adjusted to accommodate
the incursion: FILL shapes are removed and/or HOLE shapes are added to individual instances as required.
In all cases, IBM-generated FILL and HOLE shapes within DS_MATCH are as identical as the layout allows,
regardless of the location, orientation, or mirroring of individual instances of the circuit that contain the
DS_MATCH marker level.
JD3 b (RX over JD) over BP) min width (anode). 0.800
JD4R d 2 (((RX intersect BP) to (RX not over BP)) over JD) 0.240
space.
JD9f a JD to adj {XW, LW, NV, PV, JN, JP, XE, XF}. 3.000
(JD can not touch {XW, LW, NV, PV, JN, JP, XE, XF}).
3.30.1
A single nitride LY to E1 metal-to-metal capacitor is formed by adding a thin layer of metal, QY, between E1
metal and the underlying layer of metal, LY.The top plate of the single capacitor, QY, is connected to E1 with
the via level FT.
A dual nitride MIM is like the single nitride MIM, except it is formed by adding a thin insulator and a thin metal
layer HY above the QY connecting to E1 through FT via.
The single and dual nitride MIM capacitors can be formed over a NW Ground Plane or other devices and wir-
ing.
FT Thin HY FT
FT Dielectric 2
Thin
Dielectric 1 QY
LY
QCAP3
Single MIM
QY
QCAP4
LY
QCAP1
QCAP5
QCAP2
FTBAR FT
E1
QCAP3
E1
Dual MIM
QY
FT
HY
QCAP4c
FT
QCAP4b
FT
QCAP1
LY
QCAP3a
Note: MA BEOL
metallization shown E1
Figure 57. Layout for Single and Dual Nitride MIM Capacitor (for MA Metallization Option)
QCAP1dR d {QY, HY} maximum width (covered by Rule QCAP2b and 1,000
QCAP1b).
QCAP2b c {QY, HY} aspect ratio (length/width ratio) (Needed for Rule 3
QCAP1dR).
QCAP4 a (FT touching QY) must be within QY (QY must touch FT(not 2.0
over HY)).
4
QCAP4a b (LY touching QY) touching FY not allowed.
QCAP12a b (QY covered by (NW not touching (PC or RX))) within NW (to 3.960
keep the NW fully viewable as an AC ground plane under the
QY MIM body).
QCAP22 a (FT touching HY) must have a minimum of 2 vias connecting to E1.
QCAP22a a (FT touching (QY width 8.5m)) must have a minimum of 2 vias connecting to
E1.
QCAP23a c Ratio of (FT over (QY not over HY)) area to QY area. (FT and 8.3
QY areas are measured in m2) (The ratio is the value in Design
Min column and is stated in units of percent or %).
QCAP23b c Ratio of (FT over HY) area to HY area. (FT and HY areas are 8.3
measured in m2) (The ratio is the value in Design Min column
and is stated in units of percent or %).
4, 5
QCAP24 b (QY touching (LY not within T3)) top or middle MIM capacitor plate must be tied
down to RX not over {PC, OP, T3} diffusion at E1.
4,5
QCAP24a b (HY touching (LY not within T3)) top MIM capacitor plate must be tied down to
RX not over {PC, OP, T3} diffusion at E1.
4,5
QCAP24b b ((LY touching QY) not within T3) bottom MIM capacitor plate must be tied down
to RX not over {PC, OP, T3} diffusion at E1.
2. The maximum MIM Capacitor area rule is the sum of the QY and HY areas and is based on 3.3V, 85C, 100K POH and 10 FIT use
conditions. See Section 4.39.9 , VMAX for Single and Dual Nitride MIM Capacitor (for MA or OL with LD Metallization Options) on
page 454 for complete Reliability Model.
3. The maximum area limit only applies to all QY shapes including QY shapes added to meet pattern density (see section 2.10 , Pattern
Density Rules on page 87).
4. This rule requires all MIM capacitors to be wired up to the E1 metal, before being connected to other circuit nodes using the E1 metal,
and then also requires all MIM capacitor plates to be connected to any RX(not over (PC or OP)) starting at the top plate of the
MIMCAPs. This insures that all MIMs electrically float until AFTER all RIE processing above QY is completed and then are tied to a
RX shape. FYBAR, which is allowed only used in the chip guard ring, can not contact the MIM LY bottom plate.
5. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
OL OL
MQ or MG
The single nitride MIM capacitor uses design and mask levels QT (bottom plate) and HT (top plate) with
identification level MIM_NI.
The dual nitride MIM capacitor uses design and mask levels QT (bottom plate) and HT (middle plate) and
KT (top plate) with identification level MIM_NI.
Single or Dual Nitride MIM Figure (for OL with LD Metallization option only)
OL OL OL OL OL OL
JT JT
JT JT JT
KT
HT HT
QT QT
Thin Thin
Dielectric MQ or MG Dielectric
OL
OL
JT
QT
HT
MQ or MG
OL OL
QT12a b ((QT covered by (NW not touching (PC or RX))) within NW (to keep 4.00
the NW fully viewable as an AC ground plane under the QT MIM
body).
HT7 c 1 (HT touching MIM_HK) maximum total area per chip (m2). 1,000,000
KT7 c 2 [(HT touching MIM_NI) + KT] maximum total area per chip (m2). 2,000,000
1. The maximum Hi-K MIM Capacitor area rule is the sum of the HT area and is based on 3.3V, 85C, 100K POH and 10 FIT use
conditions. See Section 4.39.10 , VMAX for Hi-K MIM (for OL with LD Metallization Option) on page 456 for complete Reliability
Model.
2. The maximum single nitride or dual nitride MIM Capacitor area rule is the sum of the HT area (HT design level that is not touching the
separate identification level for the Hi-K mim) added to the KT area, if present. The maximum area is based on 3.3V, 85C, 100K POH
and 10 FIT use conditions. See Section 4.39.9 , VMAX for Single and Dual Nitride MIM Capacitor (for MA or OL with LD Metallization
Options) on page 454 for complete Reliability Model.
Table 92. QT and HT and KT Layout Rules for the OL with LD metallization
QT8e b 1,2 All plates ({KT, HT, QT} not within T3) of a MIM capacitor must be = -
tied down to RX not over {PC, OP, T3} diffusion at OL (per rule
QT8ae).
QT8ae b 1,2 All plates (KT, HT, QT) of a MIM capacitor must be connected up to = -
OL. (Top or middle plate HT must be connected up using at least
one JT via or comply with Rule HT4fe; see Rule QT4fe for bottom
plate connection verification description; Top plate KT must be con-
nected up using at least one JT via or comply with Rule KT4fe).
QT9ae b 3 [(OL touching QT) not touching (JT over QT)] is prohibited. = -
QT23e c Maximum ratio (%) of {[JT over (QT not over HT)] via area (m2)} / 5.0
(QT bottom plate area (m2)).
HT4fe c (HT width 7.6 m) must touch at least two (JT not over KT) vias. = -
HT9ae a 3 ((OL not touching KT) touching HT) not touching JT is prohibited. = -
HT23e c Maximum ratio (%) of [(JT over ((HT width 7.6 m) not over KT)) 5.0
via area (m2)] / [HT middle or top plate area (m2)].
Table 92. QT and HT and KT Layout Rules for the OL with LD metallization
KT9ae a 3 [(OL touching KT) not touching (JT over KT)] is prohibited. = -
KT23e c Maximum ratio (%) of [(JT over (KT width 7.6 m)) via area (m2)] 5.0
/ [KT top plate area (m2)].
1. This rule requires all MIMs to be wired up to OL before being connected to other circuit nodes and then also requires the nodes
containing the MIM to be connected to a (RX not over {PC, OP, T3}). This ensures that all MIMs electrically float until AFTER all
RIE processing above QT as well as HT or KT is completed and then are tied to RX.
2. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
3. The intent of this rule is any OL wire over a MIMCAP plate (QT or HT or KT) should be used to electrically wire to the plate and not pass
over the plate without making electrical contact to it.
Mx
V2
M2
V1
M1
Figure 61. VNCAP Capacitor Cross Section.
The Vertical Natural Capacitor (VNCAP) is built in any metal sequence of M1 through M6 (for example, M1
through M3 or M2 through M6). As shown in Figure 62 the capacitor width determines the number of metal
walls comprised of multiple metal levels and their connecting vias, while capacitor length determines the
length of the metal wires that form the metal walls. Both square and rectangular capacitor shapes are permit-
ted; irregular capacitor designs are not supported. The VNCAP marking layer must be used around the layout
to identify the device.
VNCAP_Mx,
VNCAP_M(x+1), ...
Figure 63 shows the general layout of the VNCAP capacitor. The OUTLINE_VNCAP shape is not shown.
Vx vias
VNC121 Mx fingers,
M(x+1) fingers
VNC140
Note: VNC110a
VNCAP length
(finger length)
is not drawn to
scale in Figure VNC120a VNC155
VNC196a
VNC196b
W
VNC196b
Figure 63. VNCAP Capacitor Metal Fingers and Tabs (Top View)
VNCM0 c Only one VNCAP_Mx is permitted within VNCAP for each Mx, -
where x = 1,2,3,4,5,6.
VNCM6 c VNCAP_Mx that touch (that is they are part of the same -
device) must be coincident on all sides, where x = 1,2,3,4,5,6.
VNCM90 c 4 VNCAP_Mx area (maximum per chip for each Mx level) (m2), 1,000,000
where x = 1,2,3,4,5,6 (Maximum allowable VNCAP capacitor
area on each Mx mask level is the sum of the separate
VNCAP_Mx dummy design and utility level shape areas on
each mask design level).
VNC155 c 5 (Vx over VNCAP_Mx) must be within [Mx and M(x+1)] where x 0.04
= 1,2,3,4,5.
VNC196b c Vx within the [Mx, M(x+1)] strap, where x = 1,2,3,4,5 (at least 0.40
one side of the Vx should be within metal strap by 0.40).
VNC500 c 3,6 All Mx finger edges must be coincident with all other M(x-1) fin- -
ger edges below them, where x = 2,3,4,5,6.
VNC500b c 3,6 All Mx strap edges must be coincident with all other M(x-1) -
strap edges below them, where x = 2,3,4,5,6.
VNC510b c 3,7,8 Each Mx strap must be fully populated with four rows of Vx vias -
along the capacitors width (the long direction of the metal
strap), where x = 1, 2,3,4,5.
VNC510c1 c 3,7,9 When a VNCAP contains more than one consecutive level of -
metal, each Mx finger must touch at least one Vx via. ( [(Mx fin-
ger) over (M(x+1) finger)] must touch at least one Vx via).
VNC510c2 c 3,7,9 When a VNCAP contains more than one consecutive level of -
metal, each Mx strap must touch at least one Vx via. ( [(Mx
strap) over (M(x+1) strap) ] must touch at least one Vx via).
VNC576 c The Mx straps must touch exactly two different nets, where x = -
1,2,3,4,5,6. (Two Mx straps on the same net is prohibited).
3. Mx finger = [Mx over VNCAP_Mx] with a width equal to Rule VNC110 (for x=1,2,3,4,5,6). Mx strap = [Mx over VNCAP_Mx] with a width
equal to Rule VNC199 (for x=1,2,3,4,5,6). There are only two straps allowed on each metal level per capacitor.
4. Capacitor device area can not exceed 1,000,000um2 on each individual Mx mask level (x=1,2,3,4,5, 6)
5. For this rule, the Mx and M(x+1) are assumed to be part of the VNCAP, have coincident edges, and be identified by their corresponding
VNCAP_Mx level shapes, where x = 1,2,3,4,5. The Vx vias that are part of a VNCAP must be within the metal above as well as the
metal below that are only part of a VNCAP layout. See related Rule VNCM100a and VNCM100b.
6. Rule applies to all BEOL Mx level stack options used for capacitor designs, where x = 1,2,3,4,5,6.
7. This rule is not validated during DRC. If Rule VNC510 or VNC510b are not validated during DRC, then related Rule VNC510c1 and
VNC510c2 must be checked. IBM recommends using the vncap pcell layout to closely match the model.
8. If Rule VNC510b is verified in DRC, a (Vx over Mx_strap) space equal to 0.40m, where x = 1,2,3,4,5, is suggested to be used to match
the layout criteria supported in the design kit. A separate rule that validates the Vx via spacing in the Mx_strap regions of the VNCAP
device has not been included in the layout rules, by intention. If a designer elects to use Vx via spacing less than 0.40m in this device,
up to five rows of vias may fit in the strap. However, use of 5 rows of Vx vias in the strap is not supported in the design kit or device
model, nor is use of greater than 4 rows of vias reported as a DRC violation to this rule during verification.
9. There may be alternate verification methods in DRC to satisfy the criteria described in the Rule description. A specific rule description
is provided to insure that the special case, where a VNCAP device is constructed using only a single Mx level for each net and does
not contain any Vx vias, is not falsely reported as an error. Only VNCAP devices containing consecutive levels of Mx and M(x+1) must
touch a Vx via.
11. To determine if VNCAP_Mx is fully populated with fingers, use Rules VNC110a and VNC120a for x = 1,2,3,4,5,6 to calculate the
maximum number of allowable fingers within the VNCAP_Mx shape.
The number of VNCAP_COUNT shapes equals the number of metal levels in the capacitor. For example,
three VNCAP_COUNT shapes indicates that the capacitor is comprised of three metal levels.
When combined with the specified BEOL stack, VNCAP_PARM and VNCAP_COUNT can be used to fully
specify the capacitor.
For example, if a VNCAP contains three VNCAP_PARM shapes and three VNCAP_COUNT shapes, and the
BEOL stack is comprised of five Thin (1x) metal levels and two Thick (2x) metal levels, which is shown as one
of the 7LM options in Table 11 on page 64, then the capacitor starts on M3 and is comprised of metal levels
M3, M4, and M5. For this capacitor, VNCAP, VNCAP_M3, VNCAP_M4 and VNCAP_M5 must be present;
and any other VNCAP_Mx shapes are invalid for this capacitor.
Note: The VNCAP_PARM and VNCAP_COUNT shapes can be placed anywhere within the VNCAP level.
3.33.1 LM Inductor
Inductors for the LM BEOL options can be designed using a variety of BEOL and via levels.
For compatibility to the base CMS8SFG technology, the IND level is the corresponding marking layer (without
using the IND_FILT marking level).
For CMRF8SF technology offered LM BEOL inductors, both the IND and IND_FILT (IND intersect IND_FILT)
levels are to be used as the corresponding marking layers.
The IND or the IND_FILT marking layers, prevent the formation of a p-well underneath the inductor, resulting
in a low-doped silicon substrate, (similar to, or redundantly with, the BFMOAT marking layer), when an NW is
not drawn (see Table 8, Shape Manipulation Prior to Mask Write on page 59). The IND or IND_FILT mark-
ing layers also affect the generated FILL shapes, resulting in reduced-density RXFILL, PCFILL and MxFILL
shapes to minimize substrate coupling (see section 2.10 , Pattern Density Rules on page 87).
Inductors that include Mx (x=1,2,3,4,5,6,Q,G) or LM metal levels, that intersect the IND or IND_FILT marking
layers, receive standard IBM MxHOLE or LMHOLEs during the IBM release process.
The Inductor layout rule allowances differ between the CMS8SFG compatible (IND) and CMRF8SF (IND and
IND_FILT) offering.
The measured width of the 45 degree (xxBAR shapes touching IND) in Inductors may not exactly match the
specified dimensions to grid snapping ( +/- Grid * sqrt(2)) tolerance, where xx = V1, V2, V3, V4, V5, VL
The measured width of the 45 degree (xxBAR shapes touching (IND intersect IND_FILT)) in Inductors may
not exactly match the specified dimensions to grid snapping ( +/- Grid * sqrt(2)) tolerance, where xx = VQ,
VG.
3.33.2 MA Inductor
Inductors for the MA BEOL options can be designed using a primarily the last three BEOL levels, except for
the M1 groundplane version where all the BEOL metal levels are enabled for interconnect design.
Only the IND_FILT (IND_FILT not touching IND) level is to be used as the corresponding marking layer for
the MA inductor.
M1 groundplane Inductor wiring that includes (Mx (x=1,2,3,4,Q,G) intersect IND_FILT) receive standard
MxHOLE during the IBM release process, if the wires are designed wide enough to receive these MxHOLE
shapes.
The measured width of the 45 degree (xxBAR shapes touching IND_FILT) in Inductors may not exactly
match the specified dimensions to grid snapping ( +/- Grid * sqrt(2)) tolerance, where xx = FT or F1.
3.33.3 AM Inductor
Inductors for the AM BEOL options can be designed using a primarily the last three BEOL levels, except for
the M1 groundplane version where all the BEOL metal levels are enabled for interconnect design.
Both the IND_FILT level and IND levels are to be used as the corresponding marking layers for the AM induc-
tor.
The IND_FILT marking layer prevents the formation of a p-well underneath the inductor, resulting in a
low-doped silicon substrate, (similar to, or redundantly with, the BFMOAT marking layer), when an NW is not
drawn (see Table 9, Shape Manipulation Prior to Mask Write (for LM last metal) on page 62). This marking
layer also affects the generated FILL shapes, resulting in reduced-density RXFILL, PCFILL and MxFILL
shapes to minimize substrate coupling (see section 2.10 , Pattern Density Rules on page 87).
M1 groundplane Inductor wiring that includes (Mx (x=1,2,3,4,5,Q) intersect (IND_FILT covered by IND))
receive standard MxHOLE during the IBM release process, if the wires are designed wide enough to receive
these MxHOLE shapes.
The measured width of the 45 degree (xxBAR shapes touching IND_FILT) in Inductors may not exactly
match the specified dimensions to grid snapping ( +/- Grid * sqrt(2)) tolerance, where xx = FT or F1.
3.33.4 LD Inductor
Inductors for the OL with LD metallization options can be designed using a primarily the last three or four
BEOL levels, except for the M1 groundplane version where all the BEOL metal levels are enabled for inter-
connect design.
Only the IND_FILT (IND_FILT not touching IND) level is to be used as the corresponding marking layer for
the OL with LD inductor, and the MxDUMHOL (where x = Q, G) shapes must also be used to identify these
inductors.
The IND_FILT marking layer prevents the formation of a p-well underneath the inductor, resulting in a
low-doped silicon substrate, (similar to, or redundantly with, the BFMOAT marking layer), when an NW is not
drawn (see Table 8, Shape Manipulation Prior to Mask Write on page 59). This marking layer also affects
the generated FILL shapes, resulting in reduced-density RXFILL, PCFILL and MxFILL shapes to minimize
substrate coupling (see section 2.10 , Pattern Density Rules on page 87).
Inductors that include Mx (x=1,2,3,4,5,6) metal levels, that intersect the IND_FILT marking layer, receive
standard IBM MxHOLEs during the IBM release process. However, for the OL with LD metallization options
only, the inductor Mx wiring levels (where x=Q,G) do not receive MxHOLE shapes during the IBM release
process. Use of the MxDUMHOL shapes (where x=Q,G) prohibited MxHOLE shape placement, and wide
metal spacing rules listed in section 3.8.8 , MQ or MG Layout Rules for Inductors (for OL with LD Metalliza-
tion options) on page 153 must be followed for manufacturability.
The measured width of the 45 degree (xxBAR shapes touching IND_FILT) in Inductors may not exactly
match the specified dimensions to grid snapping ( +/- Grid * sqrt(2)) tolerance, where xx = JT or VV.
IND05a1R d (IND_FILT touching LM) max width or length (recommended rule 474.00
since checked by Rule IND10b).
IND07R d 1 Place BFMOAT or M1 ground plane under ((E1 over MA) over IND_FILT) or
((OL, LD) over IND_FILT) inductor to minimize capacitance to substrate. See
rule IND08aR for the required layout details.
IND08aR d 1 {BFMOAT, BFMOATIND} overlap past ((MA over E1) over 5.040
IND_FILT)
{BFMOAT, BFMOATIND} overlap past ({LD, OL}) over IND_FILT).
IND08bR d 1 {BFMOAT, BFMOATIND} overlap past ((LM over {MQ, MG}) over 5.040
IND_FILT).
IND09a c 3 (IND_FILT touching {LY, E1, MA, OL, LD}) over IND is prohibited. = -
IND10a c (IND_FILT not touching IND) must touch {LY, E1, MA, OL, LD}. = -
IND11 c VxBAR where (x=1,2,3,4,G) touching (IND_FILT not over IND) is prohibited.
VxBAR where (x=1,2,3,L,Q) touching (IND_FILT touching {LY, E1, MA}) is pro-
hibited.
VxBAR where (x=1,2,3,4,5,L,Q,G) straddling IND is prohibited (see also Rules
557, 558, 990e, 990g).
Note: The intent of Rule IND11 is to prohibit all VxBARs from use in inductors or
wiring under the LY, E1,MA, or AM inductor coils. VxBARs between the 1x cop-
per Mx (x=1,2,3,4) or VGBAR levels are prohibited in inductors or wiring under
the (OL or LD) as well as (LY, E1, MA or AM) inductor coils (IND_FILT not over
IND). VxBARs are allowed for LM inductors [IND or (IND over IND_FILT)] given
that the viabars are within the IND dummy design level. See also Rules IND11a,
IND11b, IND11d, IND11e for other inductor layout rule guidelines.
IND11a c {RX, JD, NW, LW, PV, PI, XW, NV, JN, JP, BB, DG, PC, XE, XF, BP, PD, RR,
RP, OP, CA, LV, DV, QT, QY, Kx (where x = 2,3,4,5,6)} touching IND_FILT is
prohibited.
IND23 c 7 Inductor coil shorting is prohibited. Rule applies to all metal levels used in the
construction of the inductor spiral. Inductor groundplanes are exempt from this
check. For the purpose of inductor spiral checking:
[MQ (used for the inductor coils) over IND_FILT] must be covered by MQ_COIL.
[MG (used for the inductor coils) over IND_FILT] must be covered by MG_COIL.
[ LM (used for the inductor coils) over IND_FILT] must be covered by LM_COIL.
[ E1 (used for the inductor coils) over IND_FILT] must be covered by E1_COIL.
[MA (used for the inductor coils) over IND_FILT] must be covered by MA_COIL.
[OL(used for the inductor coils) over IND_FILT] must be covered by OL_COIL.
[LD (used for the inductor coils) over IND_FILT] must be covered by LD_COIL.
[AM (used for the inductor coils) over IND_FILT] must be covered by AM_COIL.
[M4 (used for the inductor coils) over IND_FILT] must be covered by M4_COIL.
[M5 (used for the inductor coils) over IND_FILT] must be covered by M5_COIL.
IND23a c xx_COIL must be covered by IND_FILT; where xx = MQ, MG, LM, E1, MA, OL,
LD, AM, M3, M4.
IND82 c IND_FILT to {IND_FILT, BB, BFMOAT, ZEROVT, ESDIODE, JD, (PI 2.00
expanded by +1.1m))} space (for BT generation).
IND820 c IND to {IND, BFMOAT, ZEROVT, ESDIODE} space (for BT genera- 2.00
tion).
IND820a c IND to {JD, (PI expanded by +1.1m), IND_FILT } space (for BF or 2.00
BT generation).
IND894 c 10 (VVBAR over IND_FILT) must be within OL. 1.00
1. Rule not verified during DRC. Rule is for inductor layout guidance only.
2. Rule not verified during DRC. It is recommended that viabars are connected in a vertex.
3. A description for the OL or LD inductors is not included in this Rule. See rules INDMG2a and INDMG1 in Table 40, MG Inductor Layout
Rules, on page 153 and rules INDMQ2a and INDMQ1 in Table 41, MQ Inductor Layout Rules, on page 154, which verifies this rule
description for (IND_FILT touching {OL, LD}).
4. Rule IND11d and IND11e defines the xxbar via or Mx metal levels that are not supported in MA or OL with LD metallization inductor
layouts (not valid levels in those metallization stack options), in contrast to the LM metallization option which supports the use of these
design levels. Rule IND11 only includes the valid levels for each inductor layout option. For more information on the LM, MA or OL
with LD metallization options, see Table 11 on page 64, or Table 12 on page 65 or Table 13 on page 66, respectively.
6. Rule IND20, IND20a and IND20b, IND20c and IND20dR are intended to allow Mx wiring to straddle the IND_FILT shape only
perpendicular to the outer edges of the IND_FILT shape. Any Mx wires that straddle the IND_FILT shape, and have a vertex outside
of the IND_FILT shape, that vertex must be at least 10um from the outer edges of the IND_FILT shape. Any Mx wires that do not touch
the IND_FILT shape must be at least 10um away from the IND_FILT shape.
7. DRC shall report shorted coils. The xx_COIL shapes are provided to assist in this verification. The xx_COIL shapes should be enlarged
by less than half of the spacing of the spiral metals to avoid false errors due to the 45 degree line edges or slivers.
9. Non orthogonal widths can be checked to + or - 0.02 um from the groundrule value.
10. The measured distance of VVBAR within OL or LD shapes used in inductors might not exactly match the specified dimensions due to
grid snapping ( grid times the square root of 2 tolerance).
3. LM RF Interconnect Line with MQ shield can not also have a MG metal shield. The LM-1 metal level must be MQ.
Note: See Section 5.3.6 , Soft Error Rate on page 481 and Table 222, Adjustment Factors for I(dc) only,
for Temperature and Time on page 496 for additional information.
An active C4 terminal makes contact with the final metal level through the FV via, and TD transfer pad and TV
via in the final passivation layer (polyimide, AlCu, nitride, oxide). The structure is shown in Figure 64, Rules
for C4 Terminals (with LM last metal. Oxide Pegs not shown in LM Pad) on page 280.
The outer row of pads must be asymmetrical on all four sides of the chip.
The asymmetrical pad design is required within 2.34 mm of the true chip center for all chips larger than
10 mm on a side in one or both dimensions. The preferred pattern of asymmetry consists of three adja-
cent depopulated C4 sites surrounded by an area of fully populated sites. The three depopulated sites
should not be in the same row or the same column.
For standard C4 flip chip packages, the TV terminal to CHIPEDGE must be 500 m or less on at least
three sides of the chip for some C4s. Dummy C4 pads can be used to achieve this requirement.
The outer row of pads must be approximately the same distance from the edge of the chip on all sides.
Using a 5 on 10 C4 size/pitch or fewer than the maximum number of C4 terminals per chip, typically
improves manufacturing yields. The 5 on 10 syntax refers to a 5mil solder bump on a 10mil pitch (1 mil
= 0.001 inch = 25.4 m). C4 solder ball sizes (wafer dimensions) are typically about 1 mil larger.
Note: The C4 terminal design must be reviewed with packaging and test groups. C4 terminal designs with
on-chip pitches of less than 230 m must be reviewed with probe engineering. Deviations from the above
guidelines or from the design rules in Table 100, C4 Layout Rules (Active and Dummy with LM last metal
level), on page 278 must be approved by IBM Terminal Metals engineering.
Table 100. C4 Layout Rules (Active and Dummy with LM last metal level)
= 4 on 8 4 on 9 5 on 9 5 on 10
901 c TV center to center. 200.00 200.00 225.00 225.00 225.00 225.00 250.00 250.00
902 c 9 (TV) within (LM) 14.00 5.500 14.00 5.500 14.00 5.500 14.00 5.500
(within outside edge of pad).
902p c 10 (TV) within (LM) 8.81 0.3100 8.81 0.3100 8.81 0.3100 8.81 0.3100
(within edge of oxide pegs).
903 c 9,12,7 TV must be within FV. 4.00 -8.50 4.00 -8.50 4.00 -8.50 4.00 -8.50
904 c 9 FV octagon dimension D. 55.00 47.00 55.00 47.00 55.00 47.00 55.00 47.00
905a c 13 FV to [union(TV(center) 112.50 112.50 112.50 112.50 125.00 125.00 125.00 125.00
,TVDUMMY(center))].
905b c FV to [union(TV(edge) 89.00 80.50 89.00 80.50 101.50 93.00 101.50 93.00
,TVDUMMY(edge))].
906 c 14,15 TV(center) within 118.00 118.00 118.00 118.00 136.00 136.00 136.00 136.00
CHIPEDGE.
906a c 15 TV(edge) within CHIPEDGE. 94.50 86.00 94.50 86.00 112.50 104.00 112.50 104.00
907 c 16 TV(center) to Chip Logo and 100.00 100.00 100.00 100.00 110.00 110.00 110.00 110.00
part number.
907a c 17 (TV(edge) to Chip Logo and 76.50 68.00 76.50 68.00 86.50 78.00 86.50 78.00
part number.
Table 100. C4 Layout Rules (Active and Dummy with LM last metal level)
= 4 on 8 4 on 9 5 on 9 5 on 10
2. For Mask ordering purposes when using Plated Bumps, both High Temp and Low Temp, a Mask Size 1mil smaller than the required Plated
bump diameter should be ordered. For example, for a 5mil Plated bump a 4mil mask should be ordered. The typical size of plated C4s
is 1 mil larger once they are built. C4 Plated ball sizes (wafer dimensions) are typically about 1 mil larger than the stated BLM/ UBM design
size.
3. IBM can manufacture a 4.5 on 9 offering. However, this offering is not preferred and is not being supported for the CMRF8SF technology.
Contact you IBM technical representative for additional information.
4. The dimensions in the Mask columns include the C4 design prep comps and scaling, but do not include wafer level biases.
5. The last metal outer perimeter pad size is (Rule 900 + 2x Rule 902). In this case (47+2x 14.00).
6. All TV rules apply to TVDUMMY unless otherwise noted. All TV, FV shapes (including TVDUMMY) in this table should be understood to be
TV/FV terminal vias for active C4s unless noted otherwise.
7. Octagon dimensions are given below and are required for C4 TV, TVDUMMY and FV shapes. Dimensions have tolerance of 0.10m
associated with them. Because of special design data prep done to octagon shapes on levels TV and FV, Rules 651a (TV area) and 655
(FV width) in Section 3.8.4 , TV, FV Layout Rules (for LM BEOL Metallization Option Only) on page 149, do not apply.
Octagon TV FV
Dimension
S D
D 47.00 55.00
S 19.48 22.84 Y
X, Y 13.76 16.08
X
8. Non-octagonal C4s will be removed from the dataset during design services and design preparation.
13. Rule 905a not coded in DRC. See equivalent Rule 905b. Rule 905b is coded in lieu of Rule 905a since some DRC verification tools can not
check Rule 905a which identifies a measurement to the TV center.
14. Rule 906 not coded in DRC. See equivalent Rule 906a. Rule 906a is coded in lieu of Rule 906 since some DRC verification tools can not
check Rule 906 which identifies a measurement to the TV center.
15. The minimum distance between the C4 center (Rule 906) or C4 edge (Rule 906a) and the diced chip edge is a critical parameter for certain
package types, especially flip chip plastic ball grid array packages. For specific applications, this minimum distance must be reviewed for
compliance with reliability restrictions.
16. Rule 907 not coded in DRC. See equivalent Rule 907a. Rule 907a is coded in lieu of Rule 907 since some DRC verification tools can not
check Rule 907 which identifies a measurement to the TV center.
17. The purpose of rule 907 is to prevent the chip identification from being obscured by the terminals. However, since there are typically multiple
occurrences of the PN on the chip, this rule need not be satisfied for all PN occurrences.
18. The metal must be connected to RX and must satisfy the ESD rules given in Section 3.12 , ESD Rules on page 190.
19. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less than
100 to 1. This limits the resistance of pass through resistors.
20. Dummy C4s should be used to meet this density rule, if the requirement can not be met with active C4s.
900
TV IBM Logo and
907a Part Number
LM
902
FV 901 FV
TV
904 905a
906R
903 906a CHIPEDGE
Figure 64. Rules for C4 Terminals (with LM last metal. Oxide Pegs not shown in LM Pad)
Design On Wafer
TV TV
FV
FV
FV Polyimide
TV Insulator TD Metal
LM Metal
Active C4 (TV/FV)
TV Insulator
Dummy C4
The active C4 terminal makes contact with the final metal level through the LV via in the final passivation layer
(polyimide, nitride, oxide). The structure is shown in Figure 69, Active and Dummy C4 Terminal Structures
(with MA last metal) on page 286.
The outer row of pads must be asymmetrical on all four sides of the chip.
The asymmetrical pad design is required within 2.34 mm of the true chip center for all chips larger than
10 mm on a side in one or both dimensions. The preferred pattern of asymmetry is three adjacent depop-
ulated C4 sites surrounded by an area of fully populated sites. The three depopulated sites should not be
in the same row or the same column.
For standard C4 flip chip packages, some C4s must be within 225 m of the CHIPEDGE (outer edge of
MA in the chip guard ring). LV terminal to CHIPEDGE (outer edge of MA in the chip guard ring) must be
250 m or less on at least three sides of the chip for some C4s. Dummy C4 pads can be used to achieve
this requirement.
The outer row of pads must be approximately the same distance from the edge of the chip on all sides.
C4s are prohibited outside of CHIPEDGE (C4s are not allowed outside the of the outer edge of MA in the
chip guard ring).
C4 terminals connected to the last wiring metal (MA) pads must not float. The metal must be connected
to RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
See also rule MA908. Floating C4 terminals that use the LVDUMMY level are permitted.
All designs with > 5000 tested pins must have prior approval from Test Probe Engineering.
Areas on the surface of the chip larger than 3mm x 3mm that do not have C4s is prohibited. See Rule
MA927 in Table 101 on page 284.
For designers who plan to characterize their designs prior to C4 processing by probing last metal pads,
the following recommendation should be observed to prevent probe damage to adjacent wiring: MA pad
to MA wiring should be at least 30 m.
Using a 5 on 10 C4 size/pitch or fewer than the maximum number of C4 terminals per chip, typically
improves manufacturing yields. The 5 on 10 syntax refers to a 5mil solder bump on a 10mil pitch (1 mil
= 0.001 inch = 25.4 m). C4 solder ball sizes (wafer dimensions) are typically about 1 mil larger.
Note: The C4 terminal design must be reviewed with packaging and test groups. C4 terminal designs with
on-chip pitches of less than 230 m must be reviewed with probe engineering. Deviations from the above
guidelines or from the design rules in Table 101, C4 Layout Rules (Active and Dummy with MA last metal
level) on page 284 must be approved by IBM Terminal Metals engineering.
Layout Rules MA901 in Table 101, C4 Layout Rules (Active and Dummy with MA last metal level) on
page 284, define the periodicity for 4 and 5 mil pads that are necessary for a product to function at PAS (Pad
Analysis System). Please note this periodicity is not the minimum diagonal spacing when staggered pads
are used. This is a larger number which is also listed. The pad periodicity is required in the perpendicular, or
X/Y direction. If the minimum pad spacings (diagonal) cannot be met the part may not be PAS checkable.
The pad periodicity can vary in the X and Y dimensions as long as the diagonal minimum value is met
(NOTE: Diagonal values cannot be checked by the DRC decks).
For MA C4 pads, LV is the Design Level for C4 Terminals and LV will be the generated Mask Level used to
manufacture C4 terminals. See Mask Level LV and Design Level LV in Table 2 on page 27.
MA
MA902b
MA906
CHIPEDGE LV
Table 101. C4 Layout Rules (Active and Dummy with MA last metal level)
MA907 c 12 LV to Chip Logo and PN (LV can not touch 64.00 64.00 80.00
LOGOBND).
9,13,14
MA908 c MA containing a LV shape must be connected to an RX shape (DC path, Float-
ing C4s are not allowed). MA containing LVDUMMY shapes are allowed.
15
MA909 c (LV or LVDUMMY) shapes must not touch (LM or FV or TV). (((TV or
TVDUMMY)) and (LV or LVDUMMY)) can not be used in the same chip design).
Table 101. C4 Layout Rules (Active and Dummy with MA last metal level)
3. IBM can manufacture a 4.5 on 9 offering. However, this offering is not preferred and is not being supported for the CMRF8SF technology.
Contact you IBM technical representative for additional information.
5. All LV shapes in this table should be understood to be LV terminal vias for active C4s unless noted otherwise.
6. Octagon dimensions are given below for the MA last metal level. Dimensions have a tolerance of 0.10 m associated with them. As
a part of design preparation during mask build, octagons will be converted to circles.
Octagon LV
Dimension
S D
D 47.00
S 19.50 Y
X, Y 13.75
X
7. Non-octagonal C4s will be removed from the dataset during design services and design preparation.
10. These rules are to keep the C4s from shorting to the KERF crackstop. Also, the minimum distance between the C4 edge and the diced
chip edge is a critical parameter for certain package types, especially flip chip plastic ball grid array packages. For specific
applications, this minimum distance must be reviewed for compliance with reliability restrictions.
11. Equivalent to LV within CHIPEDGE when CHIPEDGE is coincident with the outer edge of the chip guard ring per GR 999d in Table 114,
Chip Guard Ring Rules on page 319.
12. The purpose of rule 907 is to prevent the chip identification from being obscured by the terminals.
13. The metal must be connected to RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
The connection to RX must be a DC path (not through a capacitor).
15. LV only allowed with the MA last metallization option, see Table 12, MA last metal Back End Of Line (BEOL) Metallization Options,
on page 65. LV and LVDUMMY are not allowed with the LM metallization options defined in Table 11, LM last metal Back End Of
Line (BEOL) Metallization Options, on page 64. For LM last metal, use TV/TD/FV as defined in Table 100, C4 Layout Rules (Active
and Dummy with LM last metal level), on page 278.
16. Dummy C4s should be used to meet this density rule, if the requirement can not be met with active C4s.
Polyimide
Oxide/Nitride
MA
Active C4
BLM
No LV (use LVDUMMY)
Polyimide
Oxide/Nitride
Dummy C4
Figure 69. Active and Dummy C4 Terminal Structures (with MA last metal)
NOTE: For definition of BLM or UBM, see section N.0, Definitions of Process-Related Terms on page 546.
The active C4 terminal makes contact with the final metal level through the LV via in the final passivation layer
(polyimide, nitride, oxide). The structure is shown in Figure 71, Active and Dummy C4 Terminal Structures
(with LD last metal) on page 291.
The outer row of pads must be asymmetrical on all four sides of the chip.
The asymmetrical pad design is required within 2.34 mm of the true chip center for all chips larger than
10 mm on a side in one or both dimensions. The preferred pattern of asymmetry is three adjacent depop-
ulated C4 sites surrounded by an area of fully populated sites. The three depopulated sites should not be
in the same row or the same column.
For standard C4 flip chip packages, some C4s must be within 225 m of the CHIPEDGE. LV terminal to
CHIPEDGE must be 250 m or less on at least three sides of the chip for some C4s. Dummy C4 pads
can be used to achieve this requirement.
The outer row of pads must be approximately the same distance from the edge of the chip on all sides.
C4 terminals connected to the last wiring metal (LD) pads must not float. The metal must be connected to
RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
See also rule LD908. Floating C4 terminals that use the LVDUMMY level are permitted.
All designs with > 5000 tested pins must have prior approval from Test Probe Engineering.
Areas on the surface of the chip larger than 3mm x 3mm that do not have C4s is prohibited. See Rule
LD927 in Table 102 on page 288
For designers who plan to characterize their designs prior to C4 processing by probing last metal pads,
the following recommendation should be observed to prevent probe damage to adjacent wiring: LD pad to
LD wiring should be at least 30 m.
Using a 5 on 10 C4 size/pitch or fewer than the maximum number of C4 terminals per chip, typically
improves manufacturing yields. The 5 on 10 syntax refers to a 5mil solder bump on a 10mil pitch (1 mil
= 0.001 inch = 25.4 m). C4 solder ball sizes (wafer dimensions) are typically about 1 mil larger.
Note: The C4 terminal design must be reviewed with packaging and test groups. C4 terminal designs with
on-chip pitches of less than 230 m must be reviewed with probe engineering. Deviations from the above
guidelines or from the design rules in Table 102, C4 Layout Rules (Active and Dummy for LD last metal
level) on page 288 must be approved by IBM Terminal Metals engineering.
For OL with LD C4 pads, LV is the Design Level for C4 Terminals and LV will be the generated Mask Level
used to manufacture C4 terminals. See Mask Level LV and Design Level LV in Table 2 on page 27.
LD900
LD901 Logo
LD907 and Part No.
LD
LD902b
LD906
CHIPEDGE LV
Table 102. C4 Layout Rules (Active and Dummy for LD last metal level)
Table 102. C4 Layout Rules (Active and Dummy for LD last metal level)
3. IBM can manufacture a 4.5 on 9 offering. However, this offering is not preferred and is not being supported for the CMRF8SF technology.
Contact you IBM technical representative for additional information.
4. See also section , Dummy C4 Terminals with LD last metal on page 290.
5. All LV shapes in this table should be understood to be LV terminal vias for active C4s unless noted otherwise.
6. Octagon dimensions are given below for the LD last metal level. Dimensions have a tolerance of 0.10 m associated with them. As a
part of design preparation during mask build, octagons will be converted to circles.
Octagon LV
Dimension
S D
D 47.00
S 19.50 Y
X, Y 13.75
X
10. These rules are to keep the C4s from shorting to the KERF crackstop. Also, the minimum distance between the C4 edge and the diced
chip edge is a critical parameter for certain package types, especially flip chip plastic ball grid array packages. For specific
applications, this minimum distance must be reviewed for compliance with reliability restrictions.
11. Equivalent to LV within CHIPEDGE when CHIPEDGE is coincident with the outer edge of the chip guard ring per GR 999d in Table 114,
Chip Guard Ring Rules on page 319.
12. The purpose of rule LD907 is to prevent the chip identification from being obscured by the terminals.
13. The metal must be connected to RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
The connection to RX must be a DC path (not through a capacitor).
14. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
15. LV only allowed with the OL with LD or MA last metallization option, see Table 12, MA last metal Back End Of Line (BEOL)
Metallization Options, on page 65 or Table 13, OL with LD last metal Back End Of Line (BEOL) Metallization Options, on page 66.
LV and LVDUMMY are not allowed with the LM metallization options defined in Table 11, LM last metal Back End Of Line (BEOL)
Metallization Options, on page 64. For LM last metal, use TV/TD/FV as defined in Table 100, C4 Layout Rules (Active and Dummy
with LM last metal level), on page 278.
16. Dummy C4s should be used to meet this density rule, if the requirement can not be met with active C4s.
Polyimide
Oxide/Nitride
LD
Active C4
BLM
No LV (use LVDUMMY)
Polyimide
Oxide/Nitride
Dummy C4
Figure 71. Active and Dummy C4 Terminal Structures (with LD last metal)
NOTE: For definition of BLM or UBM, see section N.0, Definitions of Process-Related Terms on page 546.
The active C4 terminal makes contact with the final metal level through the LV via in the final passivation layer
(polyimide, nitride, oxide). The structure is shown in Figure 73, Active and Dummy C4 Terminal Structures
(with AM last metal) on page 295.
The outer row of pads must be asymmetrical on all four sides of the chip.
The asymmetrical pad design is required within 2.34 mm of the true chip center for all chips larger than
10 mm on a side in one or both dimensions. The preferred pattern of asymmetry is three adjacent depop-
ulated C4 sites surrounded by an area of fully populated sites. The three depopulated sites should not be
in the same row or the same column.
For standard C4 flip chip packages, some C4s must be within 225 m of the CHIPEDGE (outer edge of
AM in the chip guard ring). LV terminal to CHIPEDGE (outer edge of AM in the chip guard ring) must be
The outer row of pads must be approximately the same distance from the edge of the chip on all sides.
C4s are prohibited outside of CHIPEDGE (C4s are not allowed outside the of the outer edge of MA in the
chip guard ring).
C4 terminals connected to the last wiring metal (AM) pads must not float. The metal must be connected
to RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
See also rule AM908. Floating C4 terminals that use the LVDUMMY level are permitted.
All designs with > 5000 tested pins must have prior approval from Test Probe Engineering.
Areas on the surface of the chip larger than 3mm x 3mm that do not have C4s is prohibited. See Rule
AM927 in Table 103 on page 293.
For designers who plan to characterize their designs prior to C4 processing by probing last metal pads,
the following recommendation should be observed to prevent probe damage to adjacent wiring: AM pad
to AM wiring should be at least 30 m.
Using a 5 on 10 C4 size/pitch or fewer than the maximum number of C4 terminals per chip, typically
improves manufacturing yields. The 5 on 10 syntax refers to a 5mil solder bump on a 10mil pitch (1 mil
= 0.001 inch = 25.4 m). C4 solder ball sizes (wafer dimensions) are typically about 1 mil larger.
Note: The C4 terminal design must be reviewed with packaging and test groups. C4 terminal designs with
on-chip pitches of less than 230 m must be reviewed with probe engineering. Deviations from the above
guidelines or from the design rules in Table 103, C4 Layout Rules (Active and Dummy with AM last metal
level) on page 293 must be approved by IBM Terminal Metals engineering.
Layout Rules AM901 in Table 103, C4 Layout Rules (Active and Dummy with AM last metal level) on
page 293, define the periodicity for 4 and 5 mil pads that are necessary for a product to function at PAS (Pad
Analysis System). Please note this periodicity is not the minimum diagonal spacing when staggered pads
are used. This is a larger number which is also listed. The pad periodicity is required in the perpendicular, or
X/Y direction. If the minimum pad spacings (diagonal) cannot be met the part may not be PAS checkable.
The pad periodicity can vary in the X and Y dimensions as long as the diagonal minimum value is met
(NOTE: Diagonal values cannot be checked by the DRC decks).
For AM C4 pads, LV is the Design Level for C4 Terminals and LV will be the generated Mask Level used to
manufacture C4 terminals. See Mask Level LV and Design Level LV in Table 2 on page 27.
AM900
AM901 Logo
AM907 and Part No.
AM
AM902b
AM906
CHIPEDGE LV
Table 103. C4 Layout Rules (Active and Dummy with AM last metal level)
AM907 c 12 LV to Chip Logo and PN (LV can not touch 64.00 64.00 80.00
LOGOBND).
9,13,14
AM908 c AM containing a LV shape must be connected to an RX shape (DC path, Floating
C4s are not allowed). AM containing LVDUMMY shapes are allowed.
15
AM909 c (LV or LVDUMMY) shapes must not touch (LM or FV or TV). (((TV or TVDUMMY))
and (LV or LVDUMMY)) can not be used in the same chip design).
3. IBM can manufacture a 4.5 on 9 offering. However, this offering is not preferred and is not being supported for the CMRF8SF technology.
Contact you IBM technical representative for additional information.
4. See also section , Dummy C4 Terminals with AM last metal on page 294.
6. Octagon dimensions are given below for the MA last metal level. Dimensions have a tolerance of 0.10 m associated with them. As
a part of design preparation during mask build, octagons will be converted to circles.
Octagon LV
Dimension
S D
D 47.00
S 19.50 Y
X, Y 13.75
X
7. Non-octagonal C4s will be removed from the dataset during design services and design preparation.
10. These rules are to keep the C4s from shorting to the KERF crackstop. Also, the minimum distance between the C4 edge and the diced
chip edge is a critical parameter for certain package types, especially flip chip plastic ball grid array packages. For specific
applications, this minimum distance must be reviewed for compliance with reliability restrictions.
11. Equivalent to LV within CHIPEDGE when CHIPEDGE is coincident with the outer edge of the chip guard ring per GR 999a1 in
Table 114, Chip Guard Ring Rules on page 319.
12. The purpose of rule 907 is to prevent the chip identification from being obscured by the terminals.
13. The metal must be connected to RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
The connection to RX must be a DC path (not through a capacitor).
14. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
15. LV only allowed with the AM last metallization option, see Table 14, AM last metal Back End Of Line (BEOL) Metallization Options,
on page 67. LV and LVDUMMY are not allowed with the LM metallization options defined in Table 11, LM last metal Back End Of
Line (BEOL) Metallization Options, on page 64. For LM last metal, use TV/TD/FV as defined in Table 100, C4 Layout Rules (Active
and Dummy with LM last metal level), on page 278.
16. Dummy C4s should be used to meet this density rule, if the requirement can not be met with active C4s.
Polyimide
Oxide/Nitride
AM
Active C4
BLM
No LV (use LVDUMMY)
Polyimide
Oxide/Nitride
Dummy C4
Figure 73. Active and Dummy C4 Terminal Structures (with AM last metal)
NOTE: For definition of BLM or UBM, see section N.0, Definitions of Process-Related Terms on page 546.
The following rules are based on IBM performing the packaging and wafer level testing. If these functions are
performed elsewhere then the requirements may differ. Also see section 3.35.11 , Wire-bond Part Testing
and Packaging Restrictions on page 313.
The size of the wire bond pads that are standard for this technology are for gold ball bonds. If you or your
customer plan to use a wedge bond you will need to increase the size of the bond pad to accommodate the
bonding wedge.
Table 104. In-Line and Staggered Wirebond Rules (with LM and TD last metal levels)1,2
WB04 c (TD not touching FINE_WB) terminal pad width (parallel to the clos- 58.00
est chipedge).
WB05 c (TD touching PROBE) terminal pad length (perpendicular to the clos- 117.00
est chipedge).
WB05cd c (TD not touching FINE_WB) terminal pad length (perpendicular to the 74.00
closest chipedge) for bonding only (probing is prohibited).
941c c DV(touching TD) terminal pad center to center (single row of pads). 60.00
S941c c DV(touching TD) terminal pad center to center (parallel dimension 60.00
closest to CHIPEDGE, staggered pads, both inner and outer rows).
942c c DV(touching TD) terminal pad to {EFUSE, DI, IND, IND_FILT, 3.00
LM_RFLINE, TRANSMIS, SRAMPC, SRAMRX, SRAMM1,
SRAMCA, Kx (x=3,4,5,6), VNCAP}.
945cR d DV(touching TD) terminal pad must be within CHIPEDGE (maximum) 190.00
(measured from the closest edge of the DV to the perimeter of the
chipedge).
945d c DV(touching TD) terminal pad must be within CHIPEDGE (maximum, 275.00
entire DV shape).
946ca c ((DV touching TD) not touching FINE_WB) terminal pad width (paral- 52.00
lel to the closest CHIPEDGE).
Table 104. In-Line and Staggered Wirebond Rules (with LM and TD last metal levels)1,2
946cd c DV(touching TD) terminal pad length (perpendicular to the closest 52.00
CHIPEDGE) for bonding only (probing is prohibited).
951 c ((DV touching TD) expanded by Rule WB08) terminal pad over {EFUSE, DI, IND,
IND_FILT, LM_RFLINE, TRANSMIS, SRAMPC, SRAMRX, SRAMM1, SRAMCA,
Kx (x=3,4,5,6), VNCAP} is prohibited.
This rule also is intended to prohibit SRAMs or Dense SRAMs below a (DV
expanded by Rule WB08) Terminal Pad, which includes the Dense SRAM derived
mask levels CF, D1, VE, which are not verified.
5
951a c ((DV touching TD) expanded by Rule WB08) terminal pad touching {L1, MA,
MA_RFLINE, E1, LY, LV, LD, OL, QT} is prohibited.
952 c For LM BEOL designs with exactly 5 levels of metal, {OP, PC over (RX expanded
by +0.14m per edge)} must not touch ((DV(touching TD)) expanded by Rule
WB08) terminal pad.
6,7
953 c LM containing a TV terminal contact wire-bond pad connection must be connected
to a RX shape. (Floating wirebond pads are prohibited).
957 c (Mx over (DV touching TD)) space for designs having (x+2) or more metal levels
must follow Rule 502, 504R, and 504d in Table 33 on page 133, and Rules 602,
604R and 604d in Table 34 on page 141, where x = 1,2,3,4,5,6.
1. See Figure 74, In Line Wirebond Rules (with LM last metal) on page 298 or Figure 76, Staggered Wirebond Rules (with LM last
metal) on page 299. Rules that start with the letter S apply to staggered designs only.
2. All references to DV or DV(touching TD) in this table refer to openings in the passivation above a wirebond pad for the LM last metal
options, unless otherwise noted.
3. Rule 650a in Table 36, TV and FV Rules for LM Metal Options on page 149 governs the TV width perpendicular to the CHIPEDGE.
4. The maximum (LM width rule, just below (TV sized by Rule 948a per edge) is exempt for wirebond pads. See Rule 635b in Table 35,
LM (Thick) Metal Layout Rules on page 147.
5. This rule may be omitted from DRC if there are other methods that non LM BEOL metallization levels are reported as DRC violations if
concurrently used with LM BEOL metallization levels. Vias are not listed since vias must be within their metal above or design level
or metal below, which are validated by this layout rule.
6. The metal must be connected to RX and must satisfy the ESD Rules, see Section 6.0.
7. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
Design
,Levels:
EFUSE,
DI, IND,
941c IND_FILT,
LM LM_RFLINE,
Terminal Contact TRANSMIS,
WB06 948a Kx, SRAMxx
or for 5LM
BEOL,: OP,
946a 650a TV PC over (RX
WB05/WB05cd sized +0.14)
943 942c
942c,
See DV 951,
Bonding 946ca 952
versus
Probing (951a not shown)
Figure
Terminal Pad
945d Opening
TD
WB04
WB08 658ab 945cR
WB03
CHIPEDGE
LM LM
TV TV
WB05 WB05cd
946cc 946cd
DV
DV
PROBE
940, 940a1, 940a2
Figure 75. Wirebond Pad Layout (for Probing versus Wirebond Only)
The fine-pitch wire-bond option requires a wire diameter less than 25m. Contact your IBM technical rep-
resentative for more information.
The fine-pitch wire-bond option is not offered with staggered wire-bond pads.
Multiple-device-under-test (DUT) probe testing with the fine-pitch wire-bond option requires prior
approval from your IBM technical representative. If testing is approved, designers must provide the x- and
Table 105. Fine Pitch In-Line and Staggered Wire-Bond Rules (with LM and TD last metal levels)1,2
WB04a c (TD touching FINE_WB) terminal pad width (parallel to the closest 50.00
chipedge).
WB05a c (TD touching (PROBE touching FINE_WB)) terminal pad length (per- 139.00
pendicular to the closest chipedge).
WB05ca c (TD touching FINE_WB) terminal pad length (perpendicular to the 66.00
closest chipedge) for bonding only (probing is prohibited).
941caR d 3,4,5
DV(touching TD, covered by FINE_WB) terminal pad center to center 52.00
(single row of pads).
945da c Only in-line wire-bond option is offered with fine-pitch wire-bond lay- = -
out. Staggered wire-bond option is prohibited.
946cb c DV(touching TD, touching by FINE_WB) terminal pad width and 44.00
length (must be square) ( probing is prohibited).
946ce c DV(touching TD, touching (PROBE touching FINE_WB) terminal pad 117.00
length (perpendicular to the closest CHIPEDGE).
2. All references to DV or DV(touching TD) in this table refer to openings in the passivation above a wirebond pad for the LM last metal
options, unless otherwise noted.
3. All references to DV refer to openings in the passivation layer above a wire-bond pad unless otherwise noted.
4. This Rule assumes that dummy design level FINE_WB is present, and PROBE is or is not present
LM LM
TV TV
WB05a
946cb WB05ca
946ce
FINE_WB DV
940a, 940a3, 940a4
DV
WB04a
Fine-Pitch Terminal Pad for
Wire-bonding Only (No Probing)
PROBE and FINE_WB
940, 940a, 940a1, 940a2
WB04a
TV
Wire-bond Region
52 m
DV
Probe Region
65 m (Closest to CHIPEDGE)
CHIPEDGE
RF device performance is not characterized or qualified below wirebond pads. For more information, contact
your IBM technical representative.
MA941b c 1 DV(touching MA) terminal pad center to center (single row of pads). 73.00
MA946b c DV(touching MA) terminal pad width (parallel to the closest 62.00
CHIPEDGE) (must be rectangular).
MA946g c DV(touching MA) terminal pad length (perpendicular to the closest 95.00
CHIPEDGE) (increasing the dimension perpendicular to
CHIPEDGE does not impact the pitch).
MA954 c Mx enclosed areas under (DV(touching MA) expanded by Rule MA948b1 per
edge) not allowed (x=1,2,3,4,Q,G).
2. This rule may be omitted from DRC if there are other methods that non MA BEOL metallization levels are reported as DRC violations if
concurrently used with MA BEOL metallization levels. Vias are not listed since vias must be within their metal above or design level
or metal below, which are validated by this layout rule
4. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
Note: If the pitch used is less than 90 m, the designer must take into account that there may be unique
packaging and test requirements. Designs that use a tight pitch should be reviewed with the IBM Technical
Representative
There may be special concerns when minimum pitch is used near chip corners. It is required that designs
with tight pitches in the corners be reviewed with the IBM Technical Representative prior to completion of the
design.
MA941b
MA
MA
946g MA946b
DV
MA948b1 MA942b
MA951
MA951a
MA945b
MA944b
CHIPEDGE
RF device performance is not characterized or qualified below wirebond pads. For more information, contact
your IBM technical representative.
941cLD c 1,2
(DV touching LD) terminal pad center to center (single row of pads). 73.00
942cLD c (DV touching LD) terminal pad to {OL,QT, EFUSE, DI, IND, 3.00
IND_FILT, TRANSMIS, SRAMPC, SRAMRX, SRAMM1, SRAMCA,
Kx (x=2,3,4,5), LOGOBND, VNCAP}.
945cLDR d (DV touching LD) terminal pad must be within CHIPEDGE (maxi- 190.00
mum) (measured from the closest edge of the DV to the perimeter
of the chipedge).
945dLD c (DV touching LD) terminal pad must be within CHIPEDGE (maxi- 230.00
mum, entire DV shape).
946caLD c (DV touching LD) terminal pad width (parallel to the closest 62.00
CHIPEDGE).
946cdLD c (DV touching LD) terminal pad length (perpendicular to the closest 95.00
CHIPEDGE) for bonding only (probing is prohibited).
951LD c ((DV touching LD) expanded by Rule WB08LD) terminal pad over {OL, QT,
EFUSE, DI, IND, IND_FILT, TRANSMIS, SRAMPC, SRAMRX, SRAMM1,
SRAMCA, Kx (x=2,3,4,5), LOGOBND, VNCAP} is prohibited.
This rule also is intended to prohibit SRAMs or Dense SRAMs below a (DV
expanded by Rule WB08) Terminal Pad, which includes the Dense SRAM
derived mask levels CF, D1, VE, which are not verified.
3
951aLD c ((DV touching LD) expanded by Rule WB08LD) terminal pad touching {LM, TD,
TV, FV, LM_RFLINE, L1, MA, MA_RFLINE, E1, LY, OL, QT} is prohibited.
952LD c For LD BEOL designs with exactly 5 levels of metal, {OP, PC over (RX expanded
by +0.14m per edge)} must not touch ((DV(touching LD)) expanded by Rule
WB08LD) terminal pad.
4,5
953LD c (LD touching DV) must be connected to a RX shape. (Floating wirebond pads are
prohibited).
957LD c (Mx over (DV touching LD)) must follow Rules 502, 504R, and 504d in Table 33
on page 133, and Rules 602, 604R and 604d in Table 34 on page 141, where x =
1,2,3,4,5.
1. Rule may alternatively be coded using Rule LD657b if center-to-center verification is a known verification limitation.
3. This rule may be omitted from DRC if there are other methods that non OL with LD BEOL metallization levels are reported as DRC
violations if concurrently used with OL with LD BEOL metallization levels. Vias are not listed since vias must be within their metal
above or design level or metal below, which are validated by this layout rule.
4. The metal must be connected to RX and must satisfy the ESD Rules, see Section 6.0.
5. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
Note: If the pitch used is less than 90 m, the designer must take into account that there may be unique
packaging and test requirements. Designs that use a tight pitch should be reviewed with the IBM Technical
Representative
There may be special concerns when minimum pitch is used near chip corners. It is required that designs
with tight pitches in the corners be reviewed with the IBM Technical Representative prior to completion of the
design.
Note: Rule 940LD, 953LD and Rule 957LD are not shown.
941cLD 941cLD
OL VV 948a
via WB06LD
LD Terminal
Contact
OL
943LD 942cLD
DV 942cLD
951LD
946caLD 951aLD
DV 952LD
DV
946cdLD
Terminal Pad
945dLD Opening
LD
WB08LD 658ab 945cLDR
LD04a1
CHIPEDGE
RF device performance is not characterized or qualified below wirebond pads. For more information, contact
your IBM technical representative.
AM941b c 1 DV(touching AM) terminal pad center to center (single row of pads). 73.00
AM942b c DV(touching AM) terminal pad to {EFUSE, FQ, MQ, DI, IND, 3.00
IND_FILT, TRANSMIS, SRAMPC, SRAMRX, SRAMM1, SRAMCA,
LOGOBND, K5, VNCAP}.
AM946b c DV(touching AM) terminal pad width (parallel to the closest 62.00
CHIPEDGE) (must be rectangular).
AM946g c DV(touching AM) terminal pad length (perpendicular to the closest 95.00
CHIPEDGE) (increasing the dimension perpendicular to
CHIPEDGE does not impact the pitch).
AM954 c Mx enclosed areas under (DV(touching AM) expanded by Rule AM948b1 per
edge) not allowed (x=1,2,3,4,5,Q).
2. This rule may be omitted from DRC if there are other methods that non AM BEOL metallization levels are reported as DRC violations if
concurrently used with AM BEOL metallization levels. Vias are not listed since vias must be within their metal above or design level
or metal below, which are validated by this layout rule
3. The metal must be connected to RX and must satisfy the ESD rules given in section 7.0 , Electrostatic Discharge (ESD) on page 523.
The connection to RX must be a DC path (not through a capacitor).
4. The connectivity net definition for this rule allows pass through for resistors which have an (OP intersect {RX or PC} aspect ratio of less
than 100 to 1. This limits the resistance of pass through resistors.
Note: If the pitch used is less than 90 m, the designer must take into account that there may be unique
packaging and test requirements. Designs that use a tight pitch should be reviewed with the IBM Technical
Representative
There may be special concerns when minimum pitch is used near chip corners. It is required that designs
with tight pitches in the corners be reviewed with the IBM Technical Representative prior to completion of the
design.
AM941b
AM
AM
946g AM946b
DV
AM948b1 AM942b
AM951
AM951a
AM945b
AM944b
CHIPEDGE
Introduction:
Modelled Bondpads are offered that simulate the loading effect of an C4 pad or wirebond pad over four pos-
sible groundplanes: BFMOAT, PC, RX or M1. For additional information, see section 4.35 , Bondpad Mod-
els on page 436. LM and MA or OL with LD metallization option bondpad model Layout Rules are included in
section , Modelled Wirebond and C4 Bondpad Layout Rules on page 310.
Note: The PC and Mx (x=1,2,3,4,5,6,Q,G), LM, OL, LY, or E1 local density requirements may be more easily
satisfied compared to the RX local density requirements for the modelled bondpads, as described below.
Note: For information on IBM generated autofill for the BONDPAD design level, see Table 244, xxFILL
Rules, on page 549.
RX Local Pattern Density Considerations Using the BONDPAD Design Level
The RX local pattern density layout Rules EPDL_RX and 41 (see Table 21 on page 95) must be satisfied
when using modelled bondpads prior to design submission to IBM.
Note: The use of the design level BONDPAD, which is used to define modelled wirebond or C4 bondpads,
may not always satisfy the RX local pattern density Rule EPDL_RX for every design. Contact your IBM tech-
nical representative for more information.
The RX groundplane modelled bondpad should not affect a designers ability to meet RX local pattern
density requirements.
Use of the BFMOAT or PC or M1 Groundplane modelled bondpads may affect a designers ability to meet
the requirements of RX local pattern density Rule EPDL_RX.
- For the BFMOAT or M1 modelled bondpad, low density IBM auto-generated RXFILL is placed within
the BFMOAT design level. As a result, placement of the modelled BFMOAT bondpad may not always
satisfy the RX local pattern density Rule EPDL_RX, especially when modelled BFMOAT bondpads
are placed in closed proximity to other devices that do not contain the RX design level, or are placed
in close proximity to other design levels that prohibit the placement of IBM auto-generated RXFILL.
- The RX local pattern density requirement may not always be achieved for modelled bondpads that
include the PC groundplane.
IBM auto-generated RXFILL is suppressed from being placed under the modelled PC ground-
plane.
The RX local density requirement may not be met when multiple modelled PC groundplane bond-
pads are placed in close proximity, or are placed in close proximity to other devices that do not
contain the RX design level, or are placed in close proximity to other design levels that prohibit
the placement of IBM auto-generated RXFILL.
Note: The use of the modelled PC groundplane bondpad, or use of large or multiple modelled PC
groundplane bondpads in close proximity, is not recommended except if Rule EPDL_RX is satisfied.
The rules in the Table 109 are for terminals which include the BONDPAD shape for C4 or Wirebond LM, MA
or OL with LD metallization options, for the BFMOAT, PC or RX or M1 groundplane offerings.
Table 109. Common Layout Rules for C4 or Wirebond LM, MA or OL with LD Metallization Option M1 Modelled Pads
BONDPAD3c1 a 1
(BONDPAD touching {BFMOAT, M1}) touching {RX. PC} is pro- = -
hibited.
The rules in the Table 110 are for terminals which include the BONDPAD shape for the OL with LD BEOL
metallization options, for both for wirebond (DV) and C4 (LV) terminations as defined in Table 13 on page 66
and are therefore extracted as a device instead of a parasitic. The BONDPAD device has the parasitic capac-
itance (to BFMOAT or PC or RX or M1) included in the model. If other design levels are under the pad, the
parasitics are altered, so the bondpad should be extracted with parasitics rather than as a device (i.e. remove
the BONDPAD shape).
Table 110. Pad Model Rules for C4 and Wirebond for OL with LD Metallization
BONDPAD3b c 1 (LD over BONDPAD) must be within (BP or BFMOAT or (the outer 4.90
edge of the (PC[Lattice] touching BONDPAD)) or (the outer edge
of the (RX[Lattice] touching BONDPAD))).
BONDPAD4b c 2 (LD over BONDPAD) to {BB, NW, Mx (x=3,4,5,Q,G), OL, (PC out- 4.90
side BONDPAD)}. ((LD over BONDPAD) touching {BB, NW, Mx
(x=3,4,5,Q,G), OL} not allowed).
Table 110. Pad Model Rules for C4 and Wirebond for OL with LD Metallization
2. PC is intentionally omitted from the touching description of Rule BONDPAD4. PC{Lattice] is permitted below (LD over BONDPAD) as
described in Rules BONDPAD3b.
The rules in the Table 111 are for terminals which include the BONDPAD shape for the LM BEOL metalliza-
tion options, for both for wirebond (DV) and C4 (LV) terminations as defined in Table 11 on page 64 and are
therefore extracted as a device instead of a parasitic. The BONDPAD device has the parasitic capacitance
(to BFMOAT or PC or RX or M1) included in the model. If other design levels are under the pad, the parasitics
are altered, so the bondpad should be extracted with parasitics rather than as a device (i.e. remove the
BONDPAD shape).
Table 111. Pad Model Rules for C4 and Wirebond with LM Metallization
BONDPAD3a c 1 ((LM or TD) over BONDPAD) must be within (BP or BFMOAT or 4.90
(the outer edge of the (PC[Lattice] touching BONDPAD)) or (the
outer edge of the (RX[Lattice] touching BONDPAD))).
2. PC is intentionally omitted from the touching description of Rule BONDPAD4a. PC{Lattice] is permitted below ((LM or TD) over
BONDPAD) as described in Rules BONDPAD3a.
The rules in the Table 112 are for terminals which include the BONDPAD shape for the MA BEOL metalliza-
tion options, for both for wirebond (DV) and C4 (LV) terminations, as defined in Table 12 on page 65 and are
therefore extracted as a device instead of a parasitic. The BONDPAD device has the parasitic capacitance
Table 112. Pad Model Rules for C4 and Wirebond with MA Metallization
BONDPAD3 c 1 (MA over BONDPAD) must be within (BP or BFMOAT or (the outer 4.90
edge of the (PC[Lattice] touching BONDPAD)) or (the outer edge of
the (RX[Lattice] touching BONDPAD))).
BONDPAD4 c 2 (MA over BONDPAD) to {BB, NW, Mx (x=3,4,Q,G), (PC outside 4.90
BONDPAD)}. ((MA over BONDPAD) touching {BB, NW, Mx
(x=3,4,Q,G)} not allowed).
2. PC is intentionally omitted from the touching description of Rule BONDPAD4. PC{Lattice] is permitted below (MA over BONDPAD) as
described in Rules BONDPAD3.
The rules in the Table 113 are for terminals which include the BONDPAD shape for the AM BEOL metalliza-
tion options, for both for wirebond (DV) and C4 (LV) terminations, as defined in Table 14 on page 67 and are
therefore extracted as a device instead of a parasitic. The BONDPAD device has the parasitic capacitance
(to BFMOAT or PC or RX or M1) included in the model. If other design levels are under the pad, the parasitics
are altered, so the bondpad should be extracted with parasitics rather than as a device (i.e. remove the
BONDPAD shape).
Table 113. Pad Model Rules for C4 and Wirebond with AM Metallization
Table 113. Pad Model Rules for C4 and Wirebond with AM Metallization
BONDPAD3d c 1 (AM over BONDPAD) must be within (BP or BFMOAT or (the 4.90
outer edge of the (PC[Lattice] touching BONDPAD)) or (the outer
edge of the (RX[Lattice] touching BONDPAD))).
BONDPAD4d c 2 (AM over BONDPAD) to {BB, NW, Mx (x=3,4,5,Q), (PC outside 4.90
BONDPAD)}. ((AM over BONDPAD) touching {BB, NW, Mx
(x=3,4,5,Q)} not allowed).
BONDPAD5d c (AM over BONDPAD) to (RX outside of BONDPAD). 3.50
1. (RXHOLE within the outer edge of the RX[Lattice]) or (PCHOLE within the outer edge of the PC[Lattice]) groundplane are exempt
from this rule.
2. PC is intentionally omitted from the touching description of Rule BONDPAD4. PC[Lattice] is permitted below (AM over BONDPAD) as
described in Rules BONDPAD3d.
The largest possible pad size and opening should be used for manufacturing robustness.
Pad designs and the associated test approach must be approved by your IBM technical representative.
On chips with LM BEOL staggered wire-bonds pads, power and ground pads are prohibited on the inner
row.
On chips with LM BEOL staggered wire-bond pads, inner rows cannot be test probed. Inner pads are
designed to be bond only (52 x 52m). If testing is required on inner pads, the pad DV opening must be
altered to 52 x 95m.
Testing is done at 30 - 85 degrees C unless prior arrangements are made with the applicable test group.
Corner Rules:
- Inline pads:
(1) At the four corner areas, the first bond pad must be placed away from the mechanical and ther-
mal stress concentrated die edges. Unique patterns must be placed there for eye-point recogni-
tion. Starting from the corners, the first four pitches must be wider than the pitch in
regularly-repeating bond pad center area. See Figure 82, Corner Rules, In Line Wirebond Cor-
ner Pad for Single DUT (Device Under Test) on page 314 for design dimensions. All pads must
be located geometrically or symmetrically toward the direction of the internal leads. This may not
apply to chip sizes less than or equal to 4mm - Contact your IBM Packaging Representative.
(2) For Multi-DUT, an additional bondpad centerline spacing criteria must be satisfied at the four cor-
ners. Figure 84, In Line WIrebond Corner Pad Design Rules for Multi-DUT Probing (Device
- Staggered pads (LM Last Metal only): See Figure 83, Staggered Wirebond Corner Pad Design
Rules (with LM last metal) on page 315 for design dimensions. All pads must be located geometri-
cally or symmetrically toward the direction of the internal leads.
For LM BEOL, each 52 x 95m DV pad opening is segregated as follows to optimize bondability and reli-
ability.
- The test probe region is the 52 x 85m pad region closest to the chip edge (probe design X/Y coordi-
nates are supplied as the center point of this region).
- The bond region is the 52 x 52m pad region toward the chip center. This region can overlap the
probe region.
230
125
Rule S941c
CHIPEDGE
312 150 150 90 946ca
Typical
95
125 DV
946ca
125 Typical
230 140 140 125 90
Rule S941c
Figure 83. Staggered Wirebond Corner Pad Design Rules (with LM last metal)
For wire-bond pads probed in chip corners, the probe region vertical and horizontal center lines in the
corner pads must be spaced at least 200m apart.
Note: Multiple DUT probe testing with the fine-pitch wire-bond option requires prior approval from your IBM
technical representative. If testing is approved, the probe region coordinates must be provided.
Center-line of
Inner Pads 200 (Note: Figure is not drawn to scale)
Figure 85. Staggered WIrebond Corner Pad Design Rules for Multi-DUT Probing (Device Under Test, with LM last
metal)
Chips packaged in plastic flat packs are subject to chip/plastic thermal mismatch stress which can result in
dielectric cracking and metal movement around the chip periphery. Susceptibility is a function of many factors
including the module build process, chip size, chip film thicknesses and composition, topology, and metal lay-
out. It is strongly recommended that chips to be packaged in plastic flat packs follow the slot and corner
chamfer guidelines below.
1. The portion of the chip where slots in the metal will provide stress relief can be approximated by placing a
dummy box that is 10mm x 10mm in the center of the chip. Wide metal lines outside of the box should
have slots placed in them.
2. The data suggests that the order of importance for placing slots in metal is from top to bottom.
That is, for the MA metallization options listed in Table 12 on page 65, it is most important to place
slots in MA, E1, LY and least important to place them in M1.
That is, for the OL with LD metallization options listed in Table 13 on page 66, it is most important to
place slots in LD, OL and least important to place them in M1.
3. A wide metal line is defined as being 40 m wide. Only wirebond pad areas are excepted.
4. Slots in MA or LD are recommended to be approximately 5 m wide and 30 m long.
5. Slots should be placed such that the maximum last metal width (outside of the 10x10 box) should be
< 40 m wide.
6. If the lines are very wide, space the slots 10 to 40 m apart along their sides. End to end spacing
between slots should be 5 m. It is desirable to stagger the starting position of the slots for long, wide
metal.
7. To avoid EM problems resulting from current funneling due to slots, the length of the slots should be par-
allel to the current flow. Care should be taken to avoid geometries that will funnel currents and lead to EM
problems.
8. Areas of the chip that should have slots in the metal should also have chamfered corners on right angled
bends of wide MA, E1, LY, or LD, OL lines. The length of the inside edge of the chamfered corner should be
15 m long.
9. The use of MA, E1, LY, LD or OL in the corners of the chip should be avoided other than for wirebond
pads and the chip guard ring. The corners of the chip are defined as the four triangular regions in the cor-
ners whose sides along the edge of the chip are 400 m long.
400um
CORNER AREA
>3um
CHIPEDGE
30um
LAST METAL SLOT AREA
The guidelines below must be followed when designing a chip guard ring:
The chip guard ring must be a complete, unbroken ring around the entire active chip area. This applies to
all shapes used to define the guard ring. The chip guard ring must be connected to the ground bus.
The chip guard ring must be comprised of the following levels: RX, BP, CABAR, M1, V1BAR, M2, VLBAR,
MQ. Continuous xxBAR vias and contacts must be used in the guard ring structure.
- For the LM metallization options see Table 11, LM last metal Back End Of Line (BEOL) Metallization
Options, on page 64. If the levels V2BAR, M3, V3BAR, M4, V4BAR, M5, V5BAR, M6, VQBAR, MG,
VGBAR, and LM are present in the data, then they must also be included in the guard ring. (Note:
The TV via and TD design level are not used in the chip guard ring structure).
- For the MA metallization options defined in Table 12, MA last metal Back End Of Line (BEOL) Metal-
lization Options, on page 65, the following also apply: FYBAR, LY, FTBAR, E1, F1BAR, MA. The fol-
lowing levels must be present if they are present in the design data: V2BAR, M3, V3BAR, M4,
VQBAR, MG.
- For the OL with LD metallization options defined in Table 13, OL with LD last metal Back End Of Line
(BEOL) Metallization Options, on page 66, the following also apply: JTBAR, OL. The following levels
must be present if they are present in the design data: V2BAR, M3, V3BAR, M4, V5BAR, M5,
VQBAR, MG. (Note: The VV or VVBAR via design levels and LD last metal design levels are not used
in the chip guard ring structure)
- For the AM metallization options defined in Table 14, AM last metal Back End Of Line (BEOL) Metal-
lization Options, on page 67, the following also apply: V2BAR, M3, V3BAR, M4, V4BAR, M5,
The measured width of the 45 CABAR, V1BAR, V2BAR, V3BAR, V4BAR, V5BAR, VLBAR, VQBAR,
VGBAR, FYBAR, FTBAR, F1BAR, FQBAR, or JTBAR shapes on the corner bevels may not exactly
match the specified dimensions due to grid snapping ( 0.014 tolerance, or plus or minus the grid times
the square root of 2 tolerance). This tolerance also applies to the chip guard ring within rules.
The length restriction on CABAR, V1BAR, V2BAR, V3BAR, V4BAR, V5BAR, VLBAR, VQBAR, VGBAR,
FYBAR, FTBAR, F1BAR, FQBAR, or JTBAR, does not apply to the chip guard ring.
The chamfer region is required for all chips. An exact 125 m chamfer or corner bevel must be cut from
each corner of the chip. For process robustness, all designs must follow the chamfer rules. The chamfer
area is triangular, and has an area of exactly half of a 125 m2 square. The chip guard ring does not
enclose the chamfer area. The chip guard ring has 45 edges at the corners of the active chip area.
Data preparation and design services are not performed on the 125 m chamfer and fill shapes are not
placed in this region. The crackstop is to be automatically placed here during KERF merge. A shape
exactly matches triangular chamfer area must be added to all four corners on the PROTECT level (see
section 3.38 , Protect Layer on page 325. ).
The chip guard ring should be comprised of four cells (top, bottom, left and right) placed on the primary
cell. This eases hierarchical data manipulation for design rule checking and design preparation.
990e a VxBAR exact width, where x = 1,2,3,4,5 (see also Rule IND560 in 0.20
Table 96 on page 269 or for VxBAR dimensions and Rule 558 in
Table 33 on page 133 for VxBAR use allowances).
990g a VxBAR exact width, where x = G, L, Q (see also Rule IND625 in 0.40
Table 96 on page 269 for VxBAR dimensions or Rules 558a, 558b,
558c in Table 33 on page 133 for VxBAR use allowances).
990g1 a A minimum of one continuous VyBAR in the chip guard ring layout 1.20
between each pair of consecutive metal levels is required, where y = L,
Q, G. If multiple parallel via bars exist in the chip guard ring, then:
VLBAR to VLBAR space
VQBAR to VQBAR space
VGBAR to VGBAR space
990FY2b a A minimum of one continuous FYBAR in the chip guard ring layout 2.00
between (MQ or MG) and LY is required. If multiple parallel via bars
exist in the chip guard ring, then:
FYBAR to FYBAR space.
(see also Rule FY14 in Table 48 on page 166 for FYBAR use allow-
ance).
990JTc a If multiple parallel JTBAR exist in the chip guard ring, then: JTBAR 10.0
space. 0
(Note: Design Min value supersedes Rule JA3 in Table 42 on page 156
for JTBAR over GUARDRNG. See also Rule JA2 for JA to JTBAR
space).
990FQ2b a A minimum of one continuous FQBAR in the chip guard ring layout 2.00
between MQ and AM is required. If multiple parallel via bars exist in the
chip guard ring, then: FQBAR to FQBAR space.
(see also Rule FQ14 in Table 57 on page 174 for FQBAR use allow-
ance).
994h1 c 8 VLBAR must be within M2 (for 6 or 7 level of metal with MA = last metal, 0.55
see Table 12 on page 65) or for (5 level of metal for OL with LD = last
metal, see Table 13 on page 66).
999 a RX, M1, M2, M3, M4, M5, M6, MQ, MG, LM must be within CHIPEDGE. 0.00
For other rules related to CHIPEDGE, see Rule 658, the Terminal Con-
tact rules.
1000LM c For designs that include LM, the guard ring must be connected, using -
LM metal, to a wirebond or C4 pad.
1000MA c For designs that include MA, the guard ring must be connected, using -
MA metal, to a wirebond or C4 pad.
1000OL c 10,11 For designs that include OL, the chip guard ring must be connected, -
using OL metal, to a LD metallization wirebond pad or C4 pad.
1000AM c For designs that include AM, the guard ring must be connected, using -
AM metal, to a wirebond or C4 pad.
2. GUARDRNG must be drawn so as to completely cover the metal of the chip guard ring, but not cover any metal shapes unrelated to the
chip guard ring. This rule does not apply to LM or MA or OL to satisfy other rules defined in this table.
7. Non-orthogonal widths can be checked to +/- 0.014um from the groundrule value.
8. Rule does not apply to the LM BEOL metallization options defined in Table 11 on page 64.
9. Rule does not include VVBAR since VVBAR touching GUARDRNG is satisfied per Rule LD93 in Table 47 on page 164 and Rule 990e2.
10. If net checking is not feasible for this rule, one suggested boolean methodology for identifying wirebond pads connected to the chip
guard ring is: (OL touching (OL over GUARDRNG)) touching (VV touching (LD touching (DV over LD)))]. Alternative verification
methods, that satisfy this rule, are allowed.
11. If net checking is not feasible for this rule, one suggested boolean methodology for identifying C4 pad connected to the chip guard ring
is [(OL touching (OL over GUARDRNG)) touching (VV touching (LD touching (LV over LD)))]. Alternative verification methods, that
satisfy this rule, are allowed.
AM
MA
FQBAR
CHIPEDGE
F1BAR
MQ
E1 VLBAR
OL
M5
FTBAR
JTBAR V4BAR
MQ LY M4
VLBAR V3BAR
FYBAR
M3 MQ M3
V2BAR V2BAR
VLBAR
M2 M2 M2
M1 M1 M1
RX RX RX
Figure 87. Chip Guard Ring Cross-Section (6 Level metal with MA or OL with LD last metal or 7 Level metal with AM last
metal). Not drawn to scale.
The following Design Levels are prohibited over PROTECT: RX, JD, NW,
BB, NS, RN, LW, PV, PI, XW, NV, JN, JP, DG, PC, XE,XF,PD,RR,OP, Mx,
M6, LM, LY, E1, L1, MA, AM, TD,FV, OL, LD, QT where x=1,2,3,4,5,Q,G.
The following Design Levels are prohibited over PROTECT: PCING, RP,
Kx (where x=2,3,4,5,6).
The following Dummy Design & Utility Levels are prohibited over PRO-
TECT: BFMOAT, BONDPAD, xxEXCLUD where xx = {LY, E1, MA, AM,
OL, LD}, ESDIODE, EFUSE, GUARDRNG, IND, IND_FILT, LOGOBND,
LM_RFLINE, LMTRANS, MA_RFLINE, MGDUMHOL, MQDUMHOL,
MxTRANS (where x = 1,2,3,4,5,6,Q,G), NW_RES, OUTLINE, PCEX-
CLUD, RXEXCLUD, VAR, VNCAP, ZEROVT.
The following Masks for Non-Design Levels are prohibited over PRO-
TECT, except if generated by IBM: BT, DW, BF, BH, DE, DF, PH, VI, BN,
QE.
2. For Rule PT01h, pin levels are to be checked, if required for DRC completeness.
4. The Restricted design levels may be omitted from checking to this rule, if they are either 1) not included in the design kit or 2) checked
by other rules in DRC used to identify them as Restricted. This Rule check for the PROTECT shape differs from the Rules checking
these levels for the CHIPEDGE (see related Rules RL01, RL03, RL04, RL05, RL06, RL07 in Table 18, Reserved Level Layout Rules,
on page 83).
5. These Masks for Non-Design Levels may be omitted from checking to this rule, if they are checked by other rules in DRC used to identify
them as Restricted for design or use or not allowed to be drawn within PROTECT. See Table 7, Masks for Non-Design Levels, on
page 58.
6. The Restricted design levels may be omitted from checking to this rule if they are not included in the design kit.
3.39 Crackstop
Special crackstop design is placed around the entire chip. This is done by Design Services and is transparent
for designers. For details and purpose of the crackstop, contact your IBM technical responsible.
- company logo
- maskwork notice
Chip Identification
- part number
PN100 b 1 {RX, JD, NW, LW, PV, JN, JP, (PI sized by 1.1), XW, NV, BB, DG, PC, PCING,
PCFUSE, XE, XF, PD, RR, RP, NS, RN, OP, CA, M1, M2, M3, M4, M5, M6, K2, K3,
K4, K5, K6, MQ, MG, LM, QT, HT, KT, OL, LD, LY, QY, HY, E1, L1, MA, AM, TD,
BFMOAT, BONDPAD, C4LV, DS_MATCH, EFUSE, (ESDIODE sized by 0.1),
GUARDRNG, IND, IND_FILT, LM_RFLINE, LMTRANS, MA_RFLINE, MQDUM-
HOL, MGDUMHOL, MIM_HK, MIM_NI, NW_RES, SRAMRX, SRAMPC,
SRAMM1, VAR, (ZEROVT sized by 0.52), E1FILL, JTHOLE, LDFILL, LMFILL,
LMHOLE, LYFILL, MAFILL, AMFILL, MxFILL, MxHOLE, , OLHOLE, PCFILL,
RXFILL, VyHOLE, where x = 1,2,3,4,5,6,Q,G, y = 1,2,3,4,5,L,Q,G), QE} straddling
LOGOBND is prohibited.
PN101 b The leading edge of LOGOBND must be within CHIPEDGE (maxi- < 50.0
mum).
1. For levels verified in DRC, see Section 2.2 , Mask Level Definitions on page 27; Table 2 and Table 3 (for only the levels supported
in the design kit techfile in Table 3), Section 2.3 , Dummy Design Levels and Utility Levels on page 45; Table 6, and Section
2.4 , Masks for Non-Design Levels on page 58 ; Table 7 and Section P.4, Far BEOL Manipulation on page 548 (already
verified per Table 2).
4. Rules may change to required in a future version of this document. Presently, these are checked using the equivalent rule without the
PN prefix.
5. See also Rule 907 in Table 100, C4 Layout Rules (Active and Dummy with LM last metal level), on page 278 for TV and TVDUMMY
and Rule MA907 in Table 101, C4 Layout Rules (Active and Dummy with MA last metal level), on page 284 for LV and LVDUMMY
or Rule LD907 in Table 102, C4 Layout Rules (Active and Dummy for LD last metal level), on page 288 for LV and LVDUMMY.
These chip protection notices must be placed on first metal (M1) only.
An example of a combined maskwork and copyright notice is shown below. Note that the font shown has
enclosed shapes which might be subminimum. A design character generator will produce shapes without
enclosed areas.
Exceptions
(1) Products for which the deliverable is untested wafers
(2) If the customer supplies a merged dataset that contains several chips or tiles, as in a multi-project
wafer (MPW) or an array of chip variants for design optimization, the IBM-assigned part number
must only appear once in the dataset. Place the part number in one of the corners of the dataset
as described in section 3.40.1 , General Requirements on page 329. In addition, the following
two statements apply:
If IBM is required to dice the sandbox into chip dies or test the chips, the Customer should
place a unique identifier for each instance of the chip. The customer should also provide a
drawing / GIF of the location and label of each chip with data submission.
- A single letter release version label (A, B, etc.) may appear on each level (subject to the constraints
listed in section 3.40.1 , General Requirements on page 329.) Alternatively the actual EC number
may be used.
Release version ID
(for RX level) M2A Mask level ID and release
A version ID (for M2 level)
PCA V2A
M3A
CAA Substrate guard ring
V3A
M1A surrounding all labels
M4A
Chip origin V1A V4A CHIPEDGE and guard ring
AMA
The surrounding line is the substrate contact ring at the lower left side of the chip.
Feature Part numbers, on page 11) the following ID shape must be added to the layout covering the
CHIPEDGE shape. Also see section 2.9 , Important Design Guidelines on page 84 for additional informa-
tion.
2. For NOPLYMD or CHIPEDGE containing 45 degree angle bevels, the measured coincidence of these levels many not exactly match
due to grid snapping. A +/- square root of 2 x grid tolerance can apply during coincident checking.
3. For multi project wafer (MPW) designs submissions that may require both polyimide and no polyimide processing, contact your IBM
technical representative.
1. Maximum chip size in the x-direction: 19.5 mm for thin production kerfs.
2. Maximum chip size in the y-direction is 21.0 mm.
3. The X and Y dimensions of CHIPEDGE must be an even multiple of 0.01 m and must be on grid.
See Table 118, CHIPEDGE Design Rules on page 334
4. Large chip sizes, exceeding 14.6 mm on a side, may result in nonlinear cost increases compared to
smaller chips.
5. Large chip sizes, exceeding 20.0mm, must be reviewed by the kerf design group.
The maximum die size might be restricted depending on the selected package technology. Contact your IBM
technical representative to obtain the correct die size for the specific package.
Device Name (FET) Model Name Max1 Min Min Tox Design and
Vdd [V] LDes WDes [nm] Dummy Design
[m] [m] Levels2
Thick PFET25
dgpfet 2.7 0.24 0.36 5.2 NW,BP, DG
(Regular IO PFET)
Thin Triple Well NFET nfettw 1.6 0.12 0.16 2.2 PI, NW3
Thick Triple Well NFET dgnfettw 2.7 0.24 0.36 5.2 PI, DG, NW4
Device Name (FET) Model Name Max1 Min Min Tox Design and
Vdd [V] LDes WDes [nm] Dummy Design
[m] [m] Levels2
Thick Triple Well NFET33 nfet33tw 3.6 0.40 0.50 5.2 T3, DG, XE, NW5
1. For maximum voltage use see 5.3 Front End Of Line (FEOL) Reliability Design Rules on page 461.
3. NW ring can be shared amongst multiple nfettw devices within a single isolated pwell.
4. NW ring can be shared amongst multiple dgnfettw devices within a single isolated pwell.
5. NW ring can be shared amongst multiple nfet33tw devices within a single isolated pwell.
6. NW ring can be shared amongst multiple hvtnfet33tw devices within a single isolated pwell.
Voltages greater than 6.0 V may not be used on PC lines which gate n(+) diffusions separated by thick
oxide (isolation oxide) design widths of < 0.60 m.
Voltages up to 6.0 V may be used on metal lines with isolation widths down to 0.60 m on n-channel
structures.
P-channel PC gated structures may carry negative voltages (bootstrapped below ground) with Vws biases
> 0.7 V and Vgs > -3.0 V.
For thin oxide FETs, except the ZVTNFET, the total Lp tolerance of 0.022 m is the numeric sum of the
cross-chip variation (0.011 m) and the chip mean variation (0.011 m).
For thick oxide FETs and the ZVTNFET, the total Leff tolerance of 0.032m is the numeric sum of the
cross-chip variation (0.016 m) and the chip mean variation (0.016 m).
To assure functionality:
For dynamic circuits, designers should assess leakage at the total Lp tolerance of 0.022 m (6) or
beyond a total 3 if the number of dynamic circuits is large. When the number of circuits requiring track-
ing is large, (e.g. SRAM cells) evaluation of tracking must use 4.5 sigma tracking numbers for product
robustness and good yield.
NFET and PFET hot electron analysis should be assessed at least at the minimum mean Lp variation of
-0.011m (0.016 m for the dual gate oxide device) plus the cross-chip 3 variation of 0.011 m (0.016
m for the dual gate oxide device). For large numbers of sensitive circuits 4.5 sigma analysis of the
cross-chip variation may be necessary.
Table 121. Gate Length Variation for thin oxide FETs (3)
1. Use these numbers for linewidths placed randomly over the entire chip area.
2. This is the variation of an identical line placed randomly across the chip. Identical means
same line width and same line space along with the same local environment (both PC
and RX).
3. For identical lines/same orientation, within 200m, the process induced line width
variation is covered by section 4.3.6.3 , "Threshold Voltage Tracking for Devices" on
page 344. If one is interested in the actual linewidth variation, this number is to be
used.
4. This is the variation in line width between two otherwise identical lines except for the
orientation. Identical means same line width and same line space along with the same
local environment (both PC and RX).
5. This is the variation in line width between lines with the same orientation and same line
width, but different local environment (e.g. line space, pitch, or density).
Note that the gate length variation numbers specified above assume that the PC density requirements as
described in section 2.9 , "Important Design Guidelines" on page 84 and section 2.10 , "Pattern Density
Rules" on page 87 are satisfied.
( Ids ) 2 ( ) 2V T
----------------- ------------ + -------------------------------
2
Ids ( V GS V T )
where
K
mobility = = ----------------------------------------------------------
-
( W K W ) ( L K L )
and
KV
V T = ------------------------------------------------------------------
T
-
( W K VTW ) ( L K VTL )
and where W is the drawn device width, L is the drawn device length, and K, KW, KL, KVt, KVtW, and KVtL
are fitting coefficients which are different for each device type.
Note 1: Units for L and W are meters in the mobility and Vt function calculations above.
The local threshold voltage shift at a point in the active region of the device (the union of PC and RX shapes
defining the active area), depends on its drawn distance, D from each NW or BF or BT edge. The effect on the
active area due to each Nwell edge is additive. The local DeltaVth is averaged over the active area of the
device to obtain the total Vt shift. Contact the Design Manual owner for any analytical description.
This effect does not occur in thick or thin oxide ZeroVT devices.
The empirical algorithm in the compact model is intended for noise evaluation purposes only and must not be
used to tailor device threshold voltages.
Note: The Vt change will always increase the absolute value of Vt.
PC-RX
distance
Metal Antenna
Keep the metal antenna ratio to a minimum, preferably tying the gates to diodes at M1. If metal antennae do
occur at M1, make the ratio small or equal for the devices to be matched, and tie the gates to diffusions at M2,
Well Proximity
The NWELL mask edge should be at least 3 m from the active area (PC over RX) of devices to be matched
if the Vt matching is required to be within limits. At closer spacings, the Vt associated with that active device
is raised by an amount that increases with reduction in the space.
If a 3m spacing is impossible to achieve, identical layouts must be used. The layout must be identical with
respect to placement of the Nwell relative to the source and drain nodes of the device, and the absolute value
of the threshold will be modified by the presence of mask edge. Mirror image layouts are subject to Vt
mismatch induced by NWell misalignment which can be 20mV or larger depending on Nwell misalignment
and device type. Such asymmetric layouts must be reviewed by your IBM technical representative prior to
use.
RX Width Consideration
For precise current ratioing always use different numbers of identically designed fingers and do not expect
that the ratio of the current in a wide or long device to that of a short or narrow device is precisely represented
by the model. When using multiple fingers designed in a common active area rectangle, the carrier mobility in
the outermost fingers, adjacent to a parallel RX (active area) edge, will not match the inner fingers due to
stress propagated from the RX edge to the adjacent device region. It is advisable to use dummy fingers on
the outside of the RX edge to ensure that the inner fingers all match.
Tox(eff) = 3.03 0.2 nm for NFETs and Tox(eff) = 3.23 0.2 nm for PFETs
where
For n-channel devices, the peak substrate current at Vds 1.6V will be less than 0.5 A/m at 25C.
0.028 0.028
0.0112 0.011 2
L m
0.0113 0.011 3
0.0224 0.022 4
0.010 0.040
0.040 2 0.040 2
W m
0.044 3 0.044 3
0.084 4 0.084 4
Gate Oxide 20 1
pA/m2 5/5 (x 42)
leakage (80)5 (4)
73
DIBL6 VB=0 V, Vtsat-Vtlin mV 5/0.12 76 40
+50 / -30
VD=1.2 V,
Body Effect 6 V 5/0.12 0.165 0.040 0.192 0.040
Vt-shift VB=0 ... -1 V
VD=0.05 V, VG=1.2 V,
Idlin A/m 5/5 4.00 0.60 0.68 0.10
VB=0 V
23
Ion VD=VG=1.2 V, VB=0 V A 0.16/0.12 86 35
+15 / -12
Ioff VD=1.2 V, VG=VB=0 V pA/m 5/0.12 300 (< 1140) 250 (< 900)
Ioff VD=1.5 V, VG=VB=0 V pA/m 5/0.12 450 (< 1820) 370 (< 1470)
VD=1.2 V, VG=VB=0 V,
Ioff nA/m 5/Lmin 24 14
T=85 C
VD=1.2 V, VG=VB=0 V,
Ioff nA/m 5/0.12 5.0 (< 21.0) 4.5 (< 20.5)
T=85 C
VG=VB=0 V,
Vleakage V 5/0.12 2.4 -2.5
ID=1 nA/m
VG=VB=0 V,
Vbreakdown V 5/0.12 4.3 -4.7
ID=1 A/m
30 0.4
Isx (Max) VG=0.7 V, VD=1.6 V nA/m 5/0.12
+30 / -20 +0.6 / -0.3
Vjbreakdown
V > 10 > 10
(avalanche)
RO Delay
VDD=1.2 V psec 4/0.12 18.5 4.5
Time7
3. ACLV or ACWV
4. Total tolerance
6. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| = 70nA Weff/Leff for PFETs
7. This number depends on the exact details of the layout. This example is for Wn=4m, Wp=7m and minimum CA/M1 to PC
capacitances
0.028 0.028
0.0112 0.011 2
L m
0.0113 0.011 3
0.0224 0.022 4
0.010 0.040
0.040 2 0.040 2
W m
0.044 3 0.044 3
0.084 4 0.084 4
VD=0.05 V, Vt - shift
Body effect 5 V 5/0.12 0.140 0.040 0.160 0.040
VB=0 ... 1 V
VD=0.05, VG=1.2 V,
Idlin A/m 5/5 4.25 0.20 0.85 0.035
VB=0 V
230
Ion VD=VG=1.2 V, VB=0 V A/m 5/0.12 605 90
+50 / -45
Ioff VD=1.2 V, VG=VB=0 V nA/m 5/0.12 3.5 (< 20.0)6 3.0 (< 12.5)
VD=1.2 V, VG=VB=0 V,
Ioff nA/m 5/0.12 < 50 < 40
T=85 C
VG=VB=0 V,
Vbreakdown V 5/0.12 4.3 -4.7
ID=1 A/m
40 0.6
Isx (maximum) VG=0.7 V, VD=1.6 V nA/m 5/0.12
+100 / -20 +1.8 / -0.3
Vjbreakdown
V > 10 > 10
(avalanche)
1. All tolerances are 3 values
3. ACLV or ACWV
4. Total tolerance
5. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| = 70nA Weff/Leff for PFETs
0.028 0.028
0.0112 0.011 2
L m
0.0113 0.011 3
0.0224 0.022 4
0.010 0.040
0.040 2 0.040 2
W m
0.044 3 0.044 3
0.084 4 0.084 4
Gate Oxide 20 1
pA/m2 5/5 (x 42)
leakage (80)5 ( 4)
VD=1.2 V,
Body Effect 6 Vt-shift VB=0 ... 1 V
V 5/0.12 0.19 0.20
VD=0.05 V, VG=1.2 V,
Idlin A/m 5/5 1.9 0.4
VB=0 V
VD=1.2 V,
Ioff nA/m 5/Lmin 500 220
VG=VB=0 V,T=85 C
VD=1.2 V, VG=VB=0 V,
Ioff pA/m 5/0.12 40 70
T=85 C
VD=1.5 V,
Ioff pA/m 5/0.12 55 88
VG=VB=0 V,T=85 C
VD=1.5 V, VG=VB=0 V,
Ioff pA 0.16/0.12 71 20
T=85 C
VG=VB=0 V,
Vbreakdown V 5/0.12 4.3 -4.4
ID=1 A/m
Vjbreakdown
V > 10 > 10
(Avalanche)
1. All tolerances are 3 values
3. ACLV or ACWV
4. Total tolerance
6. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| = 70nA Weff/Leff for PFETs
Component Cross-Chip
Variation (m)
0.028 0.028
0.0162 0.016 2
L m
0.0163 0.016 3
0.0324 0.032 4
0.000 -0.020
0.030 2 0.030 2
W m
0.035 3 0.035 3
0.065 4 0.065 4
0.410 -0.440
Vtsat 5 VD=2.5 V, VB=0 V V 5/0.24
+0.075 / -0.095 -0.075 / +0.095
VD=0.05 V,
Body Effect 5 V 5/0.24 0.110 0.040 0.250 0.040
Vt-shift VB=0 ... 1 V
260
Ion VD=VG=2.5 V, VB=0 V A/m 5/0.24 660 100
+75 / -50
VD=2.5 V, VG=VB=0 V,
Ioff nA/m 5/Lmin < 3.0 < 0.2
T=85 C
VD=2.5 V, VG=VB=0 V,
Ioff nA/m 5/0.24 < 1.0 < 0.1
T=85 C
VD=1.25 V,
Early Voltage V 5/0.24 18 23
(VG-Vt)=0.3 V
VG=VB=0 V,
Vleakage V 5/0.24 > 4.0 > 4.0
ID=0.1 nA/m
VG=VB=0 V,
Vbreakdown V 5/0.24 > 6.0 > - 6.0
ID=1 A/m
1.10 0.02
Isx (Max) VG=1.35 V, VD=2.70 V A/m 5/0.24
+0.40 / -0.20 +0.02 / -0.015
Vjbreakdown
V > 10 > 10
(Avalanche)
1. All tolerances are 3 values
3. ACLV or ACWV
4. Total tolerance
5. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| = 70nA Weff/Leff for PFETs
0.065 0.110
0.0162 0.016 2
L m
0.0163 0.016 3
0.0324 0.032 4
0.000 -0.020
m 0.030 2 0.030 2
W
0.035 3 0.035 3
0.065 4 0.065 4
VD=0.05 V,
Body Effect 5 V 5/0.40 0.121 0.030 0.180 0.015
Vt-shift VB=0 ... 1 V
VD=1.35 V
Isx nA/m 5/0.40 200 1
VG=2.7 V, VB=0 V
VG=VB=0 V,
Vbreakdown V 5/0.40 > 8.5 > - 7.0
ID=1 A/m
3. ACLV or ACWV
4. Total tolerance
5. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| = 70nA Weff/Leff for PFETs
0.065 0.110
0.0162 0.016 2
L m
0.0163 0.016 3
0.0324 0.032 4
0.000 -0.020
m 0.030 2 0.030 2
W
0.035 3 0.035 3
0.065 4 0.065 4
3. ACLV or ACWV
4. Total tolerance
5. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| = 70nA Weff/Leff for PFETs
See applicable NFET information in section 4.12 , "3.3V High-Vt I/O FET" on page 363.
WDes/LDes Value
Parameter Definition Unit
0.030
0.0162
L m
0.0163
0.0324
0.250
0.180 2
W m
0.070 3
0.250 4
Gate Oxide
Breakdown IG<10 A/m2 V > 4.5
Voltage
VD=1.2 V,
Body Effect 5 V 5/0.42 0.025 0.010
Vt-shift VB=0 ... 1 V
3. ACLV or ACWV
4. Total tolerance
WDes/LDes Value
0.028
0.0162
L m
0.0163
0.0324
0.300
0.180 2
W m
0.070 3
0.250 4
Gateoxide
Breakdown IG <10 A/m V > 8.5
Voltage
VD=2.5 V,
Body Effect 5 V 5/0.56 0.04 0.01
Vt-shift VB=0 ... 1 V
Ij=1 A,
Vjavalanche V > 10
Area=200 m x 200 m
1. All tolerances are 3 values
3. ACLV or ACWV
4. Total tolerance
5. Threshold Voltage is defined as the gate to source bias at which |ID| = 300nA Weff/Leff for NFETs and |ID| =
70nA Weff/Leff for PFETs
4.16.1 Introduction
4.16.2 Regular Vt
NFET and PFET are supported within the T3 isolation well.
4.16.4 Low Vt
LVTNFET and LVTPFET are supported within the T3 isolation well.
4.16.6 Regular IO
DGNFET and DGPFET are supported within the T3 isolation well.
Table 137. Reverse-Bias Breakdown Voltage (V), IL = 1A, Area = 100 x 100m2
Diffusion Space n+ / n+ p+ / p+
Area component: Vbx is the source or drain diffusion to substrate/well bias (Vbx <0 for reverse bias).
MJ
C jA ( V ) = CjA ( T ) 1 -------------------------------------------------------------
Vbx
PB TPB ( T Tref )
MJSW
C jsw ( V ) = Cjsw ( T ) 1 -----------------------------------------------------------------------------------
Vbx
PBSW TPBSW ( T Tref )
MJSWG
C jswg ( V ) = C jswg ( T ) 1 --------------------------------------------------------------------------------------------
Vbx
PBSWG TPBSWG ( T Tref )
A tolerance of +/- 20% applies. Nominal values of the coefficients are given below.
When modeling junction capacitance, the substrate/N-well resistance from the bottom of the junction to the
substrate/N-well contact should be included. The junction capacitance is given by:
The following two examples illustrate how to calculate the area and perimeter terms:
1. Rectangular diffusion bounded on all four sides by STI (shallow trench isolation)
2. Rectangular diffusion bounded by an FET gate at the long end and STI on the other three sides
where
Length = design length (STI to PC dimension if a FET), m
Width = design width (STI to STI dimension), m
a = the bias per edge (E8) (see Table 179, Extraction Parameters for Diffusion, on page 427)
b = the bias per edge (E8) (see Table 179, Extraction Parameters for Diffusion, on page 427)
The N+ S/D and N-well resistors should be placed over substrate. The polysilicon resistors, including the
silicided polysilicon, can be placed entirely over substrate (P-well ), an N-well (NW), or inside an isolation tub;
but a single resistor cannot be placed so that it partially covers more than one type of these underlying bulk
regions. In addition, the polysilicon resistors except for the silicided polysilicon resistor can be placed over BB
(P- substrate). The resistor models are all three terminal devices. So, in schematic designs, the underlying
parasitic diode(s), e.g. NW/SX diode for the case of a polysilicon resistor over NW, is(are) not included.
Designers must ensure that the bulk connection is tied to the proper node in their designs. We note
that these parasitic diodes are included in extraction. The polysilicon resistors, as modeled, cannot be placed
over thin oxide (RX). The L1 or Kx resistor models assumes that there are no other devices under nor wiring
either above or under the L1 or Kx resistor. The L1 or Kx resistor parasitic capacitance will not be accurate if
these assumptions are not met. Further, any parasitic capacitance involving the L1 or Kx resistor is not
calculated by any of the extraction tools.
All resistors except the N-well resistor (see Rule T3W13, NW_RES covers this device) are supported within
T3 isolation well. BFMOAT variants of resistors are NOT supported in the T3 isolation well (per truth table and
Rule T3W14a).
For the N+ S/D, P+ polysilicon, and RP polysilicon resistors, the nominal value resistance equation at 25 C
and 0 volts is:
where:
Rs = Sheet Resistance in k/
Rend = End Resistance in k-m
L = LOP m LOP = Design Length (OP length) in m
W = WD + dw m WD = Design Width (RX or PC width) in m
Values for the parameters in the above equations are given in Table 141.
OP
PC or RX
CA CA
W
CA CA
Figure 90. Example layout for the N+ S/D, P+ Poly, and RP Poly resistor types: opndres, opppcres, and oprppres
For the RR polysilicon resistor, the nominal value resistance equation at 25 C and 0 volts is:
L ( 2 Lbn ) Rbn Lbn
R nom = Rs -------------------------------- + 2 --------------------------- + 2 ---------------
Rend
k
W W W
where:
Values for the parameters in the above equations are given in Table 141
Lbn
OP
CA CA
W
CA CA
PC
BN
L
For the silicided polysilicon (silres), L1 (l1res), and Kx (kxres) resistors, the nominal value resistance equation
at 25 C and 0 volts is:
where:
Rs = Sheet Resistance in k/
Rend = End Resistance in k-m
L = LRAV m LRAV= Design Length (via to via length) in m
W = WD + dw m WD = Design Width (L1 or Kx width) in m
Values for the parameters in the above equations are given in Table 141.
CA/F1BAR / VL CA/F1BAR / VL
L1
W
L
Figure 92. Example layout for Silicided Polysilicon, L1 BEOL, and Kx BEOL resistor type: silres, l1res and kxres
For the N-well resistor (nwres), the nominal value resistance equation at 25 and 0 volts is:
where:
Rs = Sheet Resistance in k/
Rend = End Resistance in k-m2
L = LRAV m LRAV= Design Length (Rx to Rx length) in m
W = WD + dw m WD = Design Width (N-well width) in m
delrx = -0.045 m
Values for the parameters in the above equations are given in Table 100.
Rx Contact Rx Contact
Nwell
W
L
2. End resistance of the N-well resistor is a vertical resistance in to the n-well and therefore has units of k-m2
Parenthetical values in Table 142 indicate minimum widths for best resistor performance. These values are
calculated using the recommended values for ground rules 204 and 209. This will ensure that there is no
border leakage for the diffusion resistors and that the contacts are fully landed on the PC for the polysilicon
resistors.
Strict adherence to the groundrules and layout details provided in the device set is recommended to ensure
full and consistent device model and design tool compatibility and accuracy. Should you require modeling
support for geometries other than those described here, please contact your IBM Product Engineers.
2
VCR X = ( 1.0 + VCR 10 V avg )
In addition, the N-well (nwres), RR polysilicon (oprrpres), Kx BEOL (kxres), and L1 BEOL (l1res) resistors are
observed to have self-heating effects at high current levels, those approaching the limits specified in Table
140. Self-heating is expressed in terms of the resistivity possessing a voltage dependence that varies as the
square of the voltage across the resistor. Including the geometrical scaling, the resistance variation due to
self-heating is given by the equation
R = R o 1 + ---------------- A msh V 2
ksh
A Ro
where:
A = L OP W D , LOP (m) and WD(m) being the drawn OP length and PC width, respectively for
the RR (oprrpres) resistor.
Thermal time constants for self-heating were derived for the OP RR Poly resistor as well as the Kx BEOL
resistor. For the RR resistor the thermal time constant varied inversely with area, from 35 ns (1m x 5m) to
138ns (30m x 120m). The Kx resistor showed a slightly slower time response varying from 80ns (5m x
5m) to 269ns (30m x 120m). The models do not support thermal time constants for self-heating, they are
provided here as a guideline for applications where the change in resistance with time for large DC biases
may be important.
The models define statistical distributions for each resistance equation parameter as follows:
Table 144. Resistor Tolerance Parameters
Tolerance opndres silres nwres opppcres oprppres oprrpres kxres l1res
Parameter Resistor Resistor Resistor Resistor Resistor Resistor Resistor Resistor
Trs (k/) 0.0095 0.003 0.110 .051 .0182 .340 .00468 .0048
Trend 0.012 -0.00152 0.0653 .016 0.030 .016 .0202 .0202
(k-m) +0.00418
Tw (m) 0.04 0.022 0.24 0.1 0.1 0.1 0.14 0.20
Tlbn (m) n/a 1 n/a 1 n/a 1 n/a 1 n/a 1 0.11 n/a 1 n/a 1
Note: Tolerance values represent 3-sigma process capability.
2. The tolerance for these end resistances are described by a non-symmetric distribution. The range of values for the end resistance,
regardless of resistor type, is 5-45 -m.
3. End resistance of the N-well resistor is a vertical resistance in to the n-well and therefore has units of k-m2
The 3-sigma tolerance for the N+ S/D diffused, N-well, P+ polysilicon, RP polysilicon, silicided polysilicon, KX
BEOL, and L1 BEOL resistors can be estimated by:
2 ( Tw R 2
nom )
2
( Trs L ) ( 2 Trend )
T = ------------------------
- + --------------------------------- + ------------------------------------
2 2 2
W W W
.The 3-sigma tolerance for the RR polysilicon resistor can be estimated by:
2 2 2
( Trs ( L 2Lbn ) ) ( Tw ( Rs ( L 2Lbn ) + 2 Rbn Lbn + 2Rend ) ) ( 2Tlbn ( Rs Rbn ) )
T = - + -------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------- - + ----------------------------------------------------------
-
2 4 2
W W W
The tolerance parameter values for these equations can be found in the preceding table. Nominal sheet
resistivities and definitions of all of the effective width and length dimensions can be found in Resistor Design
Specifications on page 379. Each of these terms can be significant in determining the overall tolerance,
depending on the actual width and length of the resistor layout. A corresponding term involving Trbn was
found to be insignificant over a typical range of lengths and widths. For large resistor dimensions, sheet
resistivity tolerances will be the most dominant effect.
2 2 2
MA MW ML
M = -------------- + ------------- + -----------
W L W2 L
2
.
Values for the matching parameters for each resistor are defined as follows:
Table 145. Resistor Matching Equation Parameters
Matching opndres silres nwres opppcres oprppres oprrpres kxres l1res
Parameters Resistor Resistor Resistor Resistor Resistor Resistor Resistor Resistor
Ma 4.0 0.7 1.7 4.0 7.0 5.0 0.6 1.5
Mw 0 0.4 1.0 1.0 2.02 1.0 0.5 0
Ml 2.01 0.2 0 0 0 0 0.387 0
Note: Matching values represent 3-sigma limits.
The parasitic capacitance of the resistors is composed of both an area and a perimeter component. The
overall length and width for parasitic capacitance is defined by the RX shape for the implanted resistors or the
PC shape for the polysilicon resistors.
The voltage dependence of the N+ S/D resistor junction capacitances is given by the equations:
C A0 2
C A = ----------------------------- fF/m
1 ------ V ma
-
pb
CP0
C P = --------------------------------- fF/m
V mp
1 ----------
php
where CA is the area component of capacitance, CP is the perimeter component of capacitance and V is the
bias voltage across the junction isolation. Temperature variation is handled by the standard SPICE diode
model equations. The voltage polarity defined in the model causes a decrease in parasitic capacitance for the
resistor with increasing reverse-bias (negative voltage) across the junction.
The parasitic capacitance for the L1 BEOL resistor is composed of both an area and a perimeter component.
These components are functions of the stack height that is determined by the number of thin-Cu layers and
the number of thick-Cu layers. Values for the capacitance parameters are defined in the following table.
Capacitance Parameter 2 thin / 1 thick 3 thin / 1 thick 2 thin / 2 thick 4 thin / 1 thick 3 thin / 2 thick
The RR polysilicon resistor has the highest sheet resistivity of all the resistor offerings, allowing for the most
compact layout for a given resistance value. The Kx BEOL resistor has the lowest sheet resistivity, which may
be most suitable for obtaining a small resistance value, though the silicided polysilicon resistor is useful as
ballast resistor because of its very low resistance, which is its intended application.
For resistors of identical width and resistance value, the OP RP poly resistor has the best absolute resistance
tolerance. The L1 and Kx BEOL resistors have only a slightly higher tolerance and the L1 BEOL resistor has
the best mismatch.
For resistors of identical width and resistance value, the L1 BEOL resistor has the lowest mis-match. Of the
OP resistors, the N+ S/D resistor has the lowest mis-match, being only slightly higher than the L1 and Kx
BEOL resistors. For resistors of the same layout dimensions (width and length), the Kx BEOL resistor has the
lowest mis-match; and from the OP resistors, again the N+ S/D resistors has the lowest mis-match value.
The P+ and RR polysilicon resistors are isolated from the bulk regions by a thick oxide dielectric. The L1
BEOL resistor, being located just above E1 in stack height or Kx BEOL resistor, being located just above the
last Mx in stack height, is even further isolated from substrate or N-well regions. Effectively, no leakages
leave or enter these resistors other than through the contacts. I/O regions that may see voltages above or
below the supply range can be particularly sensitive. These resistors can be used for current limiting during
out-of-supply I/O swings. However, the OP poly resistor must be wide enough to handle the current flowing
into or out of the I/O during normal operation. In the input receiver path, OP poly resistors are preferred over
diffusion resistors. Diffusion resistors in the input path have a parasitic diode associated with them that can
cause low CDM ESD failures. OP poly resistors are not recommended in the HBM ESD current path.
Parasitic Capacitance
The L1 BEOL resistor has the lowest total parasitic capacitance to the bulk region. The N+ S/D resistor has
the highest total parasitic capacitance. For OP polysilicon resistors of identical width and resistance value,
the RR resistor has a lower total parasitic capacitance. The L1 and polysilicon resistor parasitic capacitances
exhibit little voltage sensitivity.
The following comparison examples are designed to illustrate these basic differences (and advantages) of
the various resistor types. All of the quoted values in the examples are calculated from equations presented
throughout this section of the manual. The parasitic capacitance value for the polysilicon resistors assumes
the resistors are placed over substrate, and the L1 BEOL and Kx BEOL resistors assume the shortest stack
option, two thin and one thick metal levels (two thin metal levels).
Table 149. Resistor Comparison: Identical width, nominal resistance value and adjacent pitch.
Table 150. Resistor Comparison: Identical width, length and adjacent pitch.
Whenever there is a desire for a pair or group of resistors to match, they should be identical in layout. This
requirement extends to any wiring metal passing near/over/under the resistors (not necessarily connected)
and the voltage on these metal wires. Some applications may use a quadrature (also known as common-
centroid) layout to reduce the effects of process or thermal gradients near high power devices, and thereby
achieve good matching performance.
The resistor current levels are limited by heating, and should not exceed the maximum current.
There is also a thick oxide NFET in an N-well device (dgncap). The capacitance per unit area can be varied
from Cmax to a minimum of approximately 37% of Cmax over the range -0.5V to 1.0V. The same instabilities
found for the ncap are also found for the dgncap. The maximum allowed voltage including power supply
tolerances (Vg-d) for the dgncap is +3.6 volts.
NCAP and DGNCAP or PCDCAPs are supported within the T3 isolation well.
C Nom ( V ) = ( C A ( V ) L W F ) + ( C L 2 L F ) + ( C W 2 W F ) + ( C F F )
where CA(V) is the capacitance per area. The expression for CA (V) is determined from first principles. The
CL, CW, and CF terms are fringe capacitance terms that are functions of the channel length (L), RX width (W),
and number of individual devices wired in parallel (F).
The parameters in this equation for the ncap are given by:
L = LDesign + dL m dL = -0.028 0.022m
W = WDesign + dW m dW = -0.045 0.04 m
F = # of individual devices
CA@ 1 V = 11.0 fF/m2 CA@ -0.5 V = 2.07 fF/m2
CL = 0.174 fF/m CW = 0.161 fF/m
CF = 0.090 fF/#
The parameters in this equation for the dgncap are given by:
L = LDesign + dL m dL = -0.02 0.034 m
W = WDesign + dW m dW = -0.045 0.04 m
F = # of individual devices
CA@ 3.3 V = 5.80 fF/m2 CA@ -0.5 V = 1.99 fF/m2
CL = 0.095 fF/m CW = 0.152 fF/m
CF = 0.129 fF/#
where L, W, and F are as defined in Section 4.19.1. Temperature effects on the gate leakage are not included
in the model.
The voltage dependence of the NW-to-substrate junction capacitances is given by the equations:
C A0 2
C A = ----------------------------- fF/m
1 ------ V ma
-
pb
CP0
C P = --------------------------------- fF/m
V mp
1 ----------
php
where CA is the area component of capacitance, CP is the perimeter component of capacitance and V is the
bias voltage across the junction isolation. Values for the parameters in these equations are found in Table
151. Temperature variation of the NW-to-substrate parasitic diode has been characterized and is included in
the model. The voltage polarity defined in the model causes a decrease in parasitic capacitance for the
mosvar with increasing reverse-bias (negative voltage) across the N-well to substrate junction.
The ncap and dgncap models reflect the default capacitor device layout. Strict adherence to the groundrules
and layout details provided in the device layout is recommended to achieve consistent device model and
design tool compatibility and accuracy. Of course, use of the ncap and dgncap pcells in laying out any of
these devices yield the most accurate model to hardware correlation. If designers choose to lay out these
devices themselves, it is very important to remember that the ncap and dgncap devices must have a VAR
layer surrounding them. This layer ensures proper device processing and recognition during LVS extraction.
The following caution applies when using either a ncap or a dgncap with a large perimeter in a design. Large
perimeter designs have large fringe capacitances associated with them. These large fringe terms reduce the
tunability of the device. Further, due to overhead in making electrical connections to all the fingers, the
physical dimensions of large perimeter devices are larger, for a given total gate area, than for smaller
perimeter devices. On the other hand, large individual gate area devices have lower Q values than smaller
individual gate area devices, for the same total gate area.
As input, the model requires the anode width, length and number of anodes, or the anode width, zero volt
device capacitance and number of anodes. Please review the HA varactor diode model file for syntax
specifics. The model reflects the default capacitor device layout. Strict adherence to the groundrules and
layout details provided in the device layout is recommended to achieve consistent device model and design
tool compatibility and accuracy. Of course, use of the HA varactor pcell in laying out any HA varactors yields
the most accurate model to hardware correlation. Use of other device layout/geometries is not supported.
Should you require modeling support for other diode geometries, please contact your IBM Product Engineer.
HA varactor is not supported within the T3 isolation well. See Rule T3W14a (JD covers this entire device)
where CA(V) is the capacitance per area and CP(V) the capacitance per length.
The model employs a physics-based calculation to determine the capacitance using VerilogA. The
temperature dependence of the capacitance is also included in the model and comes through the
temperature variation in the built-in potential across the p-n junction.
While the capacitance per area for the differential devices is exactly that of the corresponding standard
devices, being calculated by the same Verilog-A modules, there are a few notable differences between the
standard models and the differential models. First and foremost, the diffncap/diffhavar model has four nodes:
one for each set of gates/anodes, one for biasing the common subcollector, and one for the usual substrate
As always, please see to the Model Reference Guide for model-to-hardware correlation plots.
4.22.1 Introduction:
This technology has two types of MIM capacitors available with the MA back end of the line offering (where
the MA BEOL option is commonly referred to also as the Dual Metal or DM option), the single and dual MIM.
MIMCAP, DUALMIMCAP, are not restricted from being placed over a T3 isolation well.
The dual MIM (dualmimcap) built is between the E1 and LY levels. HY is the top plate, QY is the middle plate,
and LY is the bottom plate. The top and bottom plates are electrically connected.
The models support variable dimensions for both width and length. Allowable capacitor shapes include both
squares and rectangles. Note that any other irregular capacitor designs are not supported.
The nominal value equation for the capacitance of the mimcap at 25C and 0 volts is:
C N = (C A L W ) + (C P 2 (L + W )) fF
with CA and Cp as listed in Table 153, on page 394, and other terms in the equation given by:
The nominal value equation for the capacitance of the dualmimcap at 25C and 0 volts is:
with CA = 2.05 fF/m2, Cp = 0.309 fF/m and other terms in the equation given by:
where V is the voltage across the capacitor, bottom plate positive w.r.t. top plate.
where V is the voltage across the capacitor, bottom and top plates positive w.r.t. QY plate.
2 2 2
MA MW ML
M = -------------- + ------------- + -----------
W L W 2
L
2
mimcap dualmimcap
Matching Parameter Capacitor Capacitor
MA 4.0 4.0
MW 1.0 0.5
ML 0.0 0.1
It should be noted that interconnect parasitic capacitance may have an effect at these matching levels. When
matching ratioed capacitors, the capacitors must be built by paralleling identical unit capacitors.
Note: This internal parasitic capacitance is set to a negligible value (0.01fF) whenever a netlist is generated
using the layout extraction tool or can be manually turned off by setting the model parameter est=0 in the
netlist. This allows for a more accurate calculation of the bottom plate parasitic capacitance to support the
option of placing other devices underneath the MIM. Simulations using a netlist generated from the schematic
with the default parameter settings (est=1) will underestimate this bottom plate parasitic capacitance for the
case where other devices will be placed underneath a MIM.
The user must supply as parameters either length and capacitance, or length and width of the capacitor. The
associated device parasitic elements (resistances, capacitance to substrate) are then calculated based on
these parameters. Please consult the model file or internal web page documentation for other syntax
specifics.
The single Hi-K MIM (mim) is not restricted from being placed over a T3 isolation well. The single Nitride MIM
(mimnit) is not restricted from being placed over a T3 isolation well. The dual nitride mim (dualmimnit) is not
restricted from being placed over a T3 isolation well.
The nominal value equation for the capacitance of the MIM at 25C and 0 volts is:
C N = (C A L W ) + (C P 2 (L + W )) fF
with CA=2.05 fF/m2 and Cp as listed in Table 157, and other terms in the equation given by:
where LDesign and WDesign are the designed length and width, respectively, of the HT plate.
The nominal value equation for the capacitance of the MIMNIT at 25C and 0 volts is:
C N = (C A L W ) + (C P 2 (L + W )) fF
The nominal value equation for the capacitance of the dualMIMNIT at 25C and 0 volts is:
with CA=2.05 fF/m2 and Cp as listed in Table 157, and other terms in the equation given by:
where LDesign and WDesign are the designed length and width, respectively, of the HT plate.
where V is the voltage across the capacitor, top plate potential with respect to the bottom plate potential.
The voltage coefficient ratio for the single Nitride MIM is governed by the equation:
6 6 2
VCR = 1.0 + ( 66 10 V ) + ( 12 10 V )
where V is the voltage across the capacitor, top plate potential with respect to the bottom plate potential.
The voltage coefficient ratio for the dual Nitride MIM is governed by the equation:
6 6 2
VCR = 1.0 ( 6 10 V ) + ( 12 10 V )
where V is the voltage across the capacitor, middle plate potential with respect to the top / bottom plate
potential.
HiK MIM:
55 0 0
M = -------------- + -----2- + --------2- %
W L L W
16 0.25 2.25
M = -------------- + ----------
- + ----------
- %
2 2
W L L W
4 0 2.25
M = -------------- + -----2- + ----------
- %
2
W L L W
Note: This internal parasitic capacitance is set to a negligible value (0.01fF) whenever a netlist is generated
using the layout extraction tool or can be manually turned off by setting the model parameter est=0 in the
netlist. This allows for a more accurate calculation of the bottom plate parasitic capacitance to support the
option of placing other devices underneath the MIM. Simulations using a netlist generated from the schematic
with the default parameter settings (est=1) will underestimate this bottom plate parasitic capacitance for the
case where other devices will be placed underneath a MIM.
The user must supply as parameters either length and capacitance, or length and width of the capacitor. The
associated device parasitic elements (resistances, capacitance to substrate) are then calculated based on
these parameters. Please consult the model file or internal web page documentation for other syntax
specifics.
All capacitor models reflect their default device set layout. Strict adherence to the groundrules and layout
details provided in the device set layout is recommended to ensure full and consistent device model and
design tool compatibility and accuracy.
The VNCAP capacitor can be constructed of any number of thin metal levels with the requirement that a
capacitor of more than one level must consist of consecutive levels (e.g., M2 through M4, or M1 through M3).
The model supports variable dimensions for both width and length. The width of the capacitor determines the
number of metal walls (fingers) composed of multiple metal levels and their connecting vias, while the length
of the capacitor determines the length of the metal wires that form the metal walls.Allowable capacitor shapes
include both squares and rectangles. Note that any other irregular capacitor designs are not supported.
C VNCAP = C A ( W ( L 6.32u ) )
Where:
L = Design Length in m
W = Design Width in m
where T is the simulation temperature and T25C is room temperature (25 C). The temperature effect is linear
for all metal levels.
multiple level vncaps are theoretically based on matching trends for smaller size devices where measured
matching values are greater than 0.200%. Alternate measurement structures/techniques will be evaluated on
future hardware and the results will be incorporated into the next available Design Kit release.For model
matching coefficients please see the Model Reference Guide.
Model Mis-Match
M1-M2 or M2-M3 or
Device Size Center to Center
M1 only M3-M4 or ... M1-M4
(W x L) Spacing
10 x20 200 14.27 4.14 .56
40 x 40 200 1.48 .61 .29
100 x 100 200 .43 .32 .26
Matching structures for small geometry devices (L < 10 m) were not available for measurement and, as
such, model matching predictions for these small geometries can not be guaranteed.
All capacitor models reflect their default device set layout. Strict adherence to the ground rules and layout
details provided in the device set layout is recommended to ensure full and consistent device model and
design tool compatibility and accuracy.
The performance of VNCAP capacitor is expected to show a strong layout dependence. Table 159 indicates
that the more metal levels on which the capacitor is based, the less its variability is expected to be.
Simulations have shown VNCAP capacitors laid out with a length to width ratio close to 1 provide the highest
Q for a given value of capacitance.
Model-to-hardware correlation verification has not been conducted on vncap devices where W is less than 10
m and L is less than 10 m.
For additional information, please see Table 93, Vertical Natural Capacitor Layout Rules, on page 263.
Customers are required to request a waiver from the IBM Technical Representative for each specific design
application where a forward-biased diode is used as a active circuit element. However, one application,
bandgap reference circuits using the P-diffusion in a grounded (tied to substrate) N-well as a diode, is being
allowed within specific restrictions. Supported geometries include squares and rectangles; other shapes,
such as L-shapes, are not supported. The designer is allowed to select lengths and (limited) widths and
number of fingers to optimize the physical size of the diode while remaining within the current density limits.
Ideally, the P+/N-well diode pcell (dipdnw or divpnp) should be used in order to ensure a fully consistent
device model. Both of these models simulate the same P+/NW junction. The dipdnw model is a simple
two-terminal model (diode model) in which the N-well and the substrate ring surrounding the device are
shorted together. The divpnp model is a three terminal model (bjt model) that has separate N-well and
substrate ring nodes. It is strongly recommended that these nodes be shorted together to prevent accidental
forward biasing of the NW/SX junction. Should you require modelling support for geometries other than those
described here, please contact your IBM Technical Representative.
The allowable width and length dimensions are given in Table 161.
The DC model described here becomes a poor predictor of the actual current-voltage relationship for current
densities above 50 /m2. The P-diffusion in a grounded N-well diode-current can be modeled as an ideal
diode with a series resistor, as shown below.
+ Vj Rs
qV j
I = I o exp --------------- 1
N f kT
where Vj is the voltage drop across the junction, Io the saturation current and Nf the ideality factor. For small
current values, the voltage drop across the resistor can be neglected resulting in a purely exponential I-V
dependence. In this case, Vj can be replaced with VA, the voltage applied to the anode. When the exponential
I-V relation is critical to the application, current densities should be maintained above 500 pA/m2 and below
1 A/m2.
The saturation current Io is expressed as a function of the area and perimeter of the anode
I o = J Area + K Perimeter
At higher current levels, the series resistance Rs cannot be ignored. The dominate terms for this resistance
are the resistance through the N-well from the anode to the cathode contact, and particularly the resistance
up through the cathode contact itself.
Extracted values at 25 oC for the various parameters used in these expressions for the forward-bias DC
operation of the P+ Nwell diode are given in Table 162.
The preceding model parameters are valid at Tnom = 25 C. The most dominant temperature effect is an
increase in the saturation current Io. The theoretical temperature dependence of Io is given by the expression
where Egap is the band gap energy and Vthrm is the usual kT
5
V thrm = 8.617 10 ( T + 273 ) eV.
The band gap energy has its own temperature dependence given by the expression
eg ( T + 273 ) 2
E gap ( T ) = E gap ( 0K ) ----------------------------------------- eV
eg + ( T + 273 )
where eg and eg are parameters taken from the literature. The Egap(0K) is the value of the band gap energy
at absolute zero, and has been adjusted in order to obtain a good fit to the measured data for the temperature
dependence of Io. Values for the parameters in the expression for the temperature dependence of the band
gap energy are given in Table 163.
Table 163. Parameters for the temperature of the band gap energy
The diode matching is handled through the saturation current and the matching coefficient is given by the
equation:
2
6.5
M = ----------------------- %
W LF
where L and W are the drawn length and width of the device in m, respectively, and F is the number of
fingers.
The SBD anode is made up of a silicide/silicon area with wrap-around p+ guardring. All sections of the anode
are silicided. Contact to the anode over the p+ guardring ensures that the interface that forms the SBD is not
disrupted. A reachthrough level is used at the cathode contact to provide a low-impedance path to the
subcollector and, hence, a low diode series resistance.
Please review the SBD diode model files for syntax specifics. By default, all other device dimensions and
spacings are as defined in the library pcell layout. Use of other device layout/geometries is not supported.
Should you require modeling support for other diode geometries, please contact your IBM Product Engineer.
The minimum allowable width and length dimensions are given in Table 165. The various parameters that are
found within the model are given in Table 166.
Modelling Parameters
sbdia Pure Area part of saturation current (ISBDIS) 0.218 2.453 27.58 pA / m2
(Adjusted to fit Vfb spec)
sbdnf Pure Area part of saturation current (ISBDIS) 0.008 0.09 1.012 pA / m2
(Adjusted to fit Vfb spec)
nnsbdcjp sidewall (guard ring) junction capacitance 0.38 0.63 0.88 fF/m
The SBD diode-current can be modeled as an ideal diode with a series resistor, as shown below.
+ Vj Rs
qV j
I = I SBDIS exp ----------- 1
nkT
where Vj is the voltage drop across the junction, ISBDIS the schottky barrier saturation current and n the
ideality factor.
12
1.0665 10
n = ---------------------------------------
0.0077
Area
For small current values, the voltage drop across the resistor can be neglected resulting in a purely
exponential I-V dependence. In this case, Vj can be replaced with VA, the voltage applied to the anode.
The Schottky barrier saturation current ISBDIS (Amp) is expressed as a function of the area (m2) of the anode
or
q B
I SBDIS = Area 1.416 10 T exp -----------
6 2
kT
with
I sbdia NUMF I sbdnf
B = K T ln --------------------------------------------------------------
1.416 10 T
6 2
where the factor 1.416x106 is from various constants, e.g.,Plancks constant, the effective electron mass, and
others. The saturation current (ISBDIS) has a pure area dependency as well as number of finger (NUMF)
correlation with NUMF capped at 10. The latter dependency is due to stress effects. At higher current levels,
the series resistance Rs cannot be ignored. The dominate terms for this resistance can be expressed in terms
of the resistance through the cathode and the resistance up through the cathode contact.
The model parameters given in the tables are valid at Tnom = 25 C. The most dominant temperature effect is
an increase in the saturation current ISBDIS. The theoretical temperature dependence of ISBDIS is given by the
expression
B ( T nom ) B ( T )
ln [ I SBDIS ( T ) ] ln [ I SBDIS ( T nom ) ] = --------------------------------- ------------------------ + 2 ln ------------------------------
T + 273
V thrm ( T nom ) V thrm ( T ) T nom + 273
where B is the Schottky barrier height. The temperature dependence of B follows that of the band gap
energy given in section 4.27 on page 406; similarly, the definition of Vthrm is given in this same section.
The p+ guardring diode portion of the SBD diode-current does not contribute any significant amount to the
forward bias current.
The leakage current in the Schottky diode device is controlled by a voltage controlled current source. The
controlling voltage is that which is across the metal-silicon interface. The current is defined using the area for
the SBD and follows the expression, including temperature effects,
I leak = I lk exp -------------- sinh ( 0.4V )
6863
T ( K )
where the value for the leakage coefficient parameter is also given in Table 166.
The junction capacitance results from the depletion region at the metal-silicon interface. This capacitance
varies in inverse proportion to the width of the depletion region, which is itself a function of the applied voltage
VA. The junction capacitance of the device scales with the anode area and the perimeter of the guard ring.
The temperature dependence of the capacitance follows the usual standard diode expressions and the
associated parameters are also found in Table 166.
The breakdown voltage is another diode characteristic that is related to reverse bias operation of the diode.
The breakdown voltage, measured at 10 A, is nominally 13.5volts for the SBD (See Table 166.)
The parasitic capacitance associated with the cathode to SX junction is nearly identical to that found in the
HA junction varactor. The only difference is that the BB moat surrounding the SBD is wider than that
surrounding the HA varactor. As such, the perimeter component is reduced by a factor of one-half. For details
on the parameter values for this parasitic junction please refer to section 4.18.1 on page 379.
Lastly, we have also measured the mismatch between adjacent devices. The mismatch is measured in terms
of a mismatch in the forward voltage as given in Table 164. The matching is for identical, adjacent diodes with
the same orientation, at the same temperature. Care should be taken to adhere to symmetrical layout of
matched diodes. Considerations should not only include length and width, but metal coverage and symmetry
of generated levels, i.e., special care should be given to assure that any block mask levels being generated
are present on both matched devices.
The device matching is handled through modification to the device barrier height. The barrier height is
modified by the equation given below
-----------------
0.0047
-
A an
Bfinal = Binitial + ----------------------------
par
Where Aan is the total drawn anode area in m2, does not include delbn, and par is the number of parallel
devices.
There is also an in-line test monitor for substrate contact resistance, and the nominal value reported here is
2kOhm-m2. However, the substrate contact in this in-line test monitor is surrounded by an Nwell ring.
Hence, the current through the contact has to come up from under the Nwell. In contrast, the current in
monitor used to extract the value employed in the models only needs to flow under the shallow trench
isolation (STI). So, the current is closer to the surface. This difference in the vertical distance through which
the current flows in the substrate contact accounts for the value difference between the model extracted
value and the in-line test results.
TV DV
FV 5.2m Polyimide
3.0um Polyimide
0.40m Nitride
TD (Al)
See Note 2 0.45m Oxide
TD (Al) 0.45m Oxide TV
0.07m Nitride
LM LM
1.27 0.18 (Al)
M2 0.32 0.12 M2
V1 0.35 0.08 V1
M1 0.29 0.11 M1
Figure 94. BEOL Conducting and Interlevel Film Thicknesses for LM metallization option - Thicknesses not drawn to
scale
E1 E1
3.0 0.5
FT 4.0 0.5
FT
HY (MIM)
4.0 0.5
QY (MIM) QY (MIM)
FY 1.4 0.3
VQ 0.65 0.12
M1 0.29 0.11 M1
Figure 95. BEOL Conducting and Interlevel Film Thicknesses with MA metallization - Thicknesses not drawn to scale
OL OL
3.0 0.5
M1 0.29 0.11 M1
Figure 96. BEOL Conducting and Interlevel Film Thicknesses for OL with LD metallization - Thicknesses not drawn to
scale
FQ 1.92 0.30
MQ 0.55 0.14 MQ
(Resistor)
VL 0.65 0.12 (0.75 0.12 w/ Kx resistor) VL K5
M5 0.32 0.12 M5
V4 0.35 0.08 V4
M4 0.32 0.12 M4
V3 0.35 0.08 V3
M3 0.32 0.12 M3
V2 0.35 0.08 V2
M2 0.32 0.12 M2
V1 0.35 0.08 V1
M1 0.29 0.11 M1
Figure 97. BEOL Conducting and Interlevel Film Thicknesses for AM metallization - Thicknesses not drawn to scale
Do NOT root-sum-square (RSS) the contact resistance values for multiple contacts. For worst case analysis
use the parallel combination of several contacts all at their worst case resistance value. The resistances
include the metal pad immediately above and any resistance due to the 90 degree bend in the current flow.
The resistances of the allowed via sizes described in section 3.1, Polysilicon and Isolation Layout Rules on
page 95 are listed in Table 168, Contact Resistance on page 416. For worst case analysis use the parallel
combination of several vias all at their worst case resistance value.
.\
1. Including TCR
2. All nominal via resistances are extracted for fully landed Via
N-well (under STI over ESDIODE) 250 0.92 - 420 110 0.2
N-well3 (under STI outside (PI TW06 1.10 - 420 110 0.2
expanded by +1.1um))
M2, M3, M4, M5, M6 600 < 1.0 0.32 0.12 0.0639 0.0262 0.30
M2, M3, M4, M5, M6 600 1.0 0.35 0.12 0.0584 0.0217 0.30
MQ, LM, MG 635 < 1.0 0.55 0.14 0.0373 0.0082 0.30
3. N-well Rs and TCR for NW outside PI is preliminary information, and is subject to change. See BT generation in .Table 8, Shape
Manipulation Prior to Mask Write on page 59.
6. For Inductor modeling only, see also Rule E1ab Table 51, on page 169 for Wmax.
with Rs taken from Table 170, Conducting Film Thicknesses and Sheet Resistances at 25C and Weff
defined as Weff = [Wc - (2 x PLB) - (2 x ELB)] with Wc from Table 171, Corrected Linewidth for Wires with
HOLE Shapes, and Physical Line Bias (PLB) and Electrical Line Bias (ELB) in Table 180, Extraction
Parameters for Metal Wiring.
M1 0.16 Wd 1.8 Wd
M2, M3, M4, M5, M6 1.8 < Wd 50 1.4 + 0.7 * (Wd 1.4)
OL2 Wd 1.2 Wd
LD 2 Wd 2.0 Wd
LY 2 Wd 0.6 Wd
E1 2 Wd 1.5 Wd
MA 2 Wd 4.0 Wd
AM 2 Wd 2.0 Wd
1. Because wide Copper wires will contain Metal HOLE shapes, the Effective Linewidth Weff must be
used for all Electron migration calculations (see Table 220, Current Limits at 100C, on page 492).
2. Note that LY, E1, MA, AM, OL, and LD do not require HOLE shapes.
The wiring resistance tolerance as a percent may be calculated as follows. The tolerances for T can be found
in Table 170, Conducting Film Thicknesses and Sheet Resistances at 25C, and Weff from Wd in Table
171, Corrected Linewidth for Wires with HOLE Shapes with Table 180, Extraction Parameters for Metal Wir-
ing. By applying this procedure to an array of minimum width and minimum space wires, the results in Table
172 are obtained. Note that all these cases give metal density pattern factors below 50%.
R A 8 A 2
-------- = -------- 1 + --- --------
R A 9 A
A T Weff
2 2
-------- = - + ------------------
-------
A T Weff
Table 172. Wire Resistance in an Array of Minimum Width and minimum Space Wires at 25C
Table 172. Wire Resistance in an Array of Minimum Width and minimum Space Wires at 25C
LY 0.153 0.080 52
E1 0.0040 0.001 26
1. This wire resistance per unit length value is from the Wafer Acceptance Criteria.
2. This wire resistance per unit length value is calculated from Wd, the design linewidth.
The actual wiring capacitance is the sum of four capacitance components: Cup, Cdown, Cright, and Cleft. Each
component can be calculated as follows. Calculate an initial value for each component by assuming that the
surrounding dielectric medium is uniform and has a relative dielectric constant equal to one. This calculation
can be done using an analytical formula or a two-dimensional simulation tool. The final value for each compo-
nent is then obtained by multiplying the initial value by the corresponding effective dielectric constant, as
given in Table 174, Effective Dielectric Constant on page 423.
Accurate calculations of capacitance where closely spaced electrodes are involved will require 2-dimensional
or 3-dimensional simulation. This should be done for structures such as bit lines in which accurate prediction
of capacitance is critical.
M1 over active area under M2 0.16/0.16 0.46 0.35 0.16 0.16 0.29 88.5 2
M1 over isolation under M2 0.16/0.16 0.81 0.35 0.16 0.16 0.29 88.5 2
LY over MQ under E1 0.60 / 0.60 1.4 4.0 0.58 0.62 0.46 92.3 3
LY over MG under E1
Interlevel Capacitance
Table 175 presents the wiring capacitance for the various levels. (These numbers assume a metal plate
above and below, and minimum pitch wiring at the same level. ) See the pitches in Table 173, Wiring Capac-
itance Model Parameters on page 422. All parameters are assumed to be nominal. All values in the table are
for wafer dimensions.
PC over isolation under M1 0.0369 0.0308 0.0507 0.1691 0.0650 0.0569 0.1219
4.31.5 PC to CA Capacitance
This capacitance becomes important at minimum CA to PC spaces and is sensitive to alignment. The value in
the following table is for an on pitch row of CAs next to a PC line at minimum space.
1. Note: the overlap capacitance in the FETs models does not include
PC-to-CA-M1 coupling capacitance.
2. value subject to change with hardware data.
1. NGATE is defined as PC over RX not over BP; PGATE is defined as PC over both RX and BP; PC is
defined as PC not over RX. Note that width as used here is in the direction of Leff.
1. See section 4.17, Junction Diodes on page 376, for use of a and b in capacitance calculations.
1. Per edge, a positive number means that the on wafer is smaller than the design dimension. The physical (on wafer) dimension here
is measured at the half height.
2. Per edge, a positive number means that the electrical linewidth is smaller than the physical dimension.
3. The physical bias of LD lines as a function of the space to the next line (S) is given by the following:
If S < 12.5 Bias per edge = [-((0.028 x S) - 0.19)]/2. If S 12.5 Bias per edge = -0.08
RX
E4
BP
PC PC
RX
E2
E10
E9
E1 Gate
E3
Spacer
E1,E2 E3
Source
Drain Isolation
E5 E6
E7
For example, if a square region of 500 um on a side were to be surrounded by a moat 100 um long, the total
substrate resistance between the two regions would be:
Effective Length of moat = [ 500um + (100 um x 0.56)] x 4 = 2224 um (corner pieces contribute 0.56
squares to the total length
10 23,000
20 30,000
30 33,000
50 40,000
100 50,000
The data in Table 181, Moat Parameters is based on a process model with a substrate similar to that of
CMOS8RF (CMRF8SF).
BFMOAT
500 m
100 m
600 m
4.33 Noise
The BSIM4 noise model equations are documented in the standard BSIM4 Manual available from the
University of California at Berkeley.
4.34 Inductor
There are four back end of the line (BEOL) options available for CMOS8RF (CMRF8SF):
i) An all copper option associated with the base CMOS offering (LM) consisting of thin (1x) copper levels and
thicker (2x) copper levels;
(ii)An MA option (commonly referred to also as the Dual Metal or DM option) consisting of additional thick
dielectric and metal layers (LY, E1 and MA) above the base CMOS BEOL for low resistance and low parasitic
applications;
(iii) An OL option consisting of additional thick dielectric and metal layers (OL and LD) above the base CMOS
BEOL for low resistance and low parasitic applications.
(iv) AN AM option consisting of 5 thin (1x) copper levels, one thick (2x) copper level (MQ) and a final thick alu-
minum level (AM)
The base CMOS option consists of spirals constructed of LM in parallel with MQ (for designs with two thick
levels) or LM in parallel with MG and MQ (for designs with three thick levels). The MA option consists of three
different configurations: single layer inductors that can be realized at the uppermost level of metal (MA) as a
single layer spiral with an underpass to the center using the second to last metal level (E1), providing the
highest self resonant frequency achievable with this technology; MA and E1 spirals connected in parallel,
through one or more bar vias, to achieve a very low effective sheet resistance; MA and E1 spirals connected
in series to achieve a high inductance per unit area. The OL option consists of 2 different symmetric configu-
rations, single layer (symind) and parallel (symindp). A BF groundplane or M1 comb groundplane is optional
below all inductors. In addition, the OL option has been updated to include the standard inductors (ind, indp,
and inds) which were already available in the MA option.
Note: The inductors have all been modelled assuming final passivation is covering the top of the spirals. If
the design is offered with no passivation, then the peak Q that is achieved (and in some cases peak Q fre-
quency and self-resonance frequency) will be slightly higher from the models predicted value. In general, this
increase will be less than 5%.
4.34.3 Dual Layer Parallel Stacked E1/MA Inductor (MA option, indp and
symindp)
The vertical cross section of the dual layer parallel stacked spiral inductor consists of a metal spiral at the top
level of metal (MA) connected in parallel, through one or more bar vias, with an identical spiral layer at the
second to last metal level (E1). For standard inductors, an underpass to the center of the spiral is provided at
the second to last metal layer (E1). For symmetric inductors, crossovers are provided by alternating between
the top level metal (MA) and the second to last metal layer (E1). These composite structures are realized
over a BF groundplane to maximize the self resonant frequency or a M1 comb to maximize Q. indp is a stan-
dard inductor layout with inherent asymmetry, whereas symindp is a symmetric layout suitable for differential
applications.
Table 184. Dual Layer Parallel Stacked Inductor Design Specifications
Proper connection to the ground node of the spiral inductor during simulation is critically important to
achieving accurate simulation results.
The model for the BF groundplane spiral inductor has a ground node that must be connected to an ideal AC
ground in order to provide accurate simulations. This ideal ground connection does not represent an actual
physical connection to the P- substrate underneath the inductor and therefore should not contain series
The M1 groundplane inductor model has an explicit ground connection representing the physical connection
to the M1 groundplane. This node should not be connected to an ideal ground as in the BF groundplane case
described above. It should be connected to the physical node representing the AC ground potential used to
ground the M1. This way, the actual impedance of the groundplane connection can be included in the
simulation.
Layout
In order for the ideal AC ground connection to the ground node of the inductor to be a valid representation of
the physical layout, all substrate contacts must be placed at least 80m from the spiral. This ensures that the
resistance between the spiral and the substrate contacts is large enough to decouple the spiral from those
substrate contacts.
A C4 solder ball will actually extend beyond the edge of the TV and FV or LV shapes defining it due to its
inherently spherical shape. This may cause the C4 ball to end up closer to an inductor than is expected. Due
to these concerns, and C4 splattering concerns, it is recommended to maintain a spacing of 110m
between C4 solder balls and inductors, or 83m to wirebond pads, to avoid unwanted coupling and power
loss. These recommendations are not enforced for inductors since some designs are chip area limited and/or
do not require high Q inductors. On these types of designs, it is not necessary to follow the recommendations
above.
Please note that a C4 solder ball may also be present when there is no active connection required. This type
of C4 ball is defined by the TVDUMMY or LVDUMMY layer. Dummy C4 balls are provided for mechanical
rigidity in certain packaging applications.
Modelled bondpads are not prohibited from being placed within a T3 isolation well, except for the BFMOAT
versions or (PC or RX) modelled versions over the perimeter IBLK design level.
The transmission line width can range from 4m to 25m. The length of the line is limited to be within the
range from 100m to 1500m. If a longer line is desired, two or more smaller transmission lines should be
connected in series. A true microstrip lines are also currently offered. The rfline, as it is offered, is intended to
be used as an inductor with a very low inductance value and a high Q factor.
The vertical cross section of the rfline consists of a metal line on the topmost two layers (LM and MG or MQ))
for the LM metal option or a metal line on the topmost MA layer for the MA metal stack. The structure sits in a
bed of BFMOAT so that the relatively low resistance PWELL is not under the transmission line. No other
design levels are currently allowed under the transmission line.
Proper connection to the ground node of the rfline during simulation is critically important to achieving
accurate simulation results.
The ground node must be connected to an ideal AC ground in order to provide accurate simulations. This
ideal ground connection does not represent an actual physical connection to the P- substrate underneath the
rfline and therefore should not contain series resistance representing the resistance present between the
rfline and any adjacent substrate contacts. The requirement for an ideal AC ground represents a limitation of
representing a a distributed structure with a lumped element circuit.
Layout
In order for the ideal AC ground connection to the ground node of the rfline to be a valid representation of the
physical layout, all substrate contacts must be placed at least 80m from the rfline. This ensures that the
resistance between the line and the substrate contacts is large enough to decouple the line from those
substrate contacts.
A C4 solder ball will actually extend beyond the edge of the TV and FV shape defining it due to its inherently
spherical shape. This may cause the C4 ball to end up closer to an rfline than expected. Due to these
concerns, and C4 splattering concerns, it is recommended to maintain a spacing of 110m between C4
solder balls and rflines, or 83m to wirebond pads, to avoid unwanted coupling and power loss. These rules
are not enforced for rflines since some designs are chip area limited and/or do not require low loss
transmission lines. On these types of designs, it is not necessary to follow the recommendations above.
Please note that a C4 solder ball may also be present when there is no active connection required. This type
of C4 ball is defined by the TVDUMMY layer. Dummy C4 balls are provided for mechanical rigidity in certain
packaging applications.
These types of solvers are optimized for planar multilayer/multiconductor simulations at high frequency. After
describing the material properties of the substrate, interlayer dielectrics, and metal levels, a graphical layout
is input and Maxwells equations are solved for the configuration of interest. S-parameter data over the
simulated frequency range is the typical output. From these s-parameters, capacitance, inductance, and
resistance may be extracted for use in a Spectre or Hspice netlist, or the s-parameters may be used directly if
a linear simulator is being utilized.
In order to make effective use of one of these planar e-m simulators, it is necessary to know the required
material properties, such as permittivity, permeability, conductivity and loss tangents of the dielectrics and
substrate and conductivity of the metal. Additionally, the vertical stack heights of the dielectrics, metal levels
and substrate must be known. The table below is intended as a summary of the types of inputs required for
this type of e-m simulation along with some values or suggestions on where to locate them.
With the above information, simulations can be performed to characterize the characteristics of arbitrarily
shaped pieces of interconnect metallization. If care is taken in setting up the simulation (including a thorough
understanding of the limitations of your particular simulator), accurate results can be expected from any of the
planar e-m simulators on the market.
4.38.1 Purpose
The offered interconnect models refer to a definite set of parametrized shielded interconnect structures,
which enable high predictability of T-lines behavior and allow to predict parasitics effects due to interconnect
on early (schematic) design phase. T-lines models enable users to design well controlled impedance
environment.
single wire (singlewire) shielded transmission line, thereafter referred to as TL1 (see Figure 100 and
Figure 101)
two wires (coupledwires) shielded transmission line, thereafter referred to as TL2 (see Figure 102
and Figure 103).
single wire coplanar waveguide (singlecpw), thereafter referred to as CPW1 (see Figure 104)
two wires coplanar waveguide (coupledcpw), thereafter referred to as CPW2 (see Figure 105)
All models are symmetrical, as shown on Figures 100, 101, 102, 103, 104 and 105.
All offered models are suitable for time domain simulations, including both periodic steady state and true
transient simulations, as well as frequency domain simulations.
The offered modeling approach does not depend on whether impedance matching is used or not.
The models offered in the current release support usage of the Spectre and HSPICE simulators.
The TL2 and CPW2 models are intended mainly for differential designs, but they can also be used in
non-differential designs in order to model the electric and magnetic crosstalk between adjacent lines.
For each critical line, the T-line topology and metal layers are specified; length and width values are
estimated, based on possible floor plan constraints and impedance considerations.
After completing the layout, T-line geometrical parameters are updated if needed, for the sake of LVS.
Post-layout simulation procedure and detailed discussion of the proposed design flow can be found in the
user guide.
TL1 Model
The TL1 model consists of a metal signal line above a metallic return path, with optional side shielding. All
legal metal level combinations as signal and shielding layers are permitted. This structure is shown in Figure
100 and Figure 101.
th
th_g
Legend Wg
signal wire
shielding
The single transmission line model is a passive ladder model. The inductance and the resistance per unit
length both depend on frequency due to skin and proximity effects. The resistance per unit length includes
the signal wire resistance and the resistance of the shielding return path, with their frequency dependence.
Dielectric losses in the oxide layer are negligible and are therefore neglected. The losses due to possible
currents in the silicon substrate are effectively eliminated by the use of the bottom shield layer.
W Ws
S th
th_g
Legend Wg
signal wire
shielding
via
Figure 101. TL1 with both bottom and side shielding: cross-section
TL2 Model
The TL2 structure is two identical metal signal lines above a metallic return path, with optional side shielding.
all legal metal level combinations as signal and shielding layers are permitted. This structure is given in
Figure 102 and Figure 103, on page 446.
The TL2 is a passive ladder model which includes capacitance, resistance, inductance, cross capacitance,
and mutual inductance per unit length of the two coupled signal lines with the common shielding return path.
The model of is frequency dependent, as specified below. The resistance per unit length includes only the
signal wire resistance without the shielding return path resistance. This condition is exact for odd mode
(differential) signals, and still serves as good approximation for other modes of signal propagation. Dielectric
losses in the oxide layer are negligible and are therefore neglected. The losses due to possible currents in
the silicon substrate are effectively screened by the use of the bottom shield layer.
The model describes accurately the full electric and magnetic crosstalk between the two signal lines, in all
modes of signal propagation across the lines (odd mode, even mode and single mode).
d th
th_g
Legend
Wg
signal wire
shielding
W Ws
s
d th
th_g
Wg
Legend
signal wire
shielding
via
Figure 103. TL2 with both bottom and side shielding: cross-section
CPW1 Model
The CPW1 model consists of a metal signal line above a silicon substrate, with side shielding.
This structure is shown in Figure 74. The CPW1 device can be at any legal metal level.
W Ws
S th
Legend
signal wire
shielding
silicon substrate
Figure 104. CPW1 with side shielding: cross-section
The single wire coplanar waveguide model is a passive ladder model. The inductance and the resistance per
unit length both depend on frequency due to skin and proximity effects. The resistance per unit length
includes the signal wire resistance and the resistance of the shielding return path, with their frequency
dependence. Dielectric losses in the oxide layer are negligible and are therefore neglected. The losses due to
possible currents in the silicon substrate are incorporated into model.
CPW2 Model
The CPW2 structure is two identical metal signal lines above a silicon substrate, with side shielding. This
structure is given in Figure 105. The CPW2 device can be at any legal metal level.
Note: If lines longer than 1000m are required, it is recommended that they be broken into smaller pieces and
connected in series.
The distance between signal and side shielding in singlewire and singlecpw device layouts must be less
than 20m
The distance between signal and side shielding in coupledwire and coupledcpw device layouts must be
less than 20m
The distance between two signal lines in coupledwire and coupledcpw device layouts must be less than
20m
When transmission lines are in close proximity to each other it is recommended to limit the minimum dis-
tance between their bottom ground shields to the minimum spacing allowed by layout rules for that metal
level. However, the local pattern density rules on the Mx (x=2,3,4,5,6,Q,G) and LM metal levels must also
be met, which may require adjacent transmission lines to be spaced further apart.
W Ws
s
d th
Legend
signal wire
shielding
The CPW2 is a passive ladder model which includes capacitance, resistance, inductance, cross
capacitance, and mutual inductance per unit length of the two coupled signal lines with the side shielding
return path.
The model of is frequency dependent, as specified below. The resistance per unit length includes only the
signal wire resistance without the shielding return path resistance. This condition is exact for odd mode
(differential) signals, and still serves as good approximation for other modes of signal propagation. Dielectric
losses in the oxide layer are negligible and are therefore neglected. The losses due to possible currents in
the silicon substrate are incorporated into model.
The model describes accurately the full electric and magnetic crosstalk between the two signal lines, in all
modes of signal propagation across the lines (odd mode, even mode and single mode).
Grounding with low ground impedance connection at near T-line end is a must. Grounding with low
impedance connection at far T-line end is highly recommended.
4.39.1 Latchup
Latchup susceptibility must be verified for the maximum voltage applied to the product under any conditions,
including burn-in. For 2.5 V tolerant I/O, latchup testing should be evaluated for sensitivities above 2.5 V. For
1.2 V internal/ 2.5 V external two power-supply chips, latchup evaluations should be for voltages over 1.8 V.
Product testing should quantify latch-up sensitivity to power-up and power-down sequencing. In general, the
burn-in voltage is 1.5X operating voltage. Therefore, latchup evaluation should be done accordingly (for more
information on burn-in, please refer to section 5.3 Front End Of Line (FEOL) Reliability Design Rules on
page 461 and section 6.2 Latchup Guidelines and Layout Constraints on page 508).
4.39.2 Snap-back
The applied voltages must not exceed the sustaining voltage of the transistors in the circuitry. Overvoltages
can be avoided by using NFETs in a series configuration (stacked transistors). Layout of interdigitated
stacked NFETs may have a snap-back voltage lower than the sum of the two transistors.
ESDIODE is not supported within T3 isolation well. Salicide block ESD nfets (thin ox, thick ox 2.5-V or 3.3-V)
are allowed to be placed over T3 isolation well.
The following are additional design requirements for mixed voltage I/O circuit designs.
For chips with I/O power supplies in addition to the 1.2 volt supply, design the chip and or application such
that when Vdd is lost, the I/O power supply is also turned off. This is to prevent chip damage.
Have the I/O design such that it does not depend on the voltage differential between Vdd and the I/O sup-
ply in order to function during Burn-in and voltage screens.
Have the I/O design such that it does not draw DC power during Burn-in, DVS, EVS and IddQ testing.
The maximum allowed voltages across the gate dielectric are defined as follows.
Equations are provided below to calculate these maximum voltages as a function of lifetime (KPOH), maxi-
mum junction temperature (Tj ) and total gate area for each device type listed in Table 196, Maximum Voltage
Parameters on page 453. These equations are valid only for junction temperatures above 30o C. The values
at 30o C should be used for applications below 30o C.
1 A A A T 175
V = V ref + ---- ln --------------------------------------
max G KPOH D f
1 A A A T A V 175 ( KPOH D f ) + t os
V os = V h + ---- ln ------------------------------------------------------------------------------------------------------------
G t os
1
------
2 B
Area { mm }
AA = ----------------------------------
A ref
Ea Eb 2 1 2
A T = exp ------------------------------- ------------------------------------- ---------- + ------------------------------- ------------------------------------- ----------
1 1 1
5 273 + T { C } 398 5 273 + T { C } 398
8.62 10 j 8.62 10 j
t os = KPOH S f D os
A V = exp [ G ( V h V ref ) ]
The constants Vref , Aref, B, G, Ea and Eb are listed in Table 196, Maximum Voltage Parameters on
page 453, for each device type and the following definitions apply:
Table 197, Sample Vmax Calculations 2.2 nm FETs with a gate area of 0.2 mm2 and a duty factor of 100%
on page 453, provides an example of Vmax calculations for 2.2 nm FET applications with a gate area of 0.2
mm2 and a duty factor of 100%. For evaluation of product-specific applications, please contact the IBM Tech-
nical Representative.
Table 197. Sample Vmax Calculations 2.2 nm FETs with a gate area of 0.2 mm2 and a duty factor of 100%
POH (hrs) Tj (oC) NFET PFET POH (hrs) Tj (oC) NFET PFET
4.39.9 VMAX for Single and Dual Nitride MIM Capacitor (for MA or OL
with LD Metallization Options)
The CMOS8RF (CMRF8SF) Single and Dual MIM capacitor maximum allowed operating voltage is deter-
mined from the following equation.
0.036
C0 t
V
max
= ---------------------------------------------------------------------------------------------------------------------------------------------------------------
6 1.111 0.177 2837.545
[ ln ( 1 10 PPM ) ] [A P ] exp -----------------------------
273.15 + T
where t is time in Khours, PPM is parts per million failure criterion, T is the temperature in degrees centigrade,
A is the area in square microns and P is the perimeter in microns. The value of C0 for the single and dual MIM
is 3.040 x 10-31 and 5.680 x 10-30 respectively. The tables below show the results of an example calculations
given the following operation criterion.
Single MIM
Time: 100KPOH, 60KPOR, 40KPOR, 20KPOR
Area: 2,000,000m2
Perimeter: 5657m
Dual MIM
Time: 100KPOH, 60KPOR, 40KPOR, 20KPOR
Area: 2,000,000m2
Perimeter: 5657m
The maximum allowed operating voltage is determined from the following equations.
0.0254
35
9.30 10 t
V
max
= -------------------------------------------------------------------------------------------------------------------------------------------------------------
0.1788
-
6 2.5 9461.41
{ ln [ 1 10 PPM ] } [ A P ] exp -----------------------------
273.15 + T
0.0254
33
6.82 10 t
V
max
= -------------------------------------------------------------------------------------------------------------------------------------------------------------
0.1788
-
6 2.5 9461.41
{ ln [ 1 10 PPM ] } [ A P ] exp -----------------------------
273.15 + T
where t is time in K-hours, PPM (parts per million) is the failure criterion, T is the temperature in degrees cen-
tigrade, A is the area in square microns and P is the perimeter in microns. Below is an example calculation for
the specified operating conditions (VHK > VQK)
Recommendations:
Stay below the specified limits of the power supply: Vdd = 1.6 V (maximum) for Tox=2.2 nm, Vdd = 2.7 V
(maximum) for regular I/O devices and Vdd = 3.6 V (maximum) for 3.3V I/O devices.
Use power supplies with tighter tolerances (for example less than or equal to 1.2 0.1 V, 1.5 0.1 V, 2.5
0.2 V, 3.3 0.3 V) when possible. Most reliability mechanisms are strongly voltage dependent.
Stay within the specified temperature range: -55 to 125 C
Use minimum layout dimensions only when necessary.
Use minimum device lengths only when necessary. Many reliability mechanisms are strongly length
dependent.
All circuits must be designed to be functional at the worst-case design burn-in conditions. The details of
this are described in section 5.2 , Reliability Screening on page 459.
Review wear-out mechanism ground rules
Hot Carriers
Critical circuits are those with highly loaded devices, high duty factors, critical matching, analog
function or bidirectional operation
minimize switching times and currents in circuits
Electromigration
Critical circuits are those with highly loaded devices or high duty factors
Contacts to polysilicon must occur over RX space (STI).
A chip guard ring must be provided around the entire chip.
Avoid large areas of thin oxide capacitors. These increase the likelihood of defect related chip failure.
DC standby current screens are an effective means of detecting defects. Chips should be designed with
minimal DC standby current. If DC current is required for a particular application, then that current should
be brought to a separate pad.
If device matching is a concern, place devices in close proximity with the same orientation. Allow for at
least the minimum expected mismatch specified under Threshold Voltage Stability.
Vertical interconnects are mechanical and electrical weak points. Maximize areas of vertical intercon-
nects by using as much contact and via stud area as possible and by overlapping contacts and vias with
as much metal as possible.
Any device uses not specifically allowed by this manual must be reviewed with Reliability Engineering.
5.2.1 Burn-in
All circuits must be designed to be functional at the worst-case design burn-in conditions. IBMs requirement
for burn-in conditions is 1.5X Vdd 0.125 V (1.8 0.125 V) and 140 C. Therefore, the following conditions
should be used to assess functionality at burn-in. Any deviation from these conditions must be discussed with
IBM.
Vdd = 1.925V for 1.2V circuits, 2.375V for 1.5V circuits, 3.875V for 2.5V circuits and 5.075V for 3.3V cir-
cuits
Temperature = 140 C
Lp = 0.092 m NFET, 0.215 m for DGNFET, 0.40um for XNFET
Lp = 0.092 m PFET, 0.215 m for DGPFET, 0.40um for XPFET
Tcyc = 200-500 ns
Trise,fall = 60 ns
Other variables are at nominal conditions
The standard devices in I/O circuits that drive and receive voltages higher than 1.2 V can NOT have more
than 1.925 V across its terminals during burn-in. The reverse-bias junction voltages (N+ to substrate, N-Well
to P+), however, can be as high as 3.6 V.The thick oxide devices in I/O circuits that drive and receive voltages
higher than 2.5V can NOT have more than 3.875 V across its terminals during burn-in.
Burn-in function at the indicated elevated conditions requires a robust voltage distribution (including N well
and substrate contacts) and patterns which avoid large amounts of simultaneous switching.
Temperature control becomes a problem if the ICs themselves supply too much heat compared to the oven.
Power dissipation over about 3 watts (average) may have to be corrected with special burn-in modes.
EVS is extremely effective with pre burn-in reliability shorting mode defects. The normally dominant shorting
mode is reduced to a minority compared to open mode. Additional defect reduction is afforded since highly
defective wafers can be identified and scrapped. In many cases EVS has been successfully substituted for
burn-in.
Adherence to the following ground rules for voltage screening is highly recommended. EVS does not restrict
channel length.
Static data integrity with Vdd/Inputs at 3.0 V (maximum target, actual based on product testing).
Dynamic functionality with Vdd/Inputs at 2.55 V (maximum target, actual based on product testing), Tcycle
= 200-1000 ns.
Experience has shown that products properly designed for the burn-in conditions above will meet the
static/dynamic requirements for EVS/DVS.
Supply low Idd states with good node toggle and without DC current. Every potential DC path from Vdd to
ground should be blocked by at least one NFET or PFET with Vgs=0. Supply True/Complement states for
embedded SRAM and all latches.
Pass gate circuitry design must satisfy this DC path blockage requirement e.g. be a pass gate feeding a
latch, fully complementary pass gate design, or have an NFET pass gate pullup (half latch).
Grounded PFET circuitry design must also be DC free. For example there should be a test pin to undo
grounding of PFETs and an extra NFET pull down with gate tied to the same test pin. so that with the test
pin high, the PFET is OFF and the NFET ties the potentially floating node to ground.
The layout design and/or test design of MUX circuitry must be free of DC paths and floating nodes (inde-
terminate states).
Input and I/O circuitry must be designed such that input highs can be received without DC paths at no
more than Vdd volts during EVS where Vdd is projected at 3.0 V.
As with burn-in, DVS requires functionality at accelerated conditions of voltage and temperature. This func-
tionality needs to be verified by whoever is responsible for wafer test on the earliest available hardware. With
products becoming ever more complex, the conditions for this early assessment needs to be discussed with
Reliability Engineering.
The burn-in conditions to use for simulations to assess functionality are determined from the worst case of the
following:
Case 1
1. VDD = 1.925V (2.375V for 1.5V supply, 3.875 V for 2.5V supply, 5.075V for 3.3V supply)
2. Temperature = 140o C
3. Lp = 0.092 m for NFET and PFET (0.215 m for DG devices, 0.40um for 3.3V I/O devices)
4. Duration = nominal burn in duration for your product; 200ns cycle time
5. Other variables are at nominal
Case 2
1. VDD=1.80 V (2.25V for 1.5V supply, 3.75 V for 2.5 supply, 4.95V for 3.3V supply)
2. Temperature = 140o C
3. Lp = 0.081 m for NFET and PFET (0.175 m for DG devices, 0.368um for 3.3V I/O devices)
4. Duration = nominal burn-in duration for your product; 200 ns cycle time
5. Other variables are at nominal
Case 3
1. VDD = 1.80V (2.25V for 1.5V supply, 3.75 V for 2.5 supply, 4.95V for 3.3V supply)
2. Temperature = 140 C
3. Lp = 0.092 m for NFET and PFET (0.215 m for DG devices, 0.40um for 3.3V I/O devices)
4. Duration = worst case duration for product; 200 ns cycle time
5. Other variables are at nominal with process variation (ACLV, Vt etc.) included
Minimum Lp typically produces the largest hot carrier shifts but may not be the most sensitive condition for cir-
Mechanism
Hot carriers are holes or electrons which have been accelerated to a high energy by local electric fields. If
such a carrier has kinetic energy in excess of the silicon-insulator barrier height, it may surmount the barrier
and enter the insulator. Once in the insulator, the electron or hole may become trapped. High energy carriers
can also produce interface states. The accumulation of trapped charge causes a shift in the Vt (threshold volt-
age) of the device and the accumulation of interface states can reduce device drain current, degrade sub-
threshold slope and increase device leakage.
Hot carriers are categorized into two types dependent on the origin of the carrier. These are CHC (Channel
Hot Carriers) and SHC (Substrate Hot Carriers). Channel hot carriers are broken down further into Conduct-
ing (Gate Voltage > Threshold Voltage) and Non-Conducting (Gate Voltage ~ 0).
The following is a model of the conducting NFET channel hot carrier effect. The model is derived at peak sub-
strate current (VGS ~ VDS/2). This is the maximum degradation point for Leffs larger than nominal. For Leffs
less than nominal, VGS= VDS is the worst DC case. However, in actual use the degradation will peak near VGS
~ VDS/2 even for low Leff since the dependence of the degradation on vGS is not very strong for low Leff and
at VGS=VDS, vDS normally will be significantly lower. This model does not include saturation effects, which
tend to reduce the degradation above 50 %. For Wdesign<1 um, or for temperatures above 30 C, corrections
must be applied as indicated.
Degradation Equation:
I D V 0
--------- = A L eff exp ------------ t eq
m n
ID V DD
in units of m, volts, and seconds. The time teq is defined below. The parameters A, m, V0 and n are given in
Table 198, NFET Hot Carrier Conducting Model Common Parameters Tox=2.2nm and Table 199, NFET
Hot Carrier Conducting Model A Parameter.
Use Mode m V0 n
Time Calculations: Hot carrier effects occur in NFET devices when vDS is close to VDD and appreciable iD
is flowing. For typical CMOS circuits, these conditions occur only during switching transients. In this case,
equivalent stress time can be approximated by,
f sw
t eq = D --------- t use
f cl
where,
fsw = average switching freq (CYCLES/sec)
fcl = clock frequency
tuse = actual use time in sec and,
D = 0.003 for short vDS transition times ( < 0.05 x tcyc, 10-90%)
0.010 for moderate vDS transition times ( 0.05 to 0.15 x tcyc, 10-90%)
0.03 for long vDS transition times ( 0.15 to 0.30 x tcyc, 10-90%)
Another way of estimating teq is the total time spent between the following two waveform events: vGS reaching
VT, and vDS falling to VDD/(1+(VDD/2V0)).
Care must be taken when operating devices with persistent drain currents. In these cases, vDS must be kept
low, or associated circuits designed to tolerate significant degradations.
Narrow Device Correction: For device design widths of less than one m, the calculated degradation should
be multiplied by 1.75x
High Temperature Correction: For junction temperatures above 30 C, the calculated degradation does not
require correction.
Device Characteristics: The observed effect of hot carrier injection on an NFET device is dependent on the
measurement conditions applied after stress. Reverse mode refers to the situation where a device is mea-
sured with its source and drain connections reversed relative to those during stress. Thus, the reverse satura-
J deg = C ------------- J DS
1 +
V deg = B
where,
= ION/ION, expressed as a fraction, and the parameters C and B are given by:
Device C B (V)
Note: Do not confuse Vdeg with the actual threshold shift, which is larger than Vdeg.
Example: A 2.2nm NFET in an inverter circuit is operated for 100,000 hour with switching transient of moder-
ate transition times once every 10 ns clock cycle. VDD = 1.5 v, and minimum Leff = 0.06 um. teq = 0.01 x
(50 MHz/100 MHz) x 3.6E8 sec = 1.8E6 sec. Using the model parameters for forward saturation yields a
Drain current degradation of 2.06% ( WC A=60.2) for the NFET. Jdeg=0.009*Jds, Vdeg=7mV.
Specific Device Concerns: The following are some specific NFET uses that must be examined in detail.
Burn-in conditions
Bidirectional devices - stressing a device in both directions is more severe than 2X the stress time in one
direction
D.C. current flow
Heavily loaded circuits such as Off-chip Drivers (OCDs) and Clock Drivers
Circuits such as OCDs which may see voltage overshoots or undershoots
Mixed voltage interface circuits or any circuit using greater than 1.95 V.
Transconductance (Gm)
G m V 0
------------- = A L eff exp ------------ t eq
m n
Gm V DD
A = 14 (nominal)
A = 21 (worst case, 3-sigma)
m = -2.9
Vo = 22.6
n = 0.45
Conductance (Gds)
G ds V 0
-------------- = A exp ------------ t eq
n
G ds V DD
The above degradation is worst case for devices up to 0.24um design channel length, and above this value,
the degradation is negligible.
Conducting Hot Carriers: Regular I/O Thick Oxide (DG, 5.2 nm) N-channel Devices
The DGNFET channel hot carrier effect is dominated by the generation of interface states. Significant degra-
dation occurs when the gate voltage is above VT , and VDS is large. The device degradation is characterized
by both a threshold voltage increase and a reduction in device drain current over time. The device damage is
localized near the drain, resulting in an asymmetry in the post stress device characteristics.
The following is a model of the conducting DGNFET channel hot carrier effect. The model is derived at peak
substrate current (VGS ~ VDS/2). This is the maximum degradation point.This model does not include satura-
tion effects, which tend to reduce the degradation above 50 %. These models are preliminary.
I D V 0
--------- = A L eff exp ------------ t eq
m n
ID V DD
in units of m, volts, and seconds. The time teq is defined below. The parameters A, m, V0 and n are given in
Table 201, NFET Hot Carrier Conducting Model Common Parameters Tox=5.2nm and Table 202, NFET
Hot Carrier Conducting Model A Parameter.
Use Mode m V0 n
Time Calculations: Hot carrier effects occur in NFET devices when vDS is close to VDD and appreciable iD
is flowing. For typical CMOS circuits, these conditions occur only during switching transients. In this case,
equivalent stress time can be approximated by,
f sw
t eq = D --------- t use
f cl
where,
fsw = average switching freq (CYCLES/sec)
fcl = clock frequency
tuse = actual use time in sec and,
D = 0.003 for short vDS transition times ( < 0.05 x tcyc, 10-90%)
0.010 for moderate vDS transition times ( 0.05 to 0.15 x tcyc, 10-90%)
0.03 for long vDS transition times ( 0.15 to 0.30 x tcyc, 10-90%)
Another way of estimating teq is the total time spent between the following two waveform events: VGS reaching
VT, and VDS falling to VDD/(1+(VDD/2V0)).
Care must be taken when operating devices with persistent drain currents. In these cases, vDS must be kept
low, or associated circuits designed to tolerate significant degradations.
Narrow Device Correction: For device design widths of less than one m, the calculated degradation should
be multiplied by 1.25x
.
High Temperature Correction: For junction temperatures above 30 C, the calculated degradation does not
require correction.
Device Characteristics: The observed effect of hot carrier injection on an NFET device is dependent on the
measurement conditions applied after stress. Reverse mode refers to the situation where a device is mea-
sured with its source and drain connections reversed relative to those during stress. Thus, the reverse satura-
tion degradation is important when a device is used bidirectionally, as in a pass gate. Observed ID
degradation is more severe than the average for VGS near VT and less severe for VGS near VDD. The
increase in inverse average drain current approximates the increase in the delay (rising vGS to falling vDS).
Example: An inverter circuit is operated for 50,000 hour with switching transient of longer transition times
once
every 10 ns clock cycle. VDD = 2.5v, and Leff = 0.17 um. teq = 0.03 x (50 MHz/100 MHz) x 1.8E8 sec =
2.7E6 sec. Using the model parameters for forward saturation yields a Drain current degradation =4.9 %
(A=494 WC).
Specific Device Concerns: The following are some specific NFET uses that must be examined in detail.
When Vds > 2.75 It is recommended to use stacked devices and/or longer channels
Burn-in conditions
Bidirectional devices - stressing a device in both directions is more severe than 2X the stress time in one
direction
D.C. current flow
Heavily loaded circuits such as Off-chip Drivers (OCDs) and Clock Drivers
Circuits such as OCDs which may see voltage overshoots or undershoots
Mixed voltage interface circuits or any circuit using greater than 3.6 V.
Circuits with long rise and/or fall times such as OCDs
Circuits where VT or ID matching is critical
The following is a model of the conducting 3.3V I/O NFET channel hot carrier effect. The model is derived at
peak substrate current (VGS ~ VDS/2). This is the maximum degradation point. These models are preliminary.
I D V 0
--------- = A L eff exp ------------ t eq
m n
ID V DD
in units of m, volts, and seconds. The time teq is the lifetime in sec with a duty cycle of 1%. Id is defined by
Vds=vdd/2, Vgs=Vth+200mV.
The parameters A, m, V0, L0, and n are given in Table 203, NFET Hot Carrier Conducting Model for 3.3V I/O
nFET operation.
Table 203. NFET Hot Carrier Conducting Model for 3.3V I/O nFET operation
Use Mode m V0 n
Table 204. 3.3V I/O NFET Hot Carrier Conducting Model A Parameter
Example: A 3.3V I/O NFET device in an inverter circuit is operated for 50,000 hour with switching transient of
longer transition times once
every 10 ns clock cycle. VDD = 3.3V, and Leff = 0.40 um, teq = 0.03 x (50 MHz/100 MHz) x 1.8E8 sec =
2.7E6 sec. Using the model parameters for forward saturation yields a Drain current degradation =2.29 %
(worst case, A equals 12).
I D ( b Vd ) V 0
exp ---------- ( I off t eq )
n
--------- = A L eff VD
ID
The parameters A, V0, b and n are given in Table 205, NFET Hot Carrier Non-conducting Model Parame-
ters.
Narrow Device Correction: For device design widths of less than one m, the multiplier 1.75x should be
applied
Device Characteristics: The observed effect of hot carrier injection on an NFET device is dependent on the
measurement conditions applied after stress. Reverse mode refers to the situation where a device is mea-
sured with its source and drain connections reversed relative to those during stress. Thus, the reverse satura-
tion degradation is important when a device is used bidirectionally, as in a pass gate. Observed ID
degradation is more severe than the average for VGS near VT and less severe for VGS near VDD. The
increase in inverse average drain current approximates the increase in the delay (rising vGS to falling vDS ).
Example: A logic part is burned-in for 10 hours at 140 C with VD=1.8 V. What is the worst case current degra-
dation for a (normal VT) device used unidirectionally? For minimum Leff = 0.075 um, Ioff = 80nA/um; assume
100% duty cycle worst case, t=36,000 sec. Using the model parameters for forward saturation yields a Id/Id
= 0.41% for the NFETs.
Non-conducting hot carriers: Regular I/O Thick Oxide (DG, 52A) and Thick Oxide
3.3V I/O N-channel Devices
The non-conducting stress mode is one in which vGS = 0 and vDS is large. NFET devices in which significant
subthreshold or punchthrough current flows can exhibit hot carrier effects. The physical mechanism is similar
to the conducting NFET and is found in short channel length devices at elevated voltages and temperatures,
( b Vd ) V 0
exp ---------- ( I off t eq )
n
Y = A L eff
VD
SAT Y
I D = ------------------------
SAT + Y
in units of um, volts, second, Ioff in nA/m at stress condition (such as burn-in), Y in [%], SAT is a parameter
to account for saturation effects.
The parameters A, V0, b and n are given in Table 206, DG NFET Hot Carrier Non-conducting Model Param-
eters.
Narrow Device Correction: For device design widths of less than one m, the multiplier 1.25x should be
applied
Device Characteristics: The observed effect of hot carrier injection on an NFET device is dependent on the
measurement conditions applied after stress. Reverse mode refers to the situation where a device is mea-
sured with its source and drain connections reversed relative to those during stress. Thus, the reverse satura-
tion degradation is important when a device is used bidirectionally, as in a pass gate. Observed ID
degradation is more severe than the average for VGS near VT and less severe for VGS near VDD. The
increase in inverse average drain current approximates the increase in the delay (rising vGS to falling vDS ).
The following is a model of the conducting PFET channel hot carrier effect. The model is derived at (VGS ~
VDS). This is the maximum degradation point for all Leffs. However, in actual use the degradation will peak
somewhat below vGS ~ vDS, making the effective duty cycle (or teq / tuse ) less than that for NFETs, as seen
below in the time calculation section. This model does not include saturation effects, which tend to reduce the
degradation above 50 %. This equation covers all use temperatures and design widths.
Degradation Equation:
I D V 0
--------- = A L eff exp ------------ t eq
m n
ID V DD
in units of m, volts, and seconds. The time teq is defined below. The parameters A, m, V0 and n are given in
Table 207, PFET Hot Carrier Conducting Model Common Parameters Tox=2.2 nm and Table 208, PFET
Hot Carrier Conducting Model A Parameter for Tox=2.2nm.
Table 207. PFET Hot Carrier Conducting Model Common Parameters Tox=2.2
nm
Use Mode m V0 n
Table 208. PFET Hot Carrier Conducting Model A Parameter for Tox=2.2nm
Time Calculations: Hot carrier effects occur in PFET devices when VDS is close to VDD and appreciable ID is
flowing. For typical CMOS circuits, these conditions occur only during switching transients. In this case, equiv-
alent stress time can be approximated by,
f sw
t eq = D --------- t use
f cl
where,
fsw = average switching freq (CYCLES/sec)
fcl = clock frequency
tuse = actual use time in sec and,
D= 0.001 for short VGS and VDS transition times ( < 0.05 x tcyc, 10-90%)
0.005 for moderate VGS or VDS transition times ( 0.05 to 0.15 x tcyc, 10-90%)
0.05 for long VGS or VDS transition times ( 0.15 to 0.30 x tcyc, 10-90%)
Another way of estimating teq is the total time spent between the following two waveform events: VGS reach-
ing VT, and |VDS| falling to VDD/(1+(VDD/V0)), times the factor exp 2.0(VDD-1-|VDSCO|-1), where VDSCO is the
voltage at which VDS crosses VGS.
High Temperature Correction: For junction temperatures other than 30 C, the calculated degradation does
not require correction.
Device Characteristics: The observed effect of hot carrier injection on an PFET device is dependent on the
measurement conditions applied after stress. Reverse mode refers to the situation where a device is mea-
sured with its source and drain connections reversed relative to those during stress. Thus, the reverse satura-
tion degradation is important when a device is used bidirectionally, as in a pass gate. Observed ID
degradation as a function of VGS increases as VGS decreases (down to VT). The net effect over the whole
VGS range (above VT) can be approximated by a simultaneous current multiplier (given by a dependent cur-
rent source), and an effective VT shift (given by a voltage source). The current source Jdeg is in parallel but
opposite direction to the Jds source in the device model (so as to lower the effective drain current), and the
voltage source Vdeg is in series with the gate, opposing the turn-on of the device (that is, negative towards
the gate.) The values of Jdeg and Vdeg are given by:
J deg = C ------------- J DS
1 +
V deg = B
where,
= ION/ION, expressed as a fraction, and the parameters C and B are given by:
Device C B (V)
Note: Do not confuse Vdeg with the actual threshold shift, which is larger than Vdeg.
Example: A 2.2nm Tox PFET in an inverter circuit is operated for 100,000 hour with switching transient of
moderate transition times once every 10 ns clock cycle. VDD = 1.5 V, and minimum Leff = 0.08 um. teq =
0.005 x (50 MHz/100 MHz) x 3.6E8 sec = 900,000 sec. Using the model parameters for forward saturation
yields a Drain current degradation= 0.77% (A=3.2 WC) for the PFET. Jdeg=0.003*Jds, Vdeg=2.5mV.
Specific Device Concerns: The following are some specific PFET uses that must be examined in detail.
Burn-in conditions
Bidirectional devices - stressing a device in both directions is more severe than 2X the stress time in one
direction
D.C. current flow
Heavily loaded circuits such as Off-chip Drivers (OCDs) and Clock Drivers
Circuits such as OCDs which may see voltage overshoots or undershoots
Mixed voltage interface circuits or any circuit using greater than 1.95 V.
Circuits with long rise and/or fall times such as OCDs
Circuits where VT or ID matching is critical
Conducting hot carriers: Regular I/O Thick Oxide (DG, 5.2 nm) P-channel Devices
The DGPFET channel hot carrier effect is due to a mixture of interface state generation and charge trapping,
and is more complex than NFET behavior. Significant degradation occurs when the gate voltage is between
VT and VDS, and VDS is large. The device degradation is characterized by both a threshold voltage increase
and a reduction in device drain current over time. The device damage is localized near the drain, resulting in
an asymmetry in the post stress device characteristics.
The following is a model of the conducting DGPFET channel hot carrier effect. The model is derived at (VGS ~
VDS). This is the maximum degradation point for all Leffs. However, in actual use the degradation will peak
somewhat below VGS ~ VDS, making the effective duty cycle (or teq / tuse ) less than that for NFETs, as seen
below in the time calculation section. This model does not include saturation effects, which tend to reduce the
degradation above 50 %. These models are preliminary.
Degradation Equation:
I D V 0
--------- = A L eff exp ------------ t eq
m n
ID V DD
in units of m, volts, and seconds. The time teq is defined below. The parameters A, m, V0 and n are given in
Table 210, PFET Hot Carrier Conducting Model Common Parameters Tox=5.2nm and Table 211, PFET Hot
Carrier Conducting Model A Parameter for Tox=5.2nm.
Use Mode m V0 n
Time Calculations: Hot carrier effects occur in PFET devices when vDS is close to VDD and appreciable iD is
flowing. For typical CMOS circuits, these conditions occur only during switching transients. In this case, equiv-
alent stress time can be approximated by,
f sw
t eq = D --------- t use
f cl
where,
fsw = average switching freq (CYCLES/sec)
fcl = clock frequency
tuse = actual use time in sec and,
B = 0.001 for short vGS and vDS transition times ( < 0.05 x tcyc, 10-90%)
0.005 for moderate vGS or vDS transition times ( 0.05 to 0.15 x tcyc, 10-90%)
0.05 for long vGS or vDS transition times ( 0.15 to 0.30 x tcyc, 10-90%)
Another way of estimating teq is the total time spent between the following two waveform events: VGS reach-
ing VT, and |VDS| falling to VDD/(1+(VDD/V0)), times the factor exp 2.0(VDD-1-|VDSCO|-1), where VDSCO is the
voltage at which VDS crosses VGS.
Care must be taken when operating devices with persistent drain currents. In these cases, VDS must be kept
low, or associated circuits designed to tolerate significant degradations.
High Temperature Correction: For junction temperatures other than 30 C, the calculated degradation does not
require correction.
Device Characteristics: The observed effect of hot carrier injection on an PFET device is dependent on the
measurement conditions applied after stress. Reverse mode refers to the situation where a device is mea-
sured with its source and drain connections reversed relative to those during stress. Thus, the reverse satura-
tion degradation is important when a device is used bidirectionally, as in a pass gate. Observed ID
degradation is more severe than the average for VGS near VT and less severe for VGS near VDD. The
increase in inverse average drain current approximates the increase in the delay (falling |VGS| to rising |VDS|).
Example: An inverter circuit is operated for 50,000 hour with switching transient of longer transition times
once every 10 ns clock cycle. VDD = 2.5 V, and Leff = 0.17 um, teq = 0.05 x (50 MHz/100 MHz) x 1.8E8 sec
= 4.5E6 sec. Using the model parameters for forward saturation yields a Drain current degradation = 0.48%
(worst case, A equals 94.6) for the DGPFET.
Specific Device Concerns: The following are some specific PFET uses that must be examined in detail.
When VDS > 2.75 It is recommended to use stacked devices and/or longer channels
Burn-in conditions
Bidirectional devices - stressing a device in both directions is more severe than 2x the stress time in one
direction
D.C. current flow
Heavily loaded circuits such as Off-chip Drivers (OCDs) and Clock Drivers
Circuits such as OCDs which may see voltage overshoots or undershoots
Mixed voltage interface circuits or any circuit using greater than 3.6 V.
Devices operated with substantial n-well bias.
Circuits with long rise and/or fall times such as OCDs
Circuits where VT or ID matching is critical
Degradation Equation:
I D V 0
--------- = A L eff exp ------------ t eq
m n
ID V DD
in units of m, volts, and seconds. The time teq is the lifetime in sec with a duty cycle of 1%. Id is defined by
Vds=vdd/2, Vgs=Vth+200mV.
The parameters A, m, V0, L0, and n are given in Table 212, 3.3V I/O PFET Hot Carrier Conducting Model.
Use Mode m V0 n
Example: A 3.3V I/O PFET device in an inverter circuit is operated for 50,000 hour with switching transient of
longer transition times once every 10 ns clock cycle. VDD = 3.3V, and Leff = 0.40 um, teq = 0.03 x (50
MHz/100 MHz) x 1.8E8 sec = 2.7E6 sec. Using the model parameters for forward saturation yields a Drain
current degradation =0.7 % (worst case, A equals 1.4).
Non-conducting hot carriers: Regular I/O Thick Oxide (DG) and Thick Oxide 3.3V I/O
P-channel Devices
The Vt of DGPFETs under this condition decreases (a current increase) due to electron trapping effects.For a
wide device (W>5 um, each finger), the shift in I D is projected to be less than 1 % for a 48 hr burn-in at T =
140 C, and Vdd = 3.8 V. For a narrow device, the shift can be larger, for example, for W = 0.5 um, a shift as
high as 2-3% is possible. This current increase will tend to decay with use operation, and the conducting deg-
radation mechanism will dominate.
For maximum oxide voltages Vmax in I/O design, see section 4.39 , Mixed Voltage Interfaces on page 450.
Below is a list of two general categories of capacitors and the reliability rules that need to be applied.
Decoupling Capacitors
N-well to substrate capacitors are preferred for use as decoupling Vdd and ground
An alternative nFET-in-nwell design, described in section 4.19 , NCAP and DGNCAP Models on
page 389 has been qualified as well.
Capacitors used in leakage sensitive analog circuitry. (ex. Phase Locked Loop)
Large area thin oxide capacitors MUST be designed by connecting small area (230 m2 maximum for
polysilicon) plates in parallel. However, it is RECOMMENDED that 45 m2 plates be used instead.
(See Design Rules 132 and 132R)
All polysilicon gates (FETs and capacitors) MUST meet groundrules 130, 131 and 132.
It is RECOMMENDED that circuitry be implemented to allow voltage screen capability at wafer final
test to reduce reliability impact during life.
To minimize the contribution of gate to diffusion related failures, capacitors MUST be designed to
minimize gate to diffusion perimeter. (i.e. place polysilicon edges over isolation oxide where possi-
ble.)
Avoid large areas of thin oxide capacitors. These increase the likelihood of defect related chip failure.
A thin oxide capacitor device in the CMRF8SF technology is the PCDCAP (this device is also referred
to as ncap or nFET-in-N-Well MOS Capacitor).
Guidelines:
To assure that decoupling capacitors have a negligible effect on reliability and yield, it is recom-
mended that:
1. Total Active Area with decoupling capacitors / Total active area without decoupling capacitors
1.02
2. If a design requires more decoupling capacitors, the failure rate will scale approximately with
the ratio given above. For example: 100 K m2 total, including decoupling capacitors, divided
by 90 K m2 results in a failure rate that is 11% higher than if no decoupling capacitors are
used.
Decoupling capacitor
- Any thin dielectric (oxide, nitride, or oxynitride) capacitor connected between a power supply
and ground for the purpose of noise reduction. These may be implemented as MIM capaci-
tors, or CMOS devices that are wired as capacitors (source and drain connected together as
one electrode and the gate as the other electrode).
Active Area
- Total gate area of CMOS transistors + Total capacitor area + (if present in the technology)
junction diodes.
Ionic
The presence of ionic contaminants in MOS devices introduces parametric instabilities. The threshold voltage
shifts caused by these mechanisms are listed in the following table. No ionic shifts have been observed to
date in this technology. The following table for the 5.2 nm devices is based on theoretical line control capabil-
ities. For the thin oxide (2.2 nm) shifts smaller than 1 mV are expected at end of life.
This table represents the maximum total shifts expected due to Substrate Hot Carriers and Ionic Contamina-
tion. Non-Ionic shifts for PFETs are not included and must be treated independently. All circuits must be
designed to tolerate the combined maximum shifts of all mechanisms.
Adjacent Non-adjacent
Non-Ionic (NBTI)
The PFET device exhibits an increase in non-mobile positive charge during symmetric (source=drain) stress
which is named Negative Bias Temperature Instability (NBTI). This shift results in larger magnitude threshold
voltages over time (|ID| decreases).
Thin oxide pFET devices with gate oxides in the 1.6-2.3 nm range
Nominal case is given by:
500xZ
V T (millivolts) = -------------------------
( 500 + Z )
500xZ
V T (millivolts) = 1.6 -------------------------
( 500 + Z )
Where:
V g 2.976 0.202
Z = 1312.5 exp ------------------ ----------
0.199
1 + ---------------
0.188 0.117
t Vt0
k T j t ox W D
NBTI is more pronounced in narrow device smaller 1 um. The design width (WD) dependence is explicitly
given in the expression above. Designer are required to simulate their circuits under these conditions.
Regular I/O DG 52A pFET devices with gate oxides in the 4.6-5.3nm range
Nominal case is given by
600xZ
V T (millivolts) = -------------------------
( 600 + Z )
Where:
0.183 V g 2.744 0.253
Z = 1048 exp ------------------ ---------- 1 + ---------------
0.072
t
k T j t ox WD
with
Based on combined worst case conditions, all circuits must be designed to tolerate these maximum predicted
shifts.
Example: A logic part is burned-in for 5 hours at 140 C. What is the worst case threshold shift for a 5.2 nm
PFET with W = 2.0m Worst case |VGS| = 3.3V, t=18,000 sec. Using the model parameters yields a VT =
33.7 mV.
with Ea=0.24 eV, T in Kelvin, t in sec, k the Boltzmann constant, Vg=gate to well voltage.
This equation is valid only for device lengths and width L>3xLnom and W>3xWnom respectively. Narrow
devices must not be used for threshold voltage sensitive applications.
Table 215, Analog PFET DVt provides a guideline for maximum expected Vt shifts as a function Tempera-
ture and Voltage, and a duty factor of 100%.
If the device is in saturation while the gate bias is applied (such as in an analog application), and LDES 2x
Lmin, then a factor of 0.5 may be applied.
Note: Analog modes such as power down, that apply high gate bias and no drain bias, will realize 100% of
the dc model predictions.
Degradation for different VD bias conditions (at a given stress mode: for instance, peak substrate current, or
peak gate current) in equations that are not linear in time cannot be added linearly. The Hot Carrier and
Non-Ionic Stability mechanisms fall into this category. In order to calculate total degradation for more than one
stress condition within a given stress mechanism, the user must linearize the degradation for each condition
by raising it to the 1/n power where n is the exponent in the time term (assuming all stress conditions have
the same n). These linearized degradations can then be added and the result converted back to a final degra-
dation by raising to the nth power. This is summarized below:
tot = ( 11 n + 21 n + 31 n ) n
PFET degradations from Negative Bias Temperature Instability and Hot Carrier mechanisms are directly
additive. (Apply the threshold shift AND the current degradation.)
To add NFET degradations from non-conducting and conducting mechanisms, use the following approxima-
tion:
tot = ( NC
2 + 2 )1 / 2
C
For bidirectional stress, however, the degradations from forward and reverse stresses should be directly
added.
The radiation induced soft error rate for all SRAM cells ( 4K bits) MUST be modeled by computer simulation
to estimate the fail rate, and thus the system impact. In this section, all dimensions are actual wafer dimen-
sion.
A soft error on a memory element occurs when a transient current spike flips a bit without physically damag-
ing the chip. Memory elements which are known to experience soft errors include SRAM cells, DRAM cells,
and some dynamic logic circuits. These errors typically result in a system error or crash, program error, or
loss of data integrity. Soft errors result from naturally occurring ionizing radiation, including alpha particles
from the radioactive decay of heavy elements and by-products of collisions between cosmic rays and silicon
nuclei.
The following table includes preliminary estimates of the Soft Error Rate (SER) for a particular CMOS8RF
(CMRF8SF) SRAM cell. By definition 1 FIT=1 x 10-9 failures per hour.
The largest alpha particle source on many logic chips is the lead-tin solder used to make C4 solder balls.
Lead 210, which can not be practically separated from the other lead isotopes, decays through 210Bi into
210Po. 210Po then decays with the emission of a 5.3 MeV alpha particle into 206Pb. Alpha particles interact
with the silicon atomic lattice, losing energy with the creation of electron-hole pairs at the rate of 3.6 eV/pair
(44fC/MeV). Linear charge densities approach 15fC/m along the alpha track, much of which is collected in
100 picoseconds. Low alpha lead may be available to minimize alpha SER to approximately one tenth the
rate.
Some ceramic substrates emit alpha particles, but in most products these alphas are stopped before they
reach active devices in the silicon with clean fillers (like epoxy) used between the chip and the substrate.
Most wirebond package materials have low alpha emissions. For most wirebond products, the alpha SER is
insignificant relative to the cosmic ray-induced SER.
The alpha induced SER is expressed as FIT per C4 over the array of SRAM cells. The SER is calculated
assuming a 4 mil C4, with epoxy used between the chip and the ceramic substrate. Chips with a 50% popu-
lated 4 mil C4 on a 9 mil pitch with the above cell sizes will have about 14 kbit per C4. If there are 5 C4s over
an array of Cell7.0 cells on a chip with 4LM (4 Level Metal), the C4 SER component would be 5 C4s x 585
FIT/C4 = 2925 FIT. The C4 induced SER is very sensitive to the charge in the storage node (Qcrit). A 10%
decrease in Qcrit (i.e. drop in voltage) will increase the C4 SER of Cell7.0 by approximately 50%. For this cell,
approximately 0.1% of the C4 induced soft errors are multiple bit fails.
The sensitivity to cell design results from the high sensitivity of C4 SER on Qcrit. SER can drop more than
100X with a small change in cell area. C4 SER is more sensitive to design than cell area. This complex
dependence on cell design explains why the SER needs to be modeled for each cell design. If the C4 alpha
SER is less than the cosmic SER there would be little advantage in removing C4s from over an array.
The alpha SER is increasing with each new technology. With smaller cell designs, fewer alphas hit the cell
area, but more of them cause fails. The charge produced by the alpha has not changed appreciably because
the vertical profiles have not been changed appreciably as the dimensions and operating voltages decrease.
Meanwhile, the capacitance and voltage on the SRAM node are decreasing, leading to a decreasing critical
charge required to flip the cell. The trend of increasing C4 alpha SER needs to be taken into account for
designs planning to migrate into future technologies.
Additional levels of wiring increase the amount of material between the C4 and the silicon. This reduces the
number of alphas reaching the silicon. When a filler is used between C4s (like epoxy), the alphas emitted
from the side of the C4 are harmlessly absorbed by the filler. Any sensitive circuits which are more than 30m
from the edge of the C4 (30m from the C4 ball, not the 47m TV window) will not be affected by the alpha
emitted from that C4. Thus, if alpha sensitive circuits are not placed under or near C4s, they will not suffer an
increased level of SER (above the background cosmic SER level).
Other logic circuits (especially dynamic logic and latches) may also be susceptible to C4 induced soft errors.
As a rough guide, circuits which can withstand 30fC of charge injected in a 50ps pulse (approx 5ps linear rise
time, exponential decay with a time constant of 40ps) are probably not susceptible to C4 induced SER.
Cosmic rays reach the surface of the earth in the form of high energy protons and neutrons. These particles
occasionally collide with silicon nuclei, producing alpha particles and heavier energetic nuclei. The heavier
nuclei behave similar to alphas, except they can produce up to 10 times the charge density produced by
alphas. Thus, circuits which are immune to alphas may still be sensitive to cosmic radiation. Shielding of cos-
mic radiation is not practical. Many dense cell designs have a cosmic SER of around 1FIT/kbit = 1 fails/1E12
bit hours = 1ppm/(kbit-kpoh). Cosmic ray induced SER is relatively insensitive to Qcrit. Evidence of the exist-
ence of cosmic ray induced SER was obtained by comparing soft fail rates of parts at high altitudes, sea level
and underground (T. OGorman, IEEE Trans. ED, Vol 41, No 4, p. 553).
Logic circuits may also be susceptible to cosmic ray induced soft errors. As a rough guide, circuits which can
withstand 200fC of charge injected in a 50ps pulse (approx 5ps linear rise time, exponential decay with a time
constant of 40ps) are probably not susceptible to terrestrial cosmic rays.
Many suppliers do not include cosmic ray induced soft errors in their SER estimates. Cosmic SER estimates
and sensitivities can not be determined from accelerated alpha particle testing.
Maximizing the critical charge required to flip the state of a node reduces the SER. The critical charge
required to flip the state of SRAM cells is determined by the operating voltage, the capacitance of the internal
nodes, and the current drive of the PFET in 6 device cells (4 NFET/2 PFET). Unit capacitance increases in
low voltage technologies (thinner oxides, narrower depletion widths) tend to offset the impact of lower operat-
ing voltage on Qcrit. In 6 device cells, the charge collected by the NFET diffusions accounts for the majority of
soft errors. This occurs because the NFET diffusions are larger than the PFET diffusions and the PFETs pro-
vide less current drive to maintain the node voltage. Wider PFETs reduce SER by increasing the current drive
which restores hits on the NFET diffusions and increasing node capacitance without significantly increasing
charge collection. Maximizing gate capacitance tied to a storage node will reduce the SER. Error recovery cir-
cuits can also be very effective for reducing SER. Arrays with error detection or recovery should be designed
such that bits in the same error check word are physically separated to minimize the impact of multi-bit SER
events. Parity detection may be used to protect data integrity.
Resistors can heat up to a point where metal migration becomes an issue. Tabulated guidelines assume that
the resistors are laid out with the metal leading to the resistor as wide as the resistor. This is for DC conditions
for 100,000 hours of operation at the silicon temperature indicated.
With the allowed current density of 0.1mA/m and 1700 /, the maximum heat generated by the RR resis-
tor will be less than 5 degrees C.
For P+ poly and RP polysilicon resistors, electromigration may be evaluated for specific cases by calculating
the temperature rise for the resistor and using the electromigration limits from the Back-End-Of-Line reliability
section under the assumption that the metal line is the same temperature as the resistor. AC operation can
have a much relaxed criteria but 0.40 mA/m absolute maximum should be maintained.
where,
AREA2 = (AREA + 5 x WIDTH)
AREA is the area of the resistor (m2)
WIDTH is the resistor width (m)
where, SiTEMP is the base silicon temperature (degrees C) and Power is the dissipated power in the resistor
(Watts)
The maximum allowed current through any Kx resistor is 0.5mA/m of width unless the metal leading to the
resistor limits the current to a lower value or the change in resistance through life is more than the circuit can
tolerate.
Table 219. TaN Resistor maximum current limits any aspect ratio in ma/um of width
Si Temp C K2 K3 K4 K5 K6
90C 0.50 0.50 0.50 0.50 0.48
100C 0.50 0.50 0.48 0.45 0.43
110C 0.47 0.43 0.41 0.39 0.37
120C 0.38 0.35 0.33 0.32 0.30
125C 0.33 0.31 0.30 0.28 0.27
Some layouts can tolerate higher current densities (all must be less than 0.5mA/m). If the initial layout does
not meet the critical current density above then the following calculations can be done.
K5:ThR=6.3921x105x Area-0.81166
Where Area is the area of the resistor in microns squared. ThR is in Degrees C/Watt. The power in the resis-
tor should be calculated, then knowing the resistor area, the temperature increase of the resistor can be cal-
culated. By adding the resistor temperature increase to the Base Silicon temperature the temperature of the
resistor (and connecting metal) is then determined. The electromigration limitations for that temperature can
be calculated from section 5.4.2. Using the equations in Section 5.4.2 , Structures of Concern: ILCs and
Line Rules on page 491 trade-offs for duty cycle can also be made.
Metal lines or other resistors within 10m of the heated resistor will see 40% of the increase in temperature
caused by the heated resistor. Metal lines or resistors at 30um away will see a temperature rise of 20% of the
increase in temperature. Metal lines within these distances need to be designed wide enough to tolerate the
higher temperatures. The metal lines above or below the Kx resistor must be designed to tolerate the same
temperature as the heated resistor itself. Resistors requiring high precision should be kept far apart (>40m)
such that heating from adjacent resistors do not influence the high precision resistor value.
Restrictions:
1. The maximum allowed current through any L1 resistor is 0.5 mA/m unless the MA metal line leading up
to the resistor limits the current to a lower value or the change in resistance through life is more than the
circuit can tolerate.
- Front-End-Of-Line (FEOL) or Back-End-Of-Line (BEOL) structures (for example; metal lines or active
devices) are NOT allowed over or under a L1 resistor, or within 10m from the edge of a L1 resistor,
whenever a L1 resistor is used with currents in the range of 0.10mA/m through 0.5mA/m (maxi-
mum) in a chip design.
The L1TEMP25 dummy design and utility level is not allowed to cover a L1 Resistor with currents
in the range of 0.10mA/m through 0.5mA/m (maximum) in a chip design.
The 10m FEOL and BEOL exclusion zone around the perimeter of a L1 Resistor, with a current
in the range of 0.10mA/m through 0.5mA/m, is not checked in the Design-Rule-Checking
(DRC) tool. The DRC tool defaults to a 45m checking criteria when the L1TEMP25 dummy
design and utility level is not present over a L1 Resistor.
Any FEOL and BEOL structures or wires placed between 10-45um from the perimeter of a L1
Resistor, with a current in the range of 0.10mA/m through 0.5mA/m, the temperature of these
structures or wires must be assessed as defined in this entire section on L1 Resistors.
An IBM Waiver will be required for any L1 Resistor currents that exceed 0.1mA/m or if struc-
tures are placed closer than 45m to a L1 Resistor with a current that exceeds 0.1mA/m.
For additional information on L1TEMP25, see Table 6, Dummy Design Levels and Utility Levels,
on page 46 and Table 71, L1 RESISTOR Layout Rules, on page 222 and 4.18 Resistor Mod-
els on page 378.
2. In a chip design, the dummy design level L1TEMP25 can be used for L1 resistors that have a maximum
allowed current of less than or equal to 0.1mA/m.
- When the L1TEMP25 dummy design level is used in a chip design, the L1 resistor layout rules that
are checked in the Design-Rule-Checking (DRC) tool default to the least restrictive layout criteria.
- For more information on L1 resistors, see Additional Information on L1 Resistors on page 487.
For additional information on L1TEMP25, see Table 6, Dummy Design Levels and Utility Levels,
on page 46 and Table 71, L1 RESISTOR Layout Rules, on page 222 and 4.18 Resistor Mod-
els on page 378.
E1 metal is not allowed under L1 resistors (See Rule L14 in Table 71, on page 222).
The metal line leading up to the resistor must be the greater than or equal to the width of the resistor (See
Rule L16 in Table 71, on page 222).
The L1TEMP25 dummy design and utility level must not overlap past the L1 resistor by greater than
45m unless adjacent L1TEMP25 shapes overlap each other (See Rule L19c in Table 71, on page 222).
The current allowed in the metal line leading up to the resistor is limited to:
where TEMP is the temperature (Deg C) of the resistor and can be calculated as given below.
Length and Width are the length and width of the resistor in microns
Temperature Considerations:
When the resistor is operating at high temperature, adjacent metal line integrity can also be compro-
mised.
1. With the line 10m away from the resistor, the allowed current in an adjacent MA line is:
TEMP10 = TempSub + 0.4x (((Current / 1000) 2 ) x (60 x Length / Width)) x 300517 x ((Length x
Width)-0.5664)
Where TEMP10 is the temperature of the metal line 10um away from the TaN resistor.
Iallowed10 is the allowed current (ma) in a metal line 10um away from the resistor
2. With the line 30m away from the resistor the allowed current in an adjacent MA line is:
Where TEMP30 is the temperature of the metal line 30um away from the TaN resistor.
Iallowed30 is the allowed current (ma) in a metal line 30um away from the resistor.
3. For Cu and Al lines below and adjacent to the L1 Resistor, the following current limits should be
observed.
For a line 10m or 30m away the TEMP10 and TEMP30 can be calculated as above.
For E1:
For LY:
For MQ, MG
For M1:
Note: Standard short-line and power-on-hour adjustments can also be made. See the
BEOL reliability section of the design manual.
Adjacent resistors
- Due to the spread in temperature surrounding resistors adjacent resistors will tend to heat each
other.
- Adjacent resistors operated at high current density (when the L1 resistor current density is greater
than > 0.1 ma/m of width) must be placed at least 30m away from each other.
Any metal lines placed between 30m spaced resistors will reach approximately the same temperature
as the resistors and the metal current density will need to be derated based on the temperature of the
resistors. The temperature of the resistors can be calculated as above. See the BEOL reliability section
for current derating as a function of temperature.
Resistor Shift
- The resistor itself will also shift as a function of temperature and time.
Where TEMP is the temperature (Deg C) of the resistor calculated from above
Example: A resistor at 135C for 100,000 hrs will change 0.065% (worst case 0.19%)
Notes:
1. Please contact IBM reliability engineering for L1 Resistor operation above 125oC or beyond 100,000
hour, or other special operating points.
2. The impact of Burn-In in lifetime is not included. Please contact IBM reliability engineering if the product
will be burned in.
3. The expressions above are valid for resistor width < 30 um and length <120 um.
4. A Spread sheet calculator will be available for designers to determine maximum current and resistance
change as a function of time, temperature, resistor size etc.
Introduction
Electromigration refers to the gradual degradation of interconnects due to the combined effects of current and
temperature. The following rules give designers the information needed to assure that electromigration will
have a negligible impact on the reliability of CMOS8RF (CMRF8SF) designs.
The rules do not address protection of circuits against extraordinary current/temperature situations like elec-
trostatic discharge, electrical overloads, or latch up.
Because the EM rules are generic, they contain imbedded assumptions which might be overly conservative
for particular designs. Thus, in addition to general adjustments of the rules based on different applications, a
limited number of exceptions which allow marginal violation of the rules may be allowed in particular design
circumstances.
s
0
t sw
Equivalent Average DC Current (Idc): --------- i ( t ) dt Note that for the case of pure ac current,
t sw
Idc is zero. For pure ac applications, the maximum current is defined by the Irms limit.
0
t sw 2
RMS Current (Irms): sf sw i (t)dt
nLM: n levels of metal technology, where n is an integer (e.g., 3LM is a 3 level metal technology).
LM: planarized last metal.
I rms
For the case of pure ac current: C max = ------------------------------
s f sw V
Table 220, Current Limits at 100C on page492 provides the maximum allowed I dc and Irms for each of the
wiring levels. Note that no Idc limit is stated for PC since it is not believed that standard EM is a concern for
these levels. Exceptions to these rules are listed in section , Exceptions to General Rules for Vias on
page 494. The general rules apply for all cases which are not listed in the exceptions tables.
Wide Line
Narrow Line
Required
Recommended
Wide Line
4.54
N/A 0.61 ( W 0.015 ) 3.77 + -------------------------------- N/A 0.44
PC3 ( W 0.015 )
3.53
M1 2.80(W-0.06) 7.52 ( W 0.06 ) 1.19 + ----------------------------- 0.28 4.54
( W 0.06 )
3.05
M2 3.12(W-0.06) 7.90 ( W 0.06 ) 0.68 + ----------------------------- 0.44 5.24
( W 0.06 )
2.74
M3 3.12(W-0.06) 7.90 ( W 0.06 ) 0.46 + ----------------------------- 0.44 4.94
( W 0.06 )
2.53
M4 3.12(W-0.06) 7.90 ( W 0.06 ) 0.35 + ----------------------------- 0.44 4.74
( W 0.06 )
2.37
M5, 3.12(W-0.06) 7.90 ( W 0.06 ) 0.29 + ----------------------------- 0.44 4.59
( W 0.06 )
2.24
M6 3.12(W-0.06) 7.90 ( W 0.06 ) 0.24 + ----------------------------- 0.44 4.46
( W 0.06 )
2.59
MG 5.4(W-0.07) 10.35 ( W 0.06 ) 0.32 + ----------------------------- 1.78 8.86
( W 0.06 )
MQ4
LM 2.34
5.4(W-0.07) 10.35 ( W 0.06 ) 0.23 + ----------------------------- 1.78 8.72
( W 0.06 )
LY 2.06
0.52(W-0.13) 7.25 ( W 0.13 ) 0.15 + ----------------------------- 0.24 7.27
( W 0.13 )
E1 2.21
16.02(W-0.21) 24.18 ( W 0.21 ) 0.09 + ----------------------------- 20.66 41.88
( W 0.21 )
MA5 1.98
5.63(W-0.27) 20.94 ( W 0.27 ) 0.05 + ----------------------------- 20.99 59.52
( W 0.27 )
2.56
OL 32.58(W-0.19) 24.18 ( W 0.19 ) 0.15 + ----------------------------- 33.1 40.0
( W 0.19 )
2.31
LD 5.7(W-0.30) 20.94 ( W 0.30 ) 0.09 + ----------------------------- 9.69 42.8
( W 0.30 )
AM 1.98
5.63(W-0.27) 20.94 ( W 0.27 ) 0.05 + ----------------------------- 9.74 39.65
( W 0.27 )
1. W = design width. These numbers do not include the effects of Metal HOLE shapes. For wires wider than six times the minimum,
the linewidth W must be replaced by the Corrected Linewidth Wc, as calculated from Table 171, Corrected Linewidth for Wires
with HOLE Shapes, on page 419. For Wide lines, the Idc must not exceed the calculated Irms.
2. The Irms values are intended to control Joule heating caused by the current. Heating will be more severe for lines with greater underlying
thickness of dielectric between the line and the substrate. Irms values given are for the worst case dielectric thickness, and therefore
apply to all builds.
3. Limits apply to silicided polysilicon. For OP resistors, the current limits are identified in Table 140, Resistor Design Specifications, on
page 379 as also referenced in Section 5.3.7 , Resistor Reliability on page 483.
4. For Kx BEOL resistors, the current limits are identified in Section , Back-End-Of-Line K2, K3, K4, K5, or K6 Resistors on page 485 or
Table 140, Resistor Design Specifications, on page 379.
5. For L1 BEOL resistors, the current limits are identified in Section , Back-End-Of-Line L1 Resistor: on page 486 or Table 140, Resistor
Design Specifications, on page 379.
Table 221. Exceptions to General Idc and Irms Current Limits for Contacts and Vias at 100C
Level Idc Max Limit Irms Max Limit per Via (mA)
per Via (mA)
VL 3.56 13.37
JT 25.5 39.2
Table 221. Exceptions to General Idc and Irms Current Limits for Contacts and Vias at 100C
Level Idc Max Limit Irms Max Limit per Via (mA)
per Via (mA)
VV 67.0 131.7
FY 2.80 28.50
FT 2.49 21.00
FQ 0.63 5.25
1. L = Length of Via bar
2. Without redundant F1 vias. A waiver is required for Rule MAF1p in Table 54, F1 and F1BAR Layout Rules,
on page 171 to attain the reduced Idc Maximum Limit per Via listed. Contact your IBM Technical
Representative for more information.
3. With redundant F1 vias. Redundant vias are required per Rule MAF1p in Table 54, F1 and F1BAR Layout
Rules, on page 171.
4. Without redundant F1BAR vias. Redundant F1BAR via bars is not required.
5. With redundant F1BAR via bars. Use of redundant F1BAR via bars is a Recommended Design Rule. See
Rule MAF1qR in Table 54, F1 and F1BAR Layout Rules, on page 171.
EM Rule Adjustments
The Idc limits in the preceding tables may be adjusted for cases of isolated lines, product life times other than
100,000 hours, and junction temperature limits different from 100C as indicated in the following tables.
Notes:
1. Note that no adjustment for the local-heating EM (Irms) is allowed
Table 222. Adjustment Factors for I(dc) only, for Temperature and Time
Temperature for Cu Wiring including CA, Mx, Vx, VL, ------------------------- 25.45
9495
MQ, VQ, MG,VG, LM, E1,OL and negative C4s where T max (K -)
F (T ) = e
wires into the C4 pad are Cu
Time for Cu Wiring including CA, Mx, Vx, VL, MQ, VQ, 0.909
F ( EO L actual ) = ---------------------------------------------------
110000
MG,VG, LM, E1,OL and negative C4s where wires into 10000 + EO L actual
the C4 pad are Cu
Temperature for Al wiring including FY, LY, FT, F1, MA, -------------------------
5461
14.64
VV, LD and negative C4s where wires into the C4 pad are T max (K -)
F (T ) = e
Al
Time for Al wiring including FY, LY, FT, F1, MA, VV, LD 0.588
F ( EO L actual ) = ---------------------------------------------------
110000
and negative C4s where wires into the C4 pad are Al 10000 + EO L actual
NOTE: Using the temperature and life time adjustment factors to justify higher current usages should be con-
templated only when the lower application end-of life or temperature is absolutely certain. Designing with
such a derivation in effect inherently limits the applications of the product.
Idc limit for interconnects for a given junction temperature, T, and for a given product lifetime, EOL actual, can
be calculated using the following relation:
where
where Idco = Idc limit at 100oC and for 100,000 POH product Life. The values of F(T) and F(EOLactual) for con-
tacts, vias, and lines are given in the following tables.
POH Cu Al Positive C4
Multiplier Multiplier Multiplier
Use calculated Idc values from Table 220, Current Limits at 100C, on page 492 and Table 222,
Adjustment Factors for I(dc) only, for Temperature and Time, on page 496.
If Idc is less than the maximum current limits given in Table 225, Maximum Idc Current Limit
for Short Length Applications, apply the following equation:
Table 225. Maximum Idc Current Limit for Short Length Applications
M1 5.83(W-0.06) 1.52
Table 226. Ceramic Packaging Rules for C4 Terminals at 100 or 125 degrees C
Design Size Mask Line into Width of line into Max Idc (mA) Temperature (oC)
C4 DIA Level C4 pad C4 pad (m)
LV LD 44 98 mA per C4 125
LV MA 46 98 mA per C4 125
TV LM 90 98 mA per C4 1251
Table 227. Laminate with Ni BLM Packaging Rules for C4 Terminals at 100 or 125 degrees C
Design Size Mask Line into Width of line into Max Idc (mA) Temperature (oC)
C4 DIA Level C4 pad C4 pad (m)
LV LD 33 73 mA per C4 125
LV MA 34 73 mA per C4 125
Table 228. Laminate with CrCu BLM Packaging Rules for C4 Terminals at 100 or 125 degrees C
Design Size Mask Line into Width of line into Max Idc (mA) Temperature (oC)
C4 DIA Level C4 pad C4 pad (m)
LV LD 22 49 mA per C4 125
LV MA 23 49 mA per C4 125
MA W>46m LV
LD W>44m LV
LM W>37m TV
Figure 107. Examples of Designs which follow Exceptions Rules in Table 226, Ceramic Packaging Rules for C4
Terminals at 100 or 125 degrees C, on page 498
Current carrying capability must be verified for C4s with electron flow from the carrier, since this capability
varies based on the particular carrier pad preparation and chip join processes. In Table 229 on page 501 the
values are given for 100 KPOH, unless otherwise specified.
Definitions:
Grade 1 Package Reliability is 1 FIT per device (not applicable for Lead-free C4 Termi-
nals).
Table 229. Positive-Feed High-Lead C4 Terminals at 100 degrees C Operating Temperature for 100 KPOH
Electromigration for Positive Feed Lead C4 Terminals, with Electron Flow from Chip To Carrier
5 mil 47m
250mA 1 250mA 1
250m
Current carrying capability must be verified for C4s with electron flow from the carrier, since this capability
varies based on the particular carrier pad preparation and chip join processes. In Table 230 on page 502 the
values are given for 100 KPOH, unless otherwise specified.
Definitions:
Table 230. Positive-Feed Lead-free C4 Terminals at 100 degrees C Operating Temperature for 100 KPOH
Electromigration for Positive Feed Lead Free C4 Terminals, with Electron Flow from Chip To Carrier
C4 Redundancy
Note that C4 redundancy can be used to enable a higher current-carrying limit, assuming the following:
A set of n C4 pads is considered redundant when the failure of an arbitrary (n-1) pad creates a voltage
and current shifts that do not cause the chip to malfunction.
Unlimited use over the product lifetime is enabled only when the failure of an arbitrary (n-1) pad does not
cause the currents on the remaining pads to exceed the maximum current limit (corresponding to more
than 20 degrees C) of localized joule heating).
1 0
2 5
3 8
4 15
>5 20
Note: The allowable maximum adjusted current is 200mA per C4 for organic products and 350mA per
C4 for ceramic products.
6.0 Latchup
NFET PFET
VDD
Rn-well
P+ N+ N+ P+ P+ N+
p+
PNP n-well
NPN RNWell
NWell p-substrate
Rsubstrate
n+
PWell
Rpsub
P- Wafer
VSS
Parasitics
During the triggering in a latchup event, the parasitic bipolar transistors effects the performance of the typical
thyristor structure. To avoid latchup it is necessary to know the betas of the parasitics (npn and pnp) signifi-
cantly determined by n+ to p+ spacing. Larger spaces lead to wider parasitic bipolar base widths, wider base
widths lead to lower betas, lower betas lead to higher trigger currents. The structure shown in Figure 109,
Internal Latchup test structure on page 506 is used for measuring the parasitics. The beta is determined by
the following equation B= IC/IB Table 233, Betas of Parasitics on page 506 lists the parasitic beta values.
W
Dsx Lsx Ln+ D1D2 Lp+ Lnw Dnw
SX N+ P+ NW
PNP
RNWell
NPN
Rsubstrate NWell
PWell
P- Wafer
Figure 109. Internal Latchup test structure
npn 1.2V reg VT @ 25C 2.2 pnp 1.2V reg VT @ 25C 1.35
npn 1.2V reg VT @ 140C 2.8 pnp 1.2V reg VT @ 140C 1.85
Other device dimensions for the structures evaluated at Ic=1mA in Table 233, Betas of Parasitics are given
below:
D1= 0.3um D2= 0.3um
Ln+= 1.2um Dsx= 1.2um
Lp+= 1.2um Dnw= 1.2um
Lsx= 38.0um W= 20.0um
Lnw=38.0um
The above measurements at 25C are at 1XVdd and the measurements at 140C are at 1.5XVdd
2. Undershoot - GND node goes below zero volts (fwd bias n+/substrate diode turns on NPN and then trigger-
ing of SCR occurs)
GND bounce
3. Hot electron/hole generation
PFET hot electron/hole generation (electrons lower local NWell potential), P+/NWell diode forward biases
turning on PNP and then PNP triggers SCR.
NFET hot electron generation (holes raise local pWell/substrate potential), Substrate/N+ diode forward
biases turning on NPN and then NPN triggers SCR.
The parasitic SCR I-V characteristics are shown in Figure 110, SCR I-V Characteristics on page 507. Curve
A shows the case when the PNP is triggered and then causes the SCR to trigger and curve B shows the case
when the NPN is triggered and then causes SCR to trigger. The key points are label on curves A,B on Figure
110, SCR I-V Characteristics on page 507. The key points are the trigger currents and voltages (volt-
age/current that the SCR turns on) and the holding/sustaining voltage (voltage that Vdd collapses to after
SCR is triggered). In all formations of the parasitic SCR the substrate resistance and Nwell resistance modu-
late the trigger voltages/currents and the holding/sustaining voltages of the SCR.
ABS(Ip+,In+)
Holding Voltage
Curve B Curve A
Trigger Voltage/Current Trigger Voltage/Current
Undershoot Overshoot
(NPN Triggers) (PNP Triggers)
The Overshoot test is done by ramping P+ positively with N+ and SX at ground, and NW at Vdd (1.5Vdd for
high temperature testing) on the structure shown in Figure 109, Internal Latchup test structure on page 506.
The Undershoot test is done by ramping N+ negatively with P+ and NW at Vdd (1.5Vdd for high temperature
testing) and SX at ground. The Holding test is done by ramping P+ until snapback with NW at Vdd (1.5Vdd for
high temperature testing) and SX at ground.
Table 234, Latch-up Test Specifications and Requirements on page 508 lists the internal latchup triggering
parameters.
Other device dimensions for the structures evaluated in Table 234, Latch-up Test Specifications and Require-
ments on page 508 are given below:
D1= 0.3um D2= 0.3um
Lsx= 38.0um Lp+= 1.2um
Lnw= 38.0um Ln+= 1.2um
W= 20.0um Dsx= 1.2um
Dnw= 1.2um
The above measurements at 25C are at 1xVdd and the measurements at 140C are at 1.5xVdd
In cases where the holding/sustaining voltage is greater than Vdd this can be a non-destructive or transient
latchup event as long as the current during the triggering event doesnt cause enough joule heating to cause
destruction in the current path where the current is flowing during the latchup event. In this case, once the
triggering pulse goes away, Vholding is greater than Vdd and the SCR shuts off.
Ideally one wants the triggering current and holding voltage to be infinity to ensure the SCR wont trigger how-
ever in todays bulk CMOS technologies the trigger currents are typically in the micro-Amp (uA) and/or
milli-Amp (mA) range and the holding voltages are again typically near or less than the Vdd supply value.
2. Substrate resistance, this is determined by (5) components shown in Figure 111, Substrate Resistance
Components on page 510. The (5) components consist of the following resistances:
R1 - Vertical resistance of substrate guardring around chip
R2 - Horizontal resistance from substrate guardring around chip to the local device
R3 - Vertical resistance of the local substrate contact
R4 - Horizontal resistance of the local substrate contact to the device
R5 - Vertical resistance beneath the device channel
The resistances a designer has control over are R3 and R4. R3 is determined by the area of the local sub-
strate contact. The resistance is given in ohm-um2, the larger the area of the substrate contact, the lower the
value of R3. The resistance of R3 is given in Table 168, Contact Resistance on page 416 for the substrate
contact. R4 is determined by the distance the substrate contact is away from the device. R4 is given as a
sheet resistance and the sheet rho for R4 is given in Table 170, Conducting Film Thicknesses and Sheet
Resistances at 25C on page 417. Controlling the upper limit of R3 is addressed in latchup groundrules
LUP05-LUP08 and controlling the upper limit of R4 is addressed in groundrules LUP02 and LUP04 (see
Table 59, Latchup Rules on page 176).
NFET
P+ P+ N+ N+
R1 R3 R5
R2 R4 PWell
P- Wafer
3. NWell resistance, this is determined by (3) components shown in Figure 112, NWell Resistance Compo-
nents on page 511. The (3) components consist of the following resistances:
R1 - Vertical resistance of the local NWell contact
R2 - Horizontal resistance of the local NWell contact to the device
R3 - Vertical NWell resistance beneath the device channel
The resistances a designer has control over are R1 and R2. R1 is determined by the area of the local NWell
contact. The resistance is given in ohm-um2, the larger the area of the NWell contact, the lower the value of
R1. Resistance of R1 is given in Table 168, Contact Resistance on page 416 for the nwell contact. R2 is
determined by the distance the NWell contact is away from the device. R2 is given as a sheet resistance and
the sheet rho for R2 is given in Table 170, Conducting Film Thicknesses and Sheet Resistances at 25C on
page 417. The upper limit of R1 is controlled by groundrules LUP05-LUP08 and the upper limit of R2 is con-
trolled by groundrules LUP02 and LUP04 see Table 59, Latchup Rules on page 176).
From a design point of view, the main things which a designer can do to help maximize the trigger cur-
rent/voltage is to make the substrate and NWell contacts as robust (proximity and area) as possible and
increase the n+ to p+ space if maximum density is not required.
PFET
N+ P+ P+
R1 R3
R2
NWell
P- Wafer
1. Overshoot
Limit voltages across P+/NW diodes (PFET drain or source to NWell) to less than 0.3V
Vdd bounce/noise minimized
Minimize NWell and Substrate resistances
2. Undershoot
Limit voltages across N+/Substrate diodes (NFET drain or source to Substrate) to less than 0.3V
GND bounce/noise minimized
Minimize NWell and Substrate resistances
Other items to consider for external latchup are the Jedec Standard Latchup Test, power-up sequencing
issues and the Cable/Human Discharge Event (CDE/HMM) issues. For external latchup prevention all N+ dif-
fusions connected to wirebond or C4 pads must be surrounded by a NWell and Substrate guardring (groun-
drule LUP13A-LUP13B) where the NWell guardring is connected to Vdd and the substrate guardring is
connected to gnd. To ensure external latchup triggering does not occur the following guidelines should be
used for each of the (7) triggering modes listed below:
1. Overshoot
Limit voltages across P+/NW diodes (PFET drain or source to NWell) to less than 0.3V
Vdd bounce/noise minimized
Design Guidelines for latchup prevention for CDE or HMM Events inside the I/O cell
Inside the I/O cell the following design practices should be followed for I/Os that will encounter CDE or HMM
events:
1. Any N+ diffusions connected either directly to I/O signal pads or connected to I/O signal pads via a
resistor should be enclosed by a NWell and SX guardring (see latchup groundrule LUP13). These
NWell guardring should be increased to a width => 2um and the SX guardring should be increased
also to a width => 2um. It is important that the NWell and the SX guardrings are strapped with con-
tacts and metal as much as possible and that the overall resistance from any spot on the guardring to
the actual supply pad is minimized, ideally something less than 1 ohm is optimal. Typical N+ diffu-
sions connected to pads in I/O cells consist of the ESD diodes (N+/SX or NW/SX), OP N+ diffusion
resistors and NFET drains. These N+ diffusions when fwd biased (negative voltage or current seen
at I/O pad) inject electrons into the SX and these guardrings are put in place to collect to minority car-
rier electrons in the SX.
2. Any P+ diffusions inside NW connected either directly to I/O signal pads or connected to I/O signal
pads via a resistor should be enclosed by a SX guardring (It is recommended that the SX guardring
width meet latchup groundrule LUP15A). It is important that the SX guardrings are strapped with
contacts and metal as much as possible and that the overall resistance from any spot on the
guardring to the actual supply pad is minimized, ideally something less than 1 ohm is optimal. Typical
P+ diffusions inside NW connected to pads in I/O cells consist of the ESD diodes (P+/NW) and PFET
drains. These P+ diffusions when fwd biased (positive voltage or current seen at I/O pad) inject holes
into the SX and the SX guardring is put in place to clamp the local SX potential as close to gnd (0v)
as possible.
3. For perimeter image chips the ESD device is recommended to be located as close to the outside
edge (bottom of I/O cell typically) of the chip as possible. For area array images, the ESD device is
recommended to be located as close to the center of the I/O cell as possible.
4. For circuits not connected to I/O signal pads however are inside the I/O cell itself (pre-drive circuits for
example), the N+/P+ spacing of the NFETs and PFETs should be backed off to 1.5X the minimum
spacing or a preferred solution is to make sure the NFETs and PFETs in these areas are separated
by NWell and SX guardrings.
Design Guidelines for latchup prevention for CDE or HMM Events outside the I/O cell
Outside (surrounding) the I/O cell the following design practices should be followed for I/Os that will encounter
CDE or HMM events:
1. A typical I/O cell layout and surrounding environment is shown in Figure 113, Typical I/O cell layout
and surrounding environment on page 515. It shows an n-type diffusion in the I/O connected to a
pad. Injection of minority carriers (electrons) from this diffusion into the substrate occurs during an
ESD event (CDE or HMM). These injected electrons spread into the substrate and are collected by
NWells in circuits adjacent to the I/O and pull down their potential locally causing p+ diffusions in the
NWells to forward bias. Forward biasing of the P+/NW diode can result in turning on the parasitic
pnps which can then trigger the SCR (formed between adjacent NFETs and PFETs) to cause
latchup.
2. Similarly, injection of majority carriers (holes) can result in locally raising the potential of PWell in the
circuits adjacent to the I/O resulting in forward biasing the P+ diffusions in the PWell. This can result
in turning on the npn which can then trigger the SCR resulting in latchup.
holes SX
P+ diffusion
in N-Well
N+ diffusion
in P-Well NW
electrons
3. The distance up to which the minority carriers (electrons) can diffuse in the substrate without recom-
Figure 114. Nwell Resistance as a function of distance from the injector for HBM, HMM and Cable Models
5. A sample calculation is given below using Figure 114, Nwell Resistance as a function of distance
from the injector for HBM, HMM and Cable Models on page 517 to design NWell in the circuits adja-
cent to the I/O that have to be robust for CDE/HMM:
At a distance r=100um from the I/O, the NWell resistance needs to be ~65 k ohms-m2 (from Figure 114,
Nwell Resistance as a function of distance from the injector for HBM, HMM and Cable Models on
page 517 using HMM, transient model) to prevent any p+/N-well diode from being forward biased. There-
fore, if the NWell is a rectangular strip of 400 m2 area (100 m x 4 m), the NWell resistance needs to
be (6.5x104/400)=~160 ohms. At a distance of r=500 m from the injector, required NWell resistance of
the rectangular strip (area=400 m2) increases to ~90 k ohms.
A sample NWell resistance calculation is shown below for two cases of NWell region adjacent to the I/O
cells (Figure 115, Schematic of Nwell Geometries for sample resistance calculation on page 518):
Lw
Ww Wc Ac
p+ p+
NWell
Lc
Case (i)
Lw
Ac Wc Ww Wc Ac
p+ p+
NWell
Lc Lc
Case (ii)
For case (i) shown in Figure 115, Schematic of Nwell Geometries for sample resistance calculation
on page 518, the total NWell resistance measured from the edge of P+ diffusion is comprised of the
horizontal resistance and the vertical resistance. The horizontal resistance in ohms is calculated as
Rs (Lw/Ww), where Rs is is the sheet resistance of the NWell Table 170, Conducting Film Thick-
nesses and Sheet Resistances at 25C on page 417 in ohms/sq, Lw is the length of the NWell region
in m and Ww is the width of the NWell region in m. The vertical resistance is calculated as (Rv x
Ac), where Rv is the vertical resistance Table 170, Conducting Film Thicknesses and Sheet Resis-
tances at 25C on page 417 in ohms-m2 and Ac is the contact area in m2 (Lc x Wc). As an exam-
ple, for a N-well region with Lw=100m, Ww=4 m, Lc=1 m and Wc=3 m, the horizontal resistance
is 540 x (100/4)= ~13.5 k ohms and vertical resistance is 1500/(3x1)= ~0.5 k ohms resulting in a total
resistance of ~14 k ohms.
For case (ii), the total NWell resistance would be approximately half of case (i), i.e., 7k ohms.
6. Design guidelines
6a) Negative Mode/ Polarity:
Areas a distance1-100um from the edge of the injecting devices (N+ diffusions connected to I/O
pads)
Some example calculations (using HMM transient model, assuming NW area of 400um2)
show NWell resistances required down near160 ohms, this is nearly impossible to
achieve thus devices like decoupling capacitors (w/o control circuits), NFETs only or
PFETs only, or NFETs and PFETs with NWell and SX guardrings between them
should be designed in this region.
Areas a distance100-200um from the edge of the injecting devices (N+ diffusions connected to I/O
pads)
Some example calculations (using HMM transient model, assuming NW area of 400um2)
show NWell resistances required ~160 - 2.5k ohms, this is achievable however the
contact periodicity and contact area needs to be assessed and reduced periodicity
contacts along with larger area contacts in this region may be required (layout/meth-
odology dependent).
Areas a distance 200-500um from the edge of the injecting devices (N+ diffusions connected to I/O
pads)
Some example calculations (using HMM transient model, assuming NW area of 400um2)
show NWell resistances required in the 2.5k- 100kohm range, this is achievable
however the contact periodicity and contact area needs to be assessed and reduced
periodicity contacts and larger area contacts in this region may be required (lay-
out/methodology dependent).
6b) Positive Mode/ Polarity:
As far as an equal number of SX contact books are placed for every NW contact book, then
the positive mode/ polarity should be fine.
NFET,
array or N+ P+, PFET, or
diffusion array structure
structure N-well
isolation isolation
P+ diffusion (Sub. Ring) P+ diffusion (Sub. Ring)
isolation isolation
N-well / N+ diffusion N-well / N+ diffusion Ring
Figure 116. Guard Ring Layout for CMOS I/O and Array N-well Circuits
The guard rings for NFETs and PFETs are shown in Figure (A) and (B) respectively. The
N+/N-well Guard Ring for both NFETs and PFETs is connected to Vdd.
The I/O guard rings protect circuits connected to I/O pads against latchup.
2. The NWell guardring specified in item #1 above must obey the following groundrule:
ESD10 (N-well-to-RX distance rule)
3. All p-channel transistors, or P+ diodes attached to I/O circuitry MUST be in a separate N-well which is
4. Those portions of the substrate bias charge pump or well bias generator circuits where the voltage is
biased below ground potential or above the supply voltage must fulfill the rules above (see I/O cir-
cuits). The same applies for voltage regulator or bootstrap circuits.
Guardring Design
Guardrings (NWell or substrate) should be contacted as frequently as possible and strapped with
metal wherever possible as shown in Figure 117, Guardrings (contact and metal strapping recommenda-
tions) on page 521. Latchup groundrule LUP13A-LUP13B ensures N+ diffusions/NFETs connected to I/O
pads are enclosed in both substrate and Nwell guardrings.
Guardring
Contact
Metal
Substrate Contact
Distance => LUP02 or LUP04
NFET
To enhance the ESD robustness of I/O transistors it is recommended to add an OP layer to the transistor.
See Table 15, Design Truth Table on page 68 for a list of devices where special salicide blocking can be
applied.
ESDIODE is not supported within T3 isolation well. Salicide block ESD nfets (thin ox, thick ox 2.5-V or 3.3-V)
are allowed to be placed over T3 isolation well.
To simulate the silicide-blocked FET use two calls to the sblkndres model (one for the source-side resistor,
one for the drain-side resistor) in series with the appropriate FET model (e.g. the nfet model for the
thin-oxide FET) as shown in the below lumped sub-circuit. The sblkndres model is identical to the opndres
model, except that the end resistance is removed from the side connected to the FET and also the parasitic
capacitance outside the non-silicided region is removed for extraction purposes. Note, the sblkndres model is
asymmetric with the three nodes ordered as follows: INPUT, FET, SX (i.e. connect the second node to the
FET).
7.3.1 General
This chapter of the design guide covers ESD design requirement to be checked using a schematic level
checking tool.
7.3.2 Definitions
LC power supply pad: Low-capacitance (< 100nF) power supply pad
ESD0e All LC power supply pads must be connected to one of the below combination: -
A single HBM down diode satisfying rule ESD01a and one or more HBM
up diode satisfying rule ESD01b, or
A single HBM down diode satisfying rule ESD01a and one ESD NFET sat-
isfying rule ESD01c, or
A RC-triggered Power clamp satisfying rule ESD01d and a single HBM
down diode satisfying rule ESD01a.
See Figure 121. ESD Schematic Rule ESD0e on page 527 for additional infor-
mation.
ESD0d All I/O signal pads must be connected to one of the below combination: -
One HBM down diode satisfying rule ESD01a and one or more HBM up
diode satisfying rule ESD01b, or
One HBM down diode satisfying rule ESD01a and one ESD NFET satisfy-
ing rule ESD01c.
See Figure 123. ESD Schematic Rule ESD0d on page 527 for additional infor-
mation.
ESD0f Any two different types of ground pads (e.g. Digital/Logic Ground and Analog -
Ground) must be connected with an ESD Back-to-Back diode with the following
combination:
A single HBM diode satisfying rule ESD01a and a single HBM diode satis-
fying rule ESD01b.
See Figure 124. ESD Schematic Rule ESD0f on page 527 for additional infor-
mation.
Vddx
RVddx
HBM
Diodes
CDM
Diodes
Receiver
CDM
Resistor
ESD
CVddx
I/O Pad Power
GND
Clamp
Vddx
GND
RGND
Figure 120. Schematic showing bi-directional I/O signal pad with HBM and CDM double diodes. Schematic also shows
ESD Power Clamp connected to Power bus.
HBM HBM
Down Up Internal RC Internal
Diode Diode Clamp HBM
Circuitry Circuitry
Down
Diode
Gnd Gnd
VDD
GND
Figure 122. Typical RC-Triggered Power Clamps: Single RC-triggered Power clamp (rc_clamp)
Option A Vdd
HBM
Up
Diode Internal
HBM Circuitry
Down
Diode
ESD Back-
to-back Diode
Smaller designs have just as good yield as larger designs, and allow more to be fit on a wafer. Use mini-
mum design rules when it makes the design smaller.
Good Better
Unless this approach grows the design beyond the available space, move elements as far apart as possi-
ble. If the design is wiring limited, spread out the devices, and vice versa. If white space exists, use it.
Good Better
Within a layer, spread out the wires, and balance the wiring between levels. Avoid a very dense level
matched with a very sparse level.
Good Better
4. Avoid shorts.
Wiring shorts are a worse problem than open circuits; so, when space is tight, widen the spacing rather
than the wire.
Good Better
Most opens occur at contacts or vias, so use redundant contacts and vias.
Good Better
Good Better
Two or three contacts are sufficient redundancy. Contacts placed a few microns away from salicide are
sufficient for low resistance. So, do not create unnecessary risks of CA-PC shorts.
Good Better
CA Only
2. This local density requirement is calculated using the intersection of consecutive metal shapes over which the checking box is
stepped. When the box steps over the chip boundary, the box is moved back in bounds. The metal density is calculated using
design layout data prior to IBM design services MxHOLE or MxFILL. See also Table 11, LM last metal Back End Of Line (BEOL)
Metallization Options on page 64 or Table 12, MA last metal Back End Of Line (BEOL) Metallization Options on page 65 for
consecutive level of metal information
3. This local density requirement limits the stacked metal pattern (directly above and below) using an intersection methodology for
compatibility with available checking tools.
(wafers to test/wafers started) x (die to test/wafers to test) x (good die / die to test) x (modules to test /
good die) x modules to burn-in / modules to test) x (good modules out / modules to burn-in) = good mod-
ules / wafer start.
Each of these ratios is either completely controlled by design team or jointly controlled by the design and
manufacturing teams. Good modules per wafer start really measures both design productivity and manu-
facturing operations productivity.
Significant productivity enhancements can be achieved by incorporating the following Design For Manufac-
tureability (DFM) initiatives into product designs. Tables 237 through Table 240 provide a brief description of
the DFM design actions: for further detail, consult your IBM technical representative.
DFM Description
Item
Number
AdjCheck (Paint) routing tool used on the back-end-of-line (BEOL) design to improve product
1.1
electrical performance and productivity.
Metal fill (and hole for copper) tools used to fill white space and cheese wide copper lines with
1.2
IBM-generated patterns to improve uniformity and productivity.
IBM electronic design automation (EDA) XRouter routing tool, with wire spreading enabled,
1.3
used on the BEOL design to improve product electrical performance and productivity.
Larger than minimum spacing used between wide metal bus lines and adjacent lines to
1.4
improve productivity.
DFM Description
Item
Number
IBM EDA Wirebender tool used on the BEOL design to improve product electrical perfor-
1.5
mance and productivity.
Redundant CAs and vias used wherever possible. IBM EDA via redundancy tool used on the
1.6
BEOL design to improve product reliability.
Design optimized to improve defect resistance by using critical area analysis tools on array
1.9
cells and logic macros. Approved SRAM cells used.
Product migration strategy (for example, photo shrinks) defined for both silicon and package
1.11
as part of the high-level product design step.
A cleanup design pass, test program update, and qualification scheduled for the produc-
1.12
tion-level design.
A chip size and chip aspect ratio that maximize the number of chips per wafer and the number
1.13
of chips within a stepper field have been chosen.
Polysilicon width expanded at PC/BP intersections to improve local PC series resistor (Rs)
1.14
control at intersection point.
Process monitoring structures included on-chip for failure analysis characterization and post-
1.15 dicing process history determination. Discrete devices wired to LM within the chip parameter
can be probed to determine, for example, Vt and Leff.
The design of test clock trees optimized to provide minimum scan path propagation delays,
1.16
and to allow minimum scan cycle times to reduce test time.
A suite of programs, Swampfinder, can be run to identify design sensitivities not covered by
1.17
design rule checking.
Levels affected by RTM B have been minimized by reusing C4 and design levels to reduce
1.19
postcustomization turnaround time.
DFM Description
Item
Simulations demonstrate that the design will have 100% circuit limited yield (CLY) at func-
2.1 tional test conditions (that is, the design is process window clean at all process corners: nomi-
nal, best case, and worst case).
Simulations indicate that critical design components and macros are functional at all process,
2.2 voltage, and temperature corners and at test, DVS, EVS, and BI applied conditions. Use of
tools such as ASX/Q encouraged.
Product performance at nominal process and VDD conditions is higher than the market perfor-
2.3 mance objective. Performance 2s above the target market performance requirement is the
objective so that line tailoring is unnecessary and sort requirements can be minimized.
If this design is a follow-on design (for example, GR to GQ to GP, and so forth), then the new
2.4 design incorporates fixes for all functionality and CLY issues documented in the previous
products integrated product development (IPD) problem log.
Performance monitoring techniques are included on-chip for die-by-die performance screen-
ing. Monitor techniques capable of detecting both FEOL and BEOL performance contributions
2.5
are recommended. A minimum of five flushable scan chains are included to enable
across-chip performance measurements at wafer level functional test.
Logic synthesis tools such as IBM BooleDozer II are used for improved product perfor-
2.6
mance, time to market, and productivity.
Array products, and logic products with large cache designs, selectively use Vt adjustment
2.7 implants to control Ioff and IDD. Contact your IBM technical representative for information on
the availability of Vt adjustment process options for the technology of interest.
Electronic chip ID (ECID) circuitry is integrated into a scan chain design so that lot, wafer, and
2.8 chip ID are available at chip and module assembly points post fuse blow. ECID latches are
located at the end of a scan chain.
The design supports IDDQ testing by eliminating dc paths in logic other than reference voltage
generation and limited ground-to-PFET NOR structures. An IDDQ control pin is used to shut
2.9
off components such as all IDD paths, ratioed logic, PLLs, other internal oscillators, and resis-
tors.
A thorough analysis of the impact of noise upon product function has been completed. Noise
limits have been established for OVDD, AVDD, and VDD. The impact of the BEOL attributes
2.10
(for example, wire length, parallel wiring runs, IR drops at interconnects, and asynchronous
coupling voltages) upon noise generation have been considered and found acceptable.
For analog and mixed-signal designs, a full four-corner simulation has been conducted around
2.11
critical parameter pairs (for example, beta and resistance).
Dual-port arrays have been replaced by single-port double-clocked arrays to reduce critical
2.12
area for equivalent function.
DFM Description
Item
Array and logic products with large caches employ physical, electrical, and test design
3.1 approaches that enable filibuster testing to detect and eliminate VDD to ground shorts within
the array.
Array and logic products with caches of 32 K bits or larger follow array built-in self test (ABIST)
3.2 diagnosable design rules, so that bit mapping of memory defects is possible at wafer level
testing.
Prefuse testing of redundant elements is conducted on all redundant elements in array and
3.3
logic products.
For high-performance products, maximum di/dt during test has been used to validate DIB
3.4
design to eliminate yield losses resulting from VDD drop during testing.
Forward-bias contact tests have been designed with sufficiently high Iforce to ensure accept-
3.5
able (that is, low) levels of contact resistance for the device under test.
Product T2/SQ characterization plan includes sufficient hardware and process splits to gener-
3.6 ate the data necessary to support the launch DCP and the required manufacturing volume
ramp.
Product design supports level-sensitive scan design (LSSD) testing to enable high fault cover-
age, reduced test engineering resources, and improved problem resolution turnaround time.
3.7 The number of scan chains must fit the target tester, and the scan chains must contain a bal-
anced number of latches to minimize test times. Scan-in and scan-out operations should be
overlapped.
Built-in self-test (BIST) is used for embedded SRAM and DRAM, logic, and analog macros to
3.8
reduce test equipment requirements and enable parallel test.
The number of test vectors should be minimized, and vector order and IDDQ tests should be
3.9 optimized to reduce test cost on high-volume programs. (Diagnostic considerations may dic-
tate alternate solutions to support initial program debug and failure analysis.)
The test design includes reduced pin count test (RPCT), I/O wrap, pin dotting, pin banking,
3.10
and parallel test (multi-DUT).
AC testing is most efficiently implemented using LSSD-based delay testing to provide high
3.11 fault coverage with reasonable test equipment requirements. The product design enables ac
delay testing by including on-product clock generation (OPCG), and ac I/O wrap.
3.12 For all ac or at-speed testing, the test environment is completely modeled and understood.
Test methodology for the design, including embedded digital and analog macros, has been
3.13
reviewed with the DFT team and approved by test analysis engineering.
For high-volume programs, consider the Reliability Optimized for Characterization, Kost, and
3.14 Yield (ROCKY) process, where additional data is collected before volume ramp and statistical
data analysis are used to determine the optimal test screens and limits.
DFM Description
Item
The design includes a diagnostic/failure analysis (FA) strategy jointly developed by the prod-
uct design, test, diagnostics (D/G42), and failure analysis groups. The design adheres to the
scan rules as verified by IBM TestBench TSV, and BIST design rules maintained by G42.
4.1
Deliverables to the BTV manufacturing and FA team include logical and physical design
descriptions, L2L logical to physical cross-mapping database, and information required to per-
form wafer-scale electrical and physical failure analysis of failures.
4.2 A diagnostic solution has been identified and documented in manufacturing for each AVP test.
On-chip structures that facilitate FIB device modification are in the design where appropriate.
These include backside and/or frontside structures to support FIB work, such as navigation
4.3 references, memory address verification marks, probe points, severable links, spare wires,
spare logic, and backside FIB DM circuits. Fill-shape exclusion zones are used to protect FIB
areas as needed.
ABIST test patterns are available that enable logical-to-physical bit failure map verification
4.4 using a photon emission technique to view the activated cell. This technique has an improved
turnaround time compared to traditional FIB verification techniques.
DFM Description
Item
New chip/package designs maximize interconnect pitches where possible without creating I/O
5.3
limited designs and increasing die area.
5.6 The design uses a common pad footprint for wire-bond designs.
5.8 The design uses optimized C4 diameter/design for the specific package chosen.
DFM Description
Item
Cell Type WL Pitch (m) BL Pitch (m) Area (m2) Bit Line WL Strap
Standard 1.90 1.30 2.47 M2 M1
Dense 1.70 1.20 2.04 M2 M1
Note: SRAMxx levels may be required to prevent mask process data preparation on the SRAM itself. Consult
your IBM technical representative for specific SRAM requirements and detailed information about these
designs.
and where Lp is the (wafer level) gate length, dL=-0.003, and I1 and I2 are a function of temperature (T). A die
centered at an Lp of Ldie-mean contains MOSFETs with Lp varying about this mean by an amount described
by the ACLV specifications in Table 121, Gate Length Variation for thin oxide FETs (3s) on page 342. Inte-
gration of this ACLV distribution (presumed to be gaussian) against the above Ioff equation summed with the
leakage component yields:
1 1
--- ( ACLV L 1 ) 2 --- ( ACLV L 2 ) 2
( L p dL ) L 1 2 ( L p dL ) L 2 2
C 1 = I 1(T ) e e + I 2(T ) e e
1 1
--- ( ACLV L 3 ) 2 --- ( ACLV L 4 ) 2
( L p dL ) L 3 2 ( L p dL ) L 4 2
C 2 = --------------------------------- I 1(T ) e e + I 2(T ) e e
exp ( A2L p )
L1
with L3 = ------------------------
1 + L1A2
L2
L4 = ------------------------
1 + L2A2
where CLV is ACLV/3 (ACLV is a 3-sigma number). Table 121, Gate Length Variation for thin oxide FETs
(3s) on page 342, characterizes the variation in MOSFET channel length about the die-mean Lp. Wtot is the
total width of all MOSFETs in standby state per device type.
Reg Vt NFET 0.0015 2.1e-8 0.0065 2e-5 -0.019 0.038 0.12 0.0 100 50 0.0005
Reg Vt PFET 0.005 7e-8 0.006 1.5e-5 -0.006 0.025 0.05 1.6e-4 15 30 0.0005
The following is an example of how the standby current Idd would be calculated for a chip with Wtotal =107m
per device type, nFET Ldie-mean=0.07/0.081/0.092 m at T=85C. For simplicity, the total width of MOSFETs
that have VDS=1.5V in the standby state is assumed to divide evenly between NFET and PFET.
The chip standby current caused by the regular-Vt FETs can be calculated from equation (4) where:
The total standby current is calculated to be 750mA, 144mA, and 64mA respectively. We used for ACLV 14nm
instead of the target of 11nm. This larger number is to be used for chips entering the technology in the
pre-production mode. During maturity of the technology, this will go down to the target.
For some other leakage components, the following guidelines can be used (3-sigma values are given) per unit
design width (@25C):
Fet Ioff : 600pA/um
Igate : 25 pA/um
IGIDL : 5 pA/um
junction : 1 pA/um
Minimum design rules are assumed. Check Section 4.0 , Electrical Parameters and Models on page 335 for
more detailed numbers.
45 DEGREE - When applied to an edge of a shape, it means an edge that is 45 degrees from the X/Y
design grid axis. When applied to a shape, it means a shape with a 45 degree edge.
ABUTS - Specifies the condition whereby a shape on one level(A) shares an edge with any shape on
another level(B) such that the shapes do not have any area in common, and they only touch along a com-
mon edge.
AREA - Rule applies to shape area, not a linear dimension. See Figure 125, Figures for Definitions on
page 545.
BUTTING - See ABUTS.
CENTER - Describes the center point of a shape. Most commonly used for the center of a circle or octa-
gon.
COINCIDENT: The condition whereby a shape on one level (A) shares an edge with any shape on
another level (B) such that the shapes have area in common.
COMMON RUN - Distance that two shapes run parallel. This applies even if the shapes turn, so long as
the minimum space between them does not effectively change. (UNBENDED COMMON RUN refers to
the distance of run segments that do not turn.) This applies to one or two shapes, not more than two. See
Figure 125, Figures for Definitions on page 545.
COVERED, COVERED BY, MUST BE COVERED, MUST BE COVERED BY, See WITHIN or MUST BE
WITHIN
DIFFERENCE - Difference [A,B] means the same as the boolean A minus (subtract) B. Same as A not
over B.
ENCLOSED AREA - See Figure 125, Figures for Definitions on page 545.
EXACT - rule can have no other values than that specified exactly
INTERSECT - Boolean AND. See Figure 125, Figures for Definitions on page 545.
OVER - Equivalent to INTERSECT. NOT OVER implies the inverse of OVER, or COMPLIMENT INTER-
SECT.
OVERLAP OF - The minimum distance from the inside of shape L to the inside edge of M when L inter-
sects M. See Figure 125, Figures for Definitions on page 545.
OVERLAP PAST - The minimum distance from the outside edge of J to the inside edge of K when J inter-
sects K. See Figure 125, Figures for Definitions on page 545. For example, M1 overlap past CA for at
least two sides 0.10 means that M1 and CA intersect, and that the M1 must extend beyond two or more
sides of the CA square by 0.10 m.
STRADDLES - Crosses the border of a shape. For example, RX straddles NW means that part of the RX
shape overlaps the NW and part of the RX shape extends beyond the edge of the NW.
TO - Distance between two shapes. See Figure 125, Figures for Definitions on page 545. This fixes a
space (>zero) between two shapes. A spacing =0.00 is not allowed unless explicitly stated.
TO ADJACENT (WHEN SHAPES DO NOT INTERSECT) - Same as TO except shapes that intersect
are not subject to checking.
TO ADJACENT (DIFFERENT NET) - Same as TO except that shapes which are electrically on the
same net are not subject to checking. The net connection may be through any level up through the final
level of metal.
TOUCH, TOUCHING - When any part of one shape shares any part of the area or edge or even point of
another shape, they are touching. They are touching even if they only share one common vertex.
TOUCH is also sometimes referred to as HIT.
UNION - Boolean OR. See Figure 125, Figures for Definitions on page 545.
WIDTH - Distance between inside edges of a shape. Width is measured on edges that are parallel or
form an angle of less than 90 degrees.
WITHIN - For example, CA within M1 0.10 means that shape M1 encompasses shape CA and that every
point of CA must be at least 0.10 inside the nearest point on shape M1.
WITHIN (or COVERED or COVEREDBY) means that either of the two shapes can be present by itself
without the other shape being present.
MUST BE WITHIN (or COVERED or COVEREDBY)- This is the same as WITHIN except that, for exam-
ple, CA must be within M1 requires each and every CA shape to have an M1. CA cannot exist without M1.
However M1 can be present without CA.
C
Shape A
D
Shape B
K
E
G J K overlap
past J
J overlap past K
F
H
L L
L
GR 100
M PCEND
M M
PC GR 100
P Q P Q P
x z
y
LM
xyz
111 P to Q
011 P to adjacent Q (where P does not intersect Q)
001 P to adjacent Q (different net)
Common Run=
(0 means it is not checked; 1 means it is checked)
A A A B
B =
A abuts B
difference (A,B)
Figure 125. Figures for Definitions
BIAS SIGN - A positive bias means the dimension of the image on the wafer is bigger than the corre-
sponding design shape in GL1. A negative bias means the dimension of the image on the wafer is
smaller than the corresponding design shape in GL1.
DESIGN MINIMUM (DES MIN) - The minimum design dimension allowed by photo, etch, fill and electrical
considerations. This is the design minimum given in the layout rules.
FORESHORTENING - A more negative bias at the end of a narrow line than at the sides.
NET BIAS AND TOLERANCE - The bias and tolerance used in Layout Rules are the net biases and tol-
erances. For bias, this is the algebraic sum of the component biases. For tolerance, this is the root-sum-
square (RSS) of the component tolerances. Components are photo, etch, slope, film thickness, etc.
TOLERANCE (TOL) - Variation in the process gives rise to variation in the feature dimension specified in
the layout rules tables. The extent of this variation above or below the nominal is called the tolerance. Tol-
erance as used in this publication means net tolerance. The Tol specified in this document is a 3
value. For calculating layout ground rules, the Tol is multiplied by 4/3 to arrive at a 4 value, which is
approximated to be the 4.5 for a batch population that is used in the Motorola 6methodology.
WAFER NOMINAL or WAF NOM or WAF or NOM - The nominal or target dimension of a design shape
as measured on the wafer.
P.3 PC
Other more complex OPC manipulations are done for PC layer. Consult the Data Preparation Specification or
your technical representative for more detail.
P.3.1 DGxxGATE
DGNGATE = [({[PC over (RX sized by 0.08)] over DG} not over BP) not over ZEROVT] sized by 0.005
DGPGATE = [({[PC over (RX sized by 0.08)] over DG} over BP) not over ZEROVT] sized by 0.005
P.3.2 PC Fuse
The PCFUSE shape is merged onto the PC mask in DataPrep.
Note that any nominal violations of these rules indicate a deficiency with the IBM FILL and HOLE generation
tools. Nominal violations of these rules are primarily the responsibility of IBM Manufacturing and IBM Design
Services to resolve, particularly rules PD1a, PD2, PD4a, PD4a1, and PD4b. The customer will only be asked
to reexamine the design if a nominal violation can not be waived and can not be brought into compliance by
modifications to the Design Services Shapes Generation tools. Such problems can be best avoided by careful
attention to the rules in section Q.2, Recommended Design Practices Related to Generated FILL and
HOLES Shapes on page 575.
The following levels are not filled during standard IBM FILL generation: LY, E1, MA, AM, OL, and LD. IBM
FILL is available by special request but requires manual intervention in the automated Foundry Release pro-
cess. Such manual intervention will typically cause a delay in mask build. Fill placement is done in accor-
dance with the rules laid out in Table 244. xxFILL Rules on page 549; consult your PE representative for
more detail.
DS205a 4 (PCFILL not touching (BFMOAT or IND or IND_FILT or ((LM inter- 0.20 0.52
sect LM_RFLINE) sized by 3.1m) or ((MA intersect MA_RFLINE)
sized by 3.1m) or BONDPAD)) minimum space
DS205b 4 (PCFILL touching (BFMOAT not over (IND or IND_FILT or ((LM 0.20 0.60
intersect LM_RFLINE) sized bY 3.1m) or ((MA intersect
MA_RFLINE) sized by 3.1m) or BONDPAD))) minimum space
DS205c 4 (PCFILL touching ((IND or IND_FILT or ((LM intersect LM_RFLINE) 0.20 0.68
sized by 3.1m) or ((MA intersect MA_RFLINE) sized by 3.1m) or
BONDPAD) or (BFMOAT over (IND or IND_FILT or ((LM intersect
LM_RFLINE) sized by 3.1m) or ((MA intersect MA_RFLINE) sized
by 3.1m) or BONDPAD)))) minimum space
DS206 (PCFILL not touching (BFMOAT or IND or IND_FILT or ((LM inter- 0.33 0.68
sect LM_RFLINE) sized by 3.1m) or ((MA intersect MA_RFLINE)
sized by 3.1m) or BONDPAD)) minimum width
DS207 (PCFILL not touching (BFMOAT or IND or IND_FILT or ((LM inter- 1.80 0.68
sect LM_RFLINE) sized by 3.1m) or ((MA intersect MA_RFLINE)
sized by 3.1m) or BONDPAD)) maximum width
DS208 (PCFILL (touching (BFMOAT not over (IND or IND_FILT or ((LM 0.33 0.60
intersect LM_RFLINE) sized by 3.1m) or ((MA intersect
MA_RFLINE) sized by 3.1m) or BONDPAD)))) minimum width
DS209 (PCFILL (touching (BFMOAT not over (IND or IND_FILT or ((LM 1.80 0.60
intersect LM_RFLINE) sized by 3.1m) or ((MA intersect
MA_RFLINE) sized by 3.1m) or BONDPAD)))) maximum width
DS210 (PCFILL touching ((IND or IND_FILT or ((LM intersect LM_RFLINE) 0.33 0.52
sized by 3.1m) or ((MA intersect MA_RFLINE) sized by 3.1m) or
BONDPAD) or (BFMOAT over (IND or IND_FILT or ((LM intersect
LM_RFLINE) sized by 3.1m) or ((MA intersect MA_RFLINE) sized
by 3.1m) or BONDPAD)))) minimum width
DS211 (PCFILL touching ((IND or IND_FILT or ((LM intersect LM_RFLINE) 1.80 0.52
sized by 3.1m) or ((MA intersect MA_RFLINE) sized by 3.1m) or
BONDPAD) or (BFMOAT over (IND or IND_FILT or ((LM intersect
LM_RFLINE) sized by 3.1m) or ((MA intersect MA_RFLINE) sized
by 3.1m) or BONDPAD)))) maximum width
DS220 PCFILL within JD 0.80 0.80
DS221 PCFILL minimum space to JD with straddling prohibited 3.00 3.00
DS230 PCFILL within BB 1.50 1.50
DS231 PCFILL minimum space to BB with straddling prohibited 1.50 1.50
DS235 PCFILL minimum space to RN with touching prohibited 1.00 1.00
DS298 PCFILL must be square - -
DS522a5 MxFILL minimum space to (BONDPAD touching LM) with touching 4.90 4.90
prohibited; Mx = MQ, MG, LM.
DS522b MxFILL minimum space to (LM over LM_RFLINE) with touching 3.30 3.30
prohibited; Mx = MQ, MG
Notes:
1. (LM_RFLINE not over LM) is allowed to touch MxFILL
2. MA_RFLINE is allowed to touch MxFILL
DS522c6 LMFILL minimum space to (LM over LM_RFLINE) with touching 3.30 3.30
prohibited
Note: (LM_RFLINE not over LM) is allowed to touch MxFILL
DS523 3 MxFILL minimum space (MTFUSE, LMFUSE); Mx = MQ, MG, LM 9.00 9.00
DS525b 4 (LMFILL not touching (IND or RF_MODFILL)) minimum space 0.40 0.40
DS5337 LMFILL minimum space to FUSE with touching prohibited > 0.00 > 0.00
DS540 E1FILL must not touch (E1, E1FILL, L1, KERFEXCL, LOGOBND, - -
PROTECT, E1EXCLUD, IND_FILT, BONDPAD, MA_RFLINE,
RF_MODFILL)
DS541 E1FILL minimum space to E1 2.00 4.00
DS542 E1FILL minimum space to (LOGOBND, PROTECT, KERFEXCL, 2.00 4.00
E1EXCLUD, IND_FILT, MA_RFLINE, RF_MODFILL)
2. Rule may be coded as (xxEXCLUD not over FUSE) for common code use; where xx = RX or PC or Mx. The Dummy Design and Utility
Level FUSE is prohibited in this technology per Rule RL07a.
3. Rules are listed in Table 244 that have a shaded GRAY background are not applicable to this technology, but may be included in the
actual Design Services code for common code re-use. These rules are not required to be included in the IBM Design Services Design
Rule Checking (DRC) deck. For additional information of the RL0x series of rules checked in the Design Kit DRC deck, see Table 18.
Reserved Level Layout Rules on page 83.
4. Rule is not required to be verified in IBM Design Services Design Rule Checking (DRC) deck. Rule is included to document that as
xxFILL is width is reduced, when xxFILL is touching specific Dummy Design and Utility Levels to intentionally lower the pattern density
in the chip design. the xxFILL space is increased the same incremental amount.
5. Rule DS522a supersedes Rules DS525a, DS5258, DS529 only when LM touches the BONDPAD shape. The intent of this rule is that
modelled wirebond or C4 structures for the LM BEOL only do not receive MQFILL, MGFILL or LMFILL.
6. LMFILL is not altered by the presence of the MA_RFLINE Dummy Design Level.
7. Rule may be included for common code use. FUSE is prohibited in this technology per Rule RL07a.
DSNOTE1 The term incurring is used in this table, and the syntax for incurring - -
is defined as:
A incurring B means A such that A has any area in common with B
DS600 MxHOLE must not touch (MxHOLE, MxCHEXCL); - -
Mx = M1-M6, MQ, MG, LM
DS608 M1HOLE must not touch ((CABAR, V1BAR) not touching IND) - -
DS6206 [Vx touching (MyHOLE sized by -0.10m)] must touch [ {([Vx not - -
touching (MyHOLE sized by -0.10m)] not incurring MxHOLE)
sized by +1.80m} over intersection (Mx, My)];
Vx = V1-V5; Mx = metal below Vx ; My = metal above Vx.
DS621 MxHOLE must be within Mx ; Mx = MQ, MG, LM 0.40 0.80
DS622 MxHOLE minimum space to MxCHEXCL ; Mx = MQ, MG, LM > 0.00 > 0.00
DS625 MxHOLE minimum space ; Mx = MQ, MG, LM 0.60 0.80
DS626 MxHOLE minimum width ; Mx = MQ, MG, LM 0.80 0.80
DS627 MxHOLE maximum width; Mx = MQ, MG, LM 0.80 0.80
DS628 [Vx incurring (MxHOLE or MyHOLE)] must touch [{[Vx not incurring - -
(MxHOLE or MyHOLE)] sized by +3.60m} over intersection (Mx,
My)]; Vx = VQ, VG ; Mx = metal below Vx ; My = metal above Vx.
DS630 [VL incurring MxHOLE] must touch [{[VL not incurring MxHOLE] - -
sized by +1.80m} over intersection (Mx, My)];
Mx = metal below VL ; My = metal above VL.
DS631 [VL incurring MyHOLE] must touch [{[VL not incurring MyHOLE] - -
sized by +3.60m} over intersection (Mx, My)];
Mx = metal below VL ; My = metal above VL.
DS6327 [Vx touching (MyHOLE sized by -0.20m)] must touch [{([Vx not - -
touching (MyHOLE sized by -0.20m)] not incurring MxHOLE)
sized by 3.60m} over intersection (Mx,My)];
Vx = VL, VQ, VG ; Mx = metal below Vx ; My = metal above Vx.
2. Rule is shaded GRAY background and is not applicable to this technology, but may be included in the actual Design Services code for
common code re-use. These rules are not required to be included in the IBM Design Services Design Rule Checking (DRC) deck.
For additional information of the RL0x series of rules checked in the Design Kit DRC deck, see Table 18. Reserved Level Layout
Rules on page 83.
3. See Rule DS609a when the via level above Mx is the FY mask level (FYBAR). See Rule DS609b when the via level above Mx is the JT
mask level (JTBAR)
4. See Rule DS678 for the Via above LM. TVBAR is not a supported design level. For wirebond, TV is drawn in the form of a bar per as
defined in Rule 650a as well as Rule 946a.
5. Rule intentionally omits LMDUMHOL and MxDUMHOL(x=1-6,T) since LMDUMHOL is used for a different purpose in this technology,
and MTDUMHOL is a reserved level and would be used for a different purpose if enabled, and MxHOLES (x = 1-6) must always
receive MxHOLE shapes.
6. For Vx, DS620 is a more relaxed check for DS619 in the event of a DS619 error.
7. For VQ and VG, DS632 is a more relaxed check for DS628 in the event of a DS628 error.
PD4a14 (Mx, MxFILL) minimum density (%) for all boxes touching IND, 8 8
IND_FILT, BONDPAD
Mx = M1, M2, M3, M4, M5, M6, MQ, MG, LM
Exemption: Tile touching QT (for Mx = MG), QT (for Mx = MQ, if
there is no MG in the design data)
2. For this local density requirement, the checking box is 400m x 400m stepped in 200m increments. Rule PD2a is not in force for
any checking box that touches PROTECT or LOGOBND. The interpretation of (PC, PCFILL) in this description is the equivalent of
Union (PC, PCFILL), which is the sum of the Design Level PC and the Reserved Level PCFILL, for checking purposes of this rule.
The Current Practice value specified is the value that is to be coded into the IBM Release Team Design Rule checking decks used
by IBM, and represents the minimum density acceptable after Design Services is applied to a chip during the IBM release process.
4. For this local density requirement, the checking box is 126m x 126m stepped in 63m increments. Rule PD4a1. Rule PD4a1 is not
applicable for tiles touching QT where Mx = MG, or for tiles touching QT where Mx = MQ if there is no MG in the design data.
5. For this local density requirement, the checking box is 50m x 50m stepped in 25m increments. The Current Practice value specified
is the value that is to be coded into the IBM Release Team Design Rule checking decks used by IBM, and represents the maximum
density acceptable after Design Services is applied to a chip during the IBM release process. However, predictive density tools in
the Technology Design Kit may actually be on the order of 5% lower than the Current Practice specified, to account for tool-to-tool
consistency considerations.
6. For this local density requirement the checking box is 400m x 400m areas stepped in 400m increments across the chip. Checking
boxes either touching or placed under a wirebond pad are exempt from this density rule
7. For this local density requirement the checking box should only flag an error when checking boxes with < 10% E1 pattern adjoin or hit
any other box (in 8 directions) with < 10% E1 density.
8. For this local density requirement the checking box should only flag an error when checking boxes with < 10% OL pattern adjoin or hit
any other box (in 8 directions) with < 10% OL density.
EPDL_PC is not in force for any checking box that touches PROTECT or LOGOBND;
in the future the LOGOBND exclusion may be eliminated.
3. EPDL_PC is not in force for any checking box that touches PROTECT or LOGOBND; in the future the LOGOBND exclusion may be
eliminated.
4. Rule EPDL_Mx (where x=1,2,3,4,5,6,Q or G) is not in force for any checking box that touches IND, IND_FILT, BONDPAD, PROTECT
or LOGOBND. For checking boxes that touch IND, IND_FILT, and BONDPAD see separate Rule EPDLi_Mx.
5. Rule EPDLi_Mx (where x=1,2,3,4,5,6,Q or G) is not in force for any checking box that touches PROTECT or LOGOBND.
6. Rule is not applicable for boxes touching QT when MG is not present in the design data.
7. Rule is not applicable for boxes touching QT when MG is present in the design data.
Avoid long or dense runs of RX wiring or PC wiring. RX and PC wiring inhibit the placement of
RXFILL and PCFILL generated shapes, potentially leading to violations of PD1 and PD2. RX wiring and
PC wiring are generally inefficient current-carrying members, by virtue of the high resistance and high Rs
tolerances, relative to M1 or other general-purpose metal wiring levels. Long or dense runs of RX wiring
or PC wiring are generally discouraged, apart from the mentioned pattern-density issues.
Avoid the use of dummy shapes on RX, PC, and all metal levels. Designer-added dummy shapes
inhibit the placement of IBM-generated FILL shapes, potentially leading to violations of PD1, PD2, and
PD4a. IBM-generated Fill shapes are structurally and hierarchically optimized to provide maximum yield
and manufacturability improvement with minimum perturbation to the circuit. Large designer-added
dummy shapes are less useful to manufacturing, and more disruptive to the circuit. Small
designer-added dummy shapes are more burdensome for Design Services, DataPrep and Mask Manu-
facturing, and can be also be problematic for wafer manufacturing.
Avoid the use of slotted or otherwise precheesed wiring for Copper levels. Slotted or precheesed
metal inhibits the effective placement of Metal Hole shapes, potentially leading to violations of PD4b.
IBM-generated Metal Hole shapes are structurally and hierarchically optimized to provide maximum yield
and manufacturability improvement with minimum penalty. Precheesed metal can greatly increase the
computational burden for Design Services and DataPrep, increasing the cycle time for these processes,
or causing the job steps to fail completely. For practical wiring structures, IBM-generated Metal Hole
shapes provide lower resistance and Rs tolerance than any allowable slotted or precheesed structure.
Whenever possible, it is recommended that collections of vias connecting the same two pieces of metal
be drawn as simple linear or 2D arrays. For linear arrays, it is recommended that the individual vias have
zero offset from one another in one dimension, and be evenly spaced in the other. For 2D arrays of
redundant vias, it is not necessary to use the same pitch in the X and Y directions, but it is strongly rec-
ommended that the vias be drawn so as to neatly line up in each direction. Double or single rings of vias
are an acceptable alternative to regular 2D arrays of redundant vias.
Whenever possible, it is recommended that collections of vias on adjacent levels be drawn so as to line
up vertically. It is not recommended to have collections of vias on adjacent levels be offset from one
another, except where such an arrangement is unavoidable. Vertical alignment is not necessary for VL
and the via level immediately beneath it.