Sie sind auf Seite 1von 19

INSTITUTO FEDERAL DE EDUCAO, CINCIA E TECNOLOGIA DE

SO PAULO

DANIEL RONEI DE S 1575031

LEONARDO BAGGIO 1572083

MATHEUS BATISTA 1575058

CIRCUITOS ARITMTICOS

Relatrio tcnico apresentado como


requisitoparcial para obteno de aprovao na
disciplina T3LD1 Laboratrio de Eletrnica
Digital 1, no Curso de Engenharia Eletrnica, no
Instituto Federal de Educao, Cincia e
Tecnologia de So Paulo.
Prof. Me. Alexandre de Jesus Arago

SO PAULO

2 SEMESTRE 2016
1. OBJETIVO

Verificar o funcionamento dos circuitos meio somador, somador completo e


subtrator com complemento de dois montando os mesmos com a utilizao de portas
lgicas simples e circuitos dedicados.

2. INTRODUO TERICA

Circuitos Aritmticos so circuitos combinacionais utilizados, principalmente,


para construir a ULA (Unidade Lgica Aritmtica) dos microprocessadores e so
encontrados disponveis em circuitos integrados comerciais. Uma funo essencial da
maioria dos computadores e calculadoras a realizao de operaes aritmticas. Estas
operaes so todas realizadas na unidade lgica e aritmtica de um computador, onde
portas lgicas e flip-flops so combinadas de tal modo que elas podem fazer a soma,
subtrao, multiplicao e diviso de nmeros binrios. A figura 1, atravs de um
diagrama, mostra como funciona a ULA.

Figura 1 Blocos funcionais de uma ULA

O circuito aritmtico Meio Somador (Half adder) possibilita efetuar a soma de


nmeros binrios com somente um algarismo. Assim, pode-se construir a sua tabela
verdade da soma de 2 nmeros binrios de 1 algarismo, definindo Ts como o transporte
de sada. A tabela 1 mostra a tabela-verdade de um Meio Somador.
Tabela 1 Tabela verdade de um Meio Somador

Atravs da tabela, pode-se montar um circuito que possui como entrada as


variveis booleanas A e B, e como sada, a soma dos algarismos S e o respectivo
transporte de sada Ts (carry out), alm disso, as expresses caractersticas extradas da
tabela so: = e = . A figura 2 mostra o circuito lgico de um meio
somador e a figura 3, sua representao de bloco.

Figura 2 Circuito lgico de um Meio Somador Figura 3 Representao de bloco do circuito

O somador completo (Full Adder) um circuito lgico utilizado para fazer soma
de 2 nmeros binrios de mais de 1 algarismo, pois possibilita a introduo do transporte
de entrada Cin proveniente da coluna anterior. A tabela 2 mostra a tabela verdade de um
somador completo.

Tabela 2 Tabela verdade de um Somador Completo


Atravs dessa tabela verdade, podemos conseguir as expresses S e Ts, que so
respectivamente: = e = + + . O circuito somador
completo conhecido por Full Adder, sendo a entrada do transporte Te denominada carry
in. A figura 4 mostra o circuito lgico de um Somador Completo, j a figura 5 o seu
diagrama em bloco.

Figura 4 Circuito lgico de um Somador Completo

Figura 5 Diagrama em bloco de um Somador Completo

Para exemplificar, pode ser montado um sistema em blocos que efetua a soma de
dois nmeros de 5 bits, conforme a figura 6, alm disso, este raciocnio pode ser estendido
para qualquer quantidade de bits:
Figura 6 Diagrama em bloco de um circuito Somador Paralelo Usando Somadores Completos

Importante salientar que para se efetuar a soma dos bits A0 e B0 pode-se utilizar
um meio somador, pois no existe transporte de entrada. Para as demais colunas deve-se
utilizar o somador completo, pois Te (carry in) deve ser considerado.

No diagrama da figura 6, o bit S4 do ltimo somador completo depende do bit C1


do primeiro somador completo. Porm C1 tem de passar pelos quatros FAs antes de gerar
a sada S4. Isso representa um atraso de tempo que depende do atraso de cada somador
completo. Supondo que cada FA tenha um atraso de 40ns, S4 no alcanar o resultado
correto at que tenha transcorrido 200ns. Quanto maior o nmero de bits maior o atraso.
Para reduzir esse atraso pode-se usar um circuito de gerao de carry antecipado.

O Meio Subtrator (Half Subtractor) efetua a subtrao de 2 nmeros binrios com


somente 1 algarismo. Desta forma, pode-se montar a tabela verdade considerando a
operao de subtrao de 2 nmeros binrios de 1 algarismo (A-B). A tabela 3 mostra a
tabela verdade de um circuito Meio Subtrator.
Tabela 3 Tabela verdade de um Meio Subtrator
Como entradas tm A e B, a sada S como a subtrao e o transporte de sada Ts.
Com isso, as expresses do circuito so: = e = . A figura 7 mostra o
circuito lgico de um Meio Subtrator, j a figura 8 o seu diagrama em bloco.

Figura 7 Circuito lgico de um Meio Subtrator Figura 8 Representao de bloco do circuito

J o Subtrator completo (Full Subtractor) utilizado para fazer a subtrao de 2


nmeros binrios de mais de 1 algarismo, pois possibilita a introduo do transporte de
entrada Te proveniente da coluna anterior, a tabela 4, mostra a tabela verdade do subtrator
completo.
Tabela 4 Tabela verdade de um Subtrator completo

Atravs dessa tabela verdade, podemos conseguir as expresses S e Ts, que so


respectivamente: = e = + + . Das equaes montado
o circuito lgico do subtrator completo, presente na figura 9, assim como, o seu diagrama
em bloco na figura 10.
Figura 9 Circuito lgico de um Subtrator Completo

Figura 10 Diagrama em bloco de um Subtrator Completo

O subtrator completo conhecido como Full Subtractor. Da mesma forma, pode-


se esquematizar um sistema subtrator para 2 nmeros de m bits, onde m = n +1. A figura
11 representa isso.

Figura 11 Diagrama em bloco de um circuito Subtrator Paralelo Usando Subtratores Completos


Neste sistema, a sada de transporte TS do ltimo bloco desnecessria se o
minuendo (An...A0) for maior ou igual ao subtraendo (Bn...B0), porm poder ser
utilizada no caso contrrio para indicar que o resultado negativo, estando, ento, na
notao do complemento de 2.

Existe um sistema chamado de Complemento de 2, ele usado para representar


nmeros negativos, as operaes de adio e subtrao podem ser realizadas usando
apenas a adio. A figura 12 mostra um somador paralelo usado para somar um nmero
positivo com um nmero negativo na forma de complemento de 2, no caso -3 com +6.

Figura 12 Somador paralelo usado para somar um nmero positivo com um nmero negativo na
forma de complemento de 2

Quando o sistema de complemento de 2 usado, o nmero a ser subtrado


(subtraendo) transformado para a sua forma de complemento de 2 e ento somado ao
minuendo. A figura 13 mostra um somador paralelo usado para realizar uma subtrao
no sistema de complemento de 2. Importante observar que os bits do subtraendo (B) so
invertidos e C0 = 1 para gerar o complemento de 2.
Figura 13 Somador paralelo usado para realizar uma subtrao (A-B) usando o sistema do
complemento de 2

3. PROCEDIMENTO EXPERIMENTAL

3.1Material Utilizado

01 Circuito Integrado 7404 (Porta NOT MED52).


01 Circuito Integrado 7408 (Porta AND MED50).
01 Circuito Integrado 7432 (Porta OR MED50).
01 Circuito Integrado 7486 (Porta XOR MED52).
01 ULA Simulada com Microcontrolador (MED35)
01 Fonte de alimentao DC (LEG2000).
Leds e resistores para monitoramento dos nveis lgicos (LEG2000).

3.2 Procedimentos Experimentais

A primeira etapa do experimento consistiu em projetar, montar e retirar a tabela


verdade, vide tabela 5, de um circuito meio somador de 2 entradas (Half-Adder): Entradas
A e B, sadas S e CO .

Analisando a Tabela Verdade do meio somador, foi possvel projetar o circuito


correspondente, conforme figura 14.
Tabela 5 Tabela Verdade Meio Somador.

A B S
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1

A S
B
XOR2
C0

AND2

Figura 14 Circuito do Meio Somador.

O mesmo foi feito para um somador completo de 2 entradas (Full-Adder):


Entradas A, B e CI , sadas S e CO . Com a tabela verdade preenchida, vide tabela 6, foi possvel
obter a funo do circuito.

Tabela 6 Tabela Verdade do Somador Completo.

A B S
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

Atravs da tabela verdade e simplificaes, foi possvel obter a funo de S e CO ,


sendo essas: S = A B CI e CO = AB + ACI + BCI. Juntando as duas sadas no mesmo
circuito, obtm se o circuito do somador completo, conforme figura 15.
A
S
B

Ci

Co

Figura 15 Circuito do Somador Completo.

A segunda etapa do experimento consistiu em projetar, montar e retirar a tabela verdade,


vide tabela 7, de um circuito meio subtrator de 2 entradas (Half-Subtractor): Entradas A
e B, sadas S e B0 .

Analisando a Tabela Verdade do meio subtrator, foi possvel projetar o circuito


correspondente, conforme figura 16.
Tabela 7 Tabela Verdade Meio Somador.

A B S
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0

A S

B
XOR2

BO

NOT
AND2

Figura 16 Circuito do Meio Subtrator.

O mesmo foi feito para um subtrator completo de 2 entradas (Full-Subtractor):


Entradas A, B e BI , sadas S e BO . Com a tabela verdade preenchida, vide tabela 8, foi possvel
obter a funo do circuito.
Tabela 8 Tabela Verdade do Subtrator Completo.

A B S
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

Atravs da tabela verdade e simplificaes, foi possvel obter a funo de S e CO , sendo


essas: S = A B CI e CO = AB + ACI + BCI. Juntando as duas sadas no mesmo circuito,
obtm se o circuito do somador completo, conforme figura 17.

A
S
B

Bi

Bo

Figura 17 Circuito do Subtrator Completo.

Observando as equaes dos quatro circuitos, foi possvel inserir no mesmo um


sinal subtrao/soma de forma que um mesmo circuito efetuasse ambas as operaes
atravs da seleo do sinal D, sua tabela verdade pode ser vista na tabela 9, sendo soma
completo quando D = 0 e subtrao completa quando D = 1. A partir da tabela e
simplificaes. Foi possvel obter o circuito somador/subtrator, sendo:
S = A B BI /CI e BO /CO = BI /CI + (DA)(B BI /CI ), conforme figura 18.
Tabela 9 Tabela Verdade do Somador/Subtrator Completo.

D A B / S /
0 0 0 0 0 0
0 0 0 1 1 0
0 0 1 0 1 0
0 0 1 1 0 1
0 1 0 0 1 0
0 1 0 1 0 1
0 1 1 0 0 1
0 1 1 1 1 1
1 0 0 0 0 0
1 0 0 1 1 1
1 0 1 0 1 1
1 0 1 1 0 1
1 1 0 0 1 0
1 1 0 1 0 0
1 1 1 0 0 0
1 1 1 1 1 1

A
S
B

Bi/Ci

Bo/Co
D

Figura 18 Circuito do Subtrator/Subtrator Completo.

A ltima etapa do experimento foi a montagem da ULA simulada com


Microcontrolador (MED35), para realizar a montagem foi utilizado a tabela 10.
Tabela 10 Funes dos Pinos na Unidade MED35.

Pino(s) Nome e Funo


X 3 , X 2 , X1 , X 0 Entrada X de 4 bits (Entrada B)
Y3 , Y2 , Y1 , Y0 Entrada Y de 4 bits (Entrada A)
F3 , F2 , F1 , F0 Entrada de Seleo de Funo de 4 bits
CN Entrada de Carry (ativa em 0)
M Entrada de Controle de Modo
S3 , S2 , S1, S0 Sada S de 4 bits
CN+4 Sada de Carry (ativa em 0)
A=B Sada Comparadora
PeG Sadas Carry Propagate e Carry Generate

Para resolver as somas e subtraes foi utilizado a funo que pode ser vista na
tabela 11.

Tabela 11 Funes Possveis na ULA Simulada.

MODE SELECT INPUTS ACTIVE HIGH INPUTS AND OUTPUTS


LOGIC (M=H) ARITHMETIC (M=L; =H)
H L L H
A B A plus B

Com o circuito montado foi completado as tabelas de 12 a 23, variando o CN


conforme indicado, sendo que todos os nmeros propostos estavam em decimal. A
proposta do CN no circuito seria a soma de um binrio no resultado da soma ou subtrao
quando o CN fosse ligado no 0, porm no experimento no foi possvel observar essa
mudana, portanto as tabelas foram preenchidas sem a influncia do CN .

6+2=8

Tabela 12 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
0 0 1 0 0 1 1 0 0 0 1 0 0 0 8 8
0 0 1 0 0 1 1 0 1 0 1 0 0 0 8 8
a. 7 - 5 = 2

Tabela 13 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
1 0 1 1 0 1 1 1 0 1 0 0 1 0 2 2
1 0 1 1 0 1 1 1 1 1 0 0 1 0 2 2
b. 4 + 3 = 7
Tabela 14 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
0 0 1 1 0 1 0 0 0 0 0 1 1 1 7 7
0 0 1 1 0 1 0 0 1 0 0 1 1 1 7 7

c. -1 - 6 = -7
Tabela 15 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
1 0 1 0 1 1 1 1 0 1 1 0 0 1 -7 9
1 0 1 0 1 1 1 1 1 1 1 0 0 1 -7 9

d. 7 + 6 = 13
Tabela 16 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
0 1 1 0 0 1 1 1 0 0 1 1 0 1 13 D
0 1 1 0 0 1 1 1 1 0 1 1 0 1 13 D

e. -4 + 4 = 0

Tabela 17 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
0 1 0 0 1 1 0 0 0 1 0 0 0 0 0 0
0 1 0 0 1 1 0 0 1 1 0 0 0 0 0 0
f. 6 - 2 = 4

Tabela 18 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
1 1 1 0 0 1 1 0 0 1 0 1 0 0 4 4
1 1 1 0 0 1 1 0 1 1 0 1 0 0 4 4
g. 7 +5 = 12
Tabela 19 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
0 1 0 1 0 1 1 1 0 0 1 1 0 0 12 C
0 1 0 1 0 1 1 1 1 0 1 1 0 0 12 C

h. 4 - 3 = 1
Tabela 20 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
1 1 0 1 0 1 0 0 0 1 0 0 0 1 1 1
1 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1

i. -1 - 6 = -7
Tabela 21 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
1 0 1 0 1 1 1 1 0 1 1 0 0 1 -7 9
1 0 1 0 1 1 1 1 1 1 1 0 0 1 -7 9

j. 7 - 7 = 0
Tabela 22 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
1 0 0 1 0 1 1 1 0 1 0 0 0 0 0 0
1 0 0 1 0 1 1 1 1 1 0 0 0 0 0 0
k. 4 + 2 = 6

Tabela 23 Simulao Proposta

X3 X2 X1 X0 Y3 Y2 Y1 Y0 + S3 S2 S1 S0 Dec Hex
0 0 1 0 0 1 0 0 0 0 0 1 1 0 6 6
0 0 1 0 0 1 0 0 1 0 0 1 1 0 6 6

5. QUESTES
1) Desenhe o esquema de um circuito que tenha como entrada um nmero de -7 a 7 e
apresente em sua sada seu complemento de 2 (ex: entrada = 3 > sada = -3, e assim por
diante).
A partir da anlise do enunciado foi construda a tabela 24, que descreve o
problema.
Tabela 24 Tabela verdade do problema proposto.

N Entradas Sadas em complemento de 2


# A B C D S1 S2 S3 S4
0 0 0 0 0 0 0 0 0
1 0 0 0 1 1 1 1 1
2 0 0 1 0 1 1 1 0
3 0 0 1 1 1 1 0 1
4 0 1 0 0 1 1 0 0
5 0 1 0 1 1 0 1 1
6 0 1 1 0 1 0 1 0
7 0 1 1 1 1 0 0 1
8 1 0 0 0 X X X X
-7 1 0 0 1 0 1 1 1
-6 1 0 1 0 0 1 1 0
-5 1 0 1 1 0 1 0 1
-4 1 1 0 0 0 1 0 0
-3 1 1 0 1 0 0 1 1
-2 1 1 1 0 0 0 1 0
-1 1 1 1 1 0 0 0 1

Com a tabela verdade foi possvel extrair as seguintes expresses de sada:


1 = + + 1 = ( + ( + )) 1 = ( + + )

2 = + +
2 = + ( +
) 2 = + ( )

3 = +
3 =

4 =
A partir das expresses de sada, foi projetado o esquema de circuito mostrado na
figura 19.

Figura 19 Esquema de circuito para completo de 2 (-7 a 7).

6. CONCLUSO

Com a realizao deste experimento, foi possvel perceber o funcionamento de


circuitos lgicos aritmticos empregados nas operaes de soma e subtrao, alm de
compreender como feito o projeto destes circuitos atravs de portas lgicas.
importante ter este tipo de percepo para que seja possvel empregar estes circuitos
lgicos na soluo problemas prticos.

Houve dificuldade para o planejamento e projeto do circuito lgico aritmtico


proposto no terceiro item, em que era solicitado um nico circuito para executar as
operaes de soma e subtrao conforme a seleo de operao, porm conseguimos
montar o circuito solicitado aps anlise dos circuitos propostos anteriores (meio
somador, somador completo, meio subtrator e subtrator completo) e suas respectivas
tabelas verdade, assim como simplificaes pela lgebra booleana.

Na ltima etapa do experimento, fomos apresentados Unidade Lgica


Aritmtica (ULA), circuito integrado que pode realizar diversas funes aritmticas entre
duas entradas de quatro bits cada, possui tambm uma entrada de quatro bits para seleo
da funo desejada e entradas para controle de modo, entrada e sada de carry, sada
comparadora, sadas carry propagate e carry generate, fornecendo uma sada de quatro
bits. Vimos que este tipo de circuito proporciona uma gama de solues de forma
simplificada e para operaes e problemas mais complexos seria melhor utilizar este tipo
de CI do que montar blocos lgicos a partir de portas lgicas.

Considerando o experimento como um todo, este experimento foi de grande


importncia para o desenvolvimento de raciocnio lgico na resoluo de problemas
envolvendo circuitos aritmticos feitos a partir de portas lgicas e proporciona uma nova
perspectiva para soluo de problemas na eletrnica digital.

7. BIBLIOGRAFIA

CAPUANO, Francisco G.; IDOETA, Ivan Valeije. Elementos de Eletrnica Digital. 40


ed. So Paulo: rica, 2000.

TOCCI, R.J. &WIDMER,N.S.Sistemas digitais: princpios e aplicaes. 11a ed,


Prentice-Hall, 2011.

Das könnte Ihnen auch gefallen