Sie sind auf Seite 1von 178

crire & Comprendre VHDL & AMS

Jacques Rouillard 2008


ISBN 978-1-4092-3689-4
Imprim en Espagne ou aux USA
(USA si indiqu en dernire page)
Lulu diteur

Illustration de couverture:
Codex sur le vol des oiseaux
Lonard de Vinci - 1485-1490
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
7 COMPORTEMENTAL
8 SYNCHRONE
9 ASYNCHRONE
10 SWITCH
11 ANALOGIQUE
12 RFRENCES
13 INDEX
14 TABLE DES FIGURES
Table des Matires 15 BIBLIOGRAPHIE

1 CLS DE CE MANUEL............................................................................................................................. 7
1.1 OBJECTIF .............................................................................................................................................. 7
1.2 LORGANISATION DE CE MANUEL ......................................................................................................... 7
1.3 LES EXEMPLES DE CE MANUEL.............................................................................................................. 8
1.4 LES PAQUETAGES EN ANNEXE ............................................................................................................... 8
1.5 LES OUTILS ........................................................................................................................................... 8
1.6 MTHODOLOGIES USUELLES ................................................................................................................. 9
1.6.1 Conception descendante (Top-Down) ............................................................................................. 9
1.6.2 Conception montante (Bottom-Up) ................................................................................................. 9
1.6.3 Conception montante-descendante (Meet-In-The-Middle).............................................................. 9
1.7 LES VERSIONS DES LANGAGES ET DE LENVIRONNEMENT ................................................................... 10
1.7.1 Les versions des langages ............................................................................................................. 10
1.7.1.1 VHDL 7.2............................................................................................................................................ 10
1.7.1.2 VHDL 1076-1987................................................................................................................................ 10
1.7.1.3 VHDL 1076-1991 (Interprtations)..................................................................................................... 10
1.7.1.4 VHDL 1076-1993................................................................................................................................ 10
1.7.1.5 VHDL-AMS 1076.1-1999................................................................................................................... 11
1.7.1.6 VHDL 1076-2000................................................................................................................................ 11
1.7.1.7 VHDL 1076-2002................................................................................................................................ 11
1.7.1.8 VHDL-AMS 1076.1-2007................................................................................................................... 11
1.7.1.9 VHDL 1076-2008................................................................................................................................ 11
1.7.1.10 Portabilit entre versions ..................................................................................................................... 12
1.7.2 Les types logiques ......................................................................................................................... 13
1.7.2.1 Deux tats............................................................................................................................................ 13
1.7.2.2 Quatre tats.......................................................................................................................................... 13
1.7.2.3 Six tats ............................................................................................................................................... 13
1.7.2.4 Neuf tats ............................................................................................................................................ 13
1.7.2.5 Quarante six tats ................................................................................................................................ 13
1.7.2.6 Le paquetage STD_LOGIC_ 1164 ...................................................................................................... 14
1.7.2.7 Interoprabilit .................................................................................................................................... 14
1.7.3 La maintenance du langage et des paquetages ............................................................................. 14
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS ............................................... 17
2.1 LES UNITS DE COMPILATION ............................................................................................................. 17
2.1.1 La dclaration dentit .................................................................................................................. 17
2.1.2 Le corps darchitecture ................................................................................................................. 18
2.1.3 La dclaration de paquetage......................................................................................................... 19
2.1.4 Le corps de paquetage................................................................................................................... 19
2.1.5 La dclaration de configuration.................................................................................................... 19
2.2 LES INSTRUCTIONS DCLARATIONS LOCALES .................................................................................. 20
2.2.1 Le bloc........................................................................................................................................... 20
2.2.2 Le processus .................................................................................................................................. 21
2.2.3 Le simultan procdural................................................................................................................ 22
2.2.4 Le type protg (moniteur) ............................................................................................................ 23
2.3 LES SOUS-PROGRAMMES ..................................................................................................................... 23
2.3.1 Les arguments ............................................................................................................................... 24
2.3.2 La procdure ................................................................................................................................. 24
2.3.3 La fonction .................................................................................................................................... 25

Table des Matires


-3-
crire & Comprendre VHDL & AMS J Rouillard 2008

3 ENVIRONNEMENT, BIBLIOTHQUES ............................................................................................. 27


4 HIRARCHIE ET STRUCTURE ........................................................................................................... 29
4.1 LA GNRICIT ................................................................................................................................... 29
4.1.1 L'entit est gnrique, le composant est pareillement gnrique .................................................. 29
4.1.2 L'entit est gnrique, pas le composant....................................................................................... 30
4.1.3 L'entit est gnrique, le composant aussi mais moins ................................................................. 31
4.2 LES PORTS........................................................................................................................................... 31
4.2.1 Lordre des ports........................................................................................................................... 32
4.2.2 Forage ......................................................................................................................................... 33
4.2.3 Laisser ouvert (open) .................................................................................................................... 33
4.2.4 Dfaut............................................................................................................................................ 33
4.2.5 Changer le type ............................................................................................................................. 34
4.3 GNRIQUES ET GNRATION ............................................................................................................. 35
4.4 AMUSANT ET INUTILE : LA HIRARCHIE RCURSIVE ........................................................................... 36
5 MODLISATION DE BIBLIOTHQUES - VITAL ............................................................................ 39
5.1 POURQUOI, COMMENT ?...................................................................................................................... 39
5.2 LE PAQUETAGE VITAL_TIMINGS ....................................................................................................... 41
5.3 LE PAQUETAGE VITAL_PRIMITIVES .................................................................................................. 42
5.4 LE PAQUETAGE VITAL_MEMORY ..................................................................................................... 43
5.5 UN PETIT EXEMPLE ............................................................................................................................. 43
5.5.1 Sans les conventions VITAL .......................................................................................................... 43
5.5.1.1 Fonctionnalit...................................................................................................................................... 43
5.5.1.2 Dlai simple et unique......................................................................................................................... 43
5.5.1.3 Dlai dpendant des valeurs proposes ............................................................................................... 43
5.5.1.4 Dlais dpendant des sens de variation................................................................................................ 44
5.5.1.5 Dlais gnriques ................................................................................................................................ 44
5.5.2 Avec les conventions VITAL.......................................................................................................... 44
5.5.2.1 Fonctionnalit...................................................................................................................................... 45
5.5.2.2 Dlais dpendant des fronts................................................................................................................. 45
5.5.2.3 Processus VITAL ................................................................................................................................ 46
5.5.2.4 Etc ................................................................................................................................................... 47
6 SYSTME.................................................................................................................................................. 49
6.1 ILLUSTRATION .................................................................................................................................... 49
6.2 FONCTION DE RSOLUTION ................................................................................................................. 50
6.3 GNRATEURS PSEUDO-ALATOIRES, VARIABLES PARTAGES ........................................................... 51
6.4 PROTOCOLE ........................................................................................................................................ 52
6.5 TESTER ............................................................................................................................................... 54
7 COMPORTEMENTAL ............................................................................................................................ 57
7.1 COMPORTEMENTAL NON SYNTHTISABLE .......................................................................................... 57
7.1.1 RAM .............................................................................................................................................. 57
7.1.2 Grande RAM : optimisation .......................................................................................................... 58
7.1.3 Trs grande RAM : liste chane................................................................................................... 58
7.1.4 ROM .............................................................................................................................................. 59
7.1.4.1 Rom initialisation par agrgat........................................................................................................... 60
7.1.4.2 Rom initialisation par fichier ............................................................................................................ 60
7.1.4.2.1 Fichier simple binaire..................................................................................................................... 61
7.1.4.2.2 Lecture d'un fichier au format INTEL............................................................................................ 62
7.2 COMPORTEMENTAL SYNTHTISABLE .................................................................................................. 68
7.2.1 Conversion parallle srie ............................................................................................................ 68
7.2.2 Drapeau HDLC............................................................................................................................. 69
7.3 MACHINES D'TATS ............................................................................................................................ 72
7.3.1 Machine de Moore ........................................................................................................................ 72
7.3.2 Machine de Medvedev................................................................................................................... 74
7.3.3 Machine de Mealy ......................................................................................................................... 74
7.3.4 Machine de Mealy synchronise ................................................................................................... 76
7.3.5 Codage .......................................................................................................................................... 77
8 SYNCHRONE ........................................................................................................................................... 79

Table des Matires


-4-
crire & Comprendre VHDL & AMS J Rouillard 2008

8.1 BASCULES........................................................................................................................................... 79
8.1.1 Bascule D ...................................................................................................................................... 79
8.1.2 Latch.............................................................................................................................................. 80
8.1.3 Bascule RS..................................................................................................................................... 80
8.1.4 Bascule JK..................................................................................................................................... 81
8.2 COMBINATOIRE SYNCHRONIS ........................................................................................................... 81
8.3 GNRATEUR DE SQUENCES PSEUDO-ALATOIRES ........................................................................... 83
8.4 BLOCS GARDS ................................................................................................................................... 85
9 ASYNCHRONE......................................................................................................................................... 87
9.1 ASYNCHRONE INONDANT, LE FLOT-DE-DONNES (DATA-FLOW) ........................................................ 87
9.1.1 Laffectation simple : un fil ou un registre.................................................................................... 87
9.1.2 L'affectation conditionnelle: un encodeur de priorit ................................................................... 87
9.1.3 L'affectation slecte: un multiplexeur.......................................................................................... 88
9.1.4 Lappel concurrent de procdure : un processus avec des arguments.......................................... 89
9.2 ASYNCHRONE PROTOCOLAIRE ............................................................................................................ 89
9.2.1 Handshake..................................................................................................................................... 89
9.2.2 Reconstitution dun signal cod NRZ et de son horloge ............................................................... 90
10 SWITCH..................................................................................................................................................... 95
10.1 UN MODLE DE SWITCH ...................................................................................................................... 95
10.2 UN OU EXCLUSIF EN SWITCH ......................................................................................................... 97
11 ANALOGIQUE ......................................................................................................................................... 99
11.1 OBJETS, INSTRUCTIONS, CONTRAINTES, DOMAINES ............................................................................ 99
11.1.1 Concepts et Objets : nature, quantit, terminal........................................................................ 99
11.1.2 Instructions............................................................................................................................. 101
11.1.3 Conditions dexcution et de solvabilit : .............................................................................. 101
11.1.4 Les domaines dexcution....................................................................................................... 102
11.2 LMENTS DE BASE .......................................................................................................................... 102
11.2.1 Rsistance............................................................................................................................... 102
11.2.2 Rsistance thermique.............................................................................................................. 103
11.2.3 Capacit ................................................................................................................................. 103
11.2.4 Self.......................................................................................................................................... 104
11.2.5 Source de tension.................................................................................................................... 105
11.2.6 Source de courant................................................................................................................... 105
11.2.7 Interrupteur ............................................................................................................................ 106
11.2.8 Diode ...................................................................................................................................... 106
11.2.9 Amplificateur oprationnel idal............................................................................................ 107
11.2.10 Un modle mcanique: Tac-Tac............................................................................................. 108
11.3 MODLISATION MIXTE ...................................................................................................................... 109
11.3.1 Convertisseur digital/analogique ........................................................................................... 109
11.3.1.1 Rseau R/2R, structurel ..................................................................................................................... 109
11.3.1.2 Convertisseur Digital-Analogique, comportemental ......................................................................... 111
11.3.2 Convertisseur Analogique-Digital.......................................................................................... 111
11.3.2.1 Comparateur ...................................................................................................................................... 112
11.3.2.2 Proposer des valeurs (modle purement digital)................................................................................ 112
11.3.2.3 Assemblage du convertisseur : modle structurel mixe analogique/digital ....................................... 114
12 RFRENCES ........................................................................................................................................ 117
12.1 STANDARD ET UTILES ....................................................................................................................... 117
12.1.1 STD.STANDARD .................................................................................................................... 117
12.1.2 STD.TEXTIO .......................................................................................................................... 119
12.1.3 STD_LOGIC_TEXTIO ........................................................................................................... 120
12.2 IEEE................................................................................................................................................. 121
12.2.1 IEEE.STD_LOGIC_1164 ....................................................................................................... 121
12.2.2 IEEE.STD_LOGIC_ARITH .................................................................................................... 123
12.2.3 IEEE.MATH_REAL ................................................................................................................ 126
12.3 IEEE-VITAL.................................................................................................................................... 127
12.3.1 BNF Vital................................................................................................................................ 127
12.3.2 VITAL_TIMING...................................................................................................................... 130

Table des Matires


-5-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.3.3 VITAL_Primitives ................................................................................................................... 135


12.3.4 VITAL_MEMORY................................................................................................................... 143
12.4 ANALOGIQUE .................................................................................................................................... 153
12.4.1 [AMS]IEEE.FUNDAMENTAL_CONSTANTS ....................................................................... 153
12.4.2 [AMS] DISCIPLINES.ELECTRICAL_SYSTEMS................................................................... 154
13 INDEX ...................................................................................................................................................... 157
14 TABLES DES FIGURES........................................................................................................................ 161
15 BIBLIOGRAPHIE .................................................................................................................................. 163
AIDE-MMOIRE VHDL ................................................................................................................................ 167

Table des Matires


-6-
crire & Comprendre VHDL & AMS J Rouillard 2008

1
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
1 Cls de ce manuel 6 SYSTME
7 COMPORTEMENTAL
8 SYNCHRONE
1.1 Objectif 9 ASYNCHRONE
10 SWITCH
Ce petit manuel ambitionne de prsenter 11 ANALOGIQUE
les diffrentes techniques de modlisation 12 RFRENCES
13 INDEX
en VHDL, avec des exemples 14 TABLE DES FIGURES
paradigmatiques, en prsentant les 15 BIBLIOGRAPHIE
concepts et techniques lis VHDL mais
en faisant dlibrment limpasse sur la prsentation en dtail du langage : le lecteur aura
donc besoin dune connaissance pralable ou dun autre manuel, par exemple le compagnon
de celui-ci Lire & Comprendre VHDL & AMS qui au contraire parle du langage et non des
modles, et qui est organis par traits du langage et non par style dapplication. Un aide
mmoire de 12 pages est la fin de ce manuel, que lon pourra dcouper et agrafer. Il est aussi
disponible en pdf. (Voir bibliographie [ROU1] et [ROU2], chapitre15 page 161)

1.2 Lorganisation de ce manuel


Aprs une prsentation des questions qui transcendent tous les styles (organisation gnrale
du langage chapitre 2, bibliothques chapitre 3, hirarchie chapitre 4), les chapitres tudient
sur des exemples ad-hoc les niveaux de modlisation quon peut attaquer en VHDL : depuis
le niveau systme, avec lexemple dun rseau comportant une centaine de transmetteurs,
jusquau niveau analogique o lon sintresse au composant lui-mme.
Les niveaux dcrits sont :
 La modlisation d'lments de bibliothque, utilisant le standard VITAL. C'est une
modlisation qui est techniquement surtout structurelle, mais qui utilise des conventions
trs serres permettant de rtro-annoter les modles aprs synthse, c'est--dire d'y insrer
des dlais de transmission trs proches de la ralit, sans avoir ouvrir les modles qui se
servent de ces briques. Chapitre 5.
 Systme : niveau o lon sintresse des abstractions, le temps nest intressant quen
termes de causalit. On simule des systmes qui reprsenteront la fin une trs grande
quantit de matriel et de logiciel, on fait des statistiques et on envoie des vecteurs de test
bass sur des squences alatoires. La simulation nest pas dterministe. Chapitre 6.
 Comportemental : on valide des algorithmes, dont on peut ne pas savoir encore sils seront
implments en logiciel ou matriel. On peut aussi crire des briques quon ne ralisera
jamais elles existent dj ou sont lobjet dun contrat-, simplement pour faire fonctionner
le reste du modle. Chapitre 7.
 Synchrone : le temps est mesur en termes de coups dhorloge. Le circuit est un
assemblage de blocs ayant la mme horloge ; Cest un niveau extrmement efficace en
termes de simulation. Chapitre 8.
 Asynchrone : le circuit est dcrit, in fine, en termes dquations logiques et le plus
gnralement les objets manipuls sont de type binaire ou entier se rsolvant en codage
binaire. On y traite aussi des questions de protocole qui sont en gnral asynchrones et
sans horloge. Chapitre 9.
 Switch : cest un niveau un peu btard et anecdotique, qui permet de faire de la logique
tout en sintressant aux questions de force lectrique. Le lecteur intress par le cycle de
simulation pourra y trouver dintressants sujets de rflexion. Chapitre 10.
 Analogique : le temps est continu et tout se rsout en quations diffrentielles. VHDL-
AMS est une extension de VHDL, et les modles crits en AMS sont mixtes. Chapitre
11.
Cls de ce manuel
-7-
crire & Comprendre VHDL & AMS J Rouillard 2008

1.3 Les exemples de ce manuel


Ils sont l seulement pour exemplifier des constructions et des mthodes, voire des difficults
du langage. Ils sont choisis dlibrment trs courts, sont en gnral beaucoup trop simples
pour tre directement utilisables dans un contexte rel ; et ils ne constituent en aucun cas des
projets complets, par contre ils sont fonctionnels et compilables.

Ce manuel n'est donc pas un recueil de modles, chose qu'on trouvera par ailleurs
facilement et en particulier sur Internet. Par ailleurs les exemples donns sont corrects la
connaissance de lauteur, mais aucune garantie ni engagement ne sont donns ce sujet.

Une mine dexemples et de modres rels seront particulirement trouvs sur le site :
http://tams-www.informatik.uni-hamburg.de/vhdl/ , voir [HAM] en bibliographie chapitre 15
page 161.

1.4 Les paquetages en annexe


Les paquetages VHDL donns en annexe chapitre 12 partir de la page 115 ne sont pas tous
les paquetages standardiss, ni tous les paquetages libres qui sont dans la nature, mais ceux
dont il est raisonnable de faire usage selon lavis subjectif de lauteur. Les questions de
copyright tant complexes, les versions donnes ici sont, la connaissance de lauteur, ou
bien des versions publiques, ou bien les dernires versions de travail publiques utilises par
les comits en principe identiques aux versions finales, aux enttes prs. Dans le cas des
paquetages VITAL, les commentaires en ont t retirs car ils triplaient le volume de texte. Le
lecteur intress par lesdits paquetages in extenso, ou par un paquetage public rare ou
exotique le trouvera probablement sur Internet. Certains sont dans le livre compagnon Lire
& Comprendre VHDL & AMS ; voir la bibliographie [ROU1] chapitre 15 page 161.

1.5 Les outils


Les outils utiliss pour vrifier les exemples de ce manuel sont, pour la partie digitale, les
outils disponibles cette date dans leur version ducation de Mentor Graphics
(ModelSim), et pour les modles mixtes et analogiques, par ordre alphabtique, ceux de Ansoft
(Simplorer), Dolphin (Smash), et Mentor-Graphics (SimpliVision).

Quelques diffrences dinterprtation ou de couverture du langage peuvent ncessiter des


adaptations sils sont utiliss sur dautres plateformes que celles o ils ont t tests. titre
indicatif et sans prtendre lexhaustivit, on pourra avoir des diffrences
sur les caractres accentus : ils sont autoriss mais pas depuis le dbut de VHDL,
certains systmes ont gard linterdiction.
sur la disponibilit des paquetages non-standard. Ceux mentionns ici sont tous libres
daccs sur Internet, mais ne sont pas tous des standards IEEE. La solution est simple
sil vient manquer sur votre plateforme : il suffit de rcuprer le paquetage et de le
compiler dans la bonne bibliothque.
sur le nom des bibliothques pour lanalogique : DISCIPLINES ou IEEE. Il suffit de
modifier la bibliothque appele et la clause use qui va probablement avec dans le
modle.
sur les traits coteux du langage dans certains simulateurs analogiques (types
composites de natures, generate, procedural, break dans toute sa fonctionnalit,
agrgats en cible daffectation, ). Labsence de tableaux de natures et dinstruction
generate est une vraie limitation qui obligera le concepteur drouler la main

Cls de ce manuel
-8-
crire & Comprendre VHDL & AMS J Rouillard 2008

les rgularits pour chaque configuration possible. Cest surtout handicapant en


conception mixte digital/analogique, car les modles disponibles dans le monde digital
quon peut avoir envie de rutiliser pour analogiser un bloc peuvent faire
largement usage des structures et de la gnration.

1.6 Mthodologies usuelles


1.6.1 Conception descendante (Top-Down)
Cest la mthodologie la plus employe dans le cadre commercial le plus courant : un client
veut un circuit spcifique, un ASIC (Application Specific IC) pour une application, par
exemple un DSP spcifique pour un tlphone. Il commande la chose une maison de
conception (Design-House) qui est en gnral une structure moyenne, ou un petit dpartement
dune grosse entreprise, travaillant sur CAO.
La conception se fait alors des spcifications vers le circuit, la validation tant sous le contrle
du client. Les styles employs vont du niveau systme au niveau flot-de-donnes. Dans ce
cadre il faut un accord tripartite entre le client, la maison de conception et le fondeur : le sign-
off. Ce contrat est sign entre un vendeur de CAO qui garantit la qualit de ses outils, le
fondeur qui garantit que la puce qui sortira sera conforme aux simulations de loutil de CAO
et le client qui paie. Sil y a un souci, cest le vendeur de CAO et le fondeur qui vont
sexpliquer pour que la sortie de lusine
soit conforme aux prvisions de la CAO.
Le contrat fait en sorte que si a ne
CAO marche pas , ce ne soit pas le client final
qui paie la rectification. (Il nen aurait pas
Client les moyens le plus souvent, vu les cots de
Fondeur production et la taille des maisons de
conception).
Les mtiers impliqus sont pour lessentiel
des mtiers dingnieur de conception
logique , qui connat le client, a une
bonne ide de lapplication et ignore la
technologie.
Figure 1 Le Sign-Off

1.6.2 Conception montante (Bottom-Up)


Cest le cas de figure o un fournisseur cherche faire un produit dtagre ; le meilleur
processeur possible pour tel crneau, un circuit spcialis gnrique (ex contrleur dcran, de
disque, dcodeur Mpeg...), etc. Le dveloppement se fait en interne avec des spcifications
souples que lon peut remettre en question plus facilement que si lon avait un contrat
amender. Cest le march qui valide ensuite.
Aujourdhui de tels produits sont proposs sous la forme dIPs (Intellectual Property) sous
forme entirement synthtisable multi-cible, ce sont donc des textes VHDL par exemple.
Les professionnels sont des ingnieurs de conception logique ou analogique , qui
ignorent le client final et ont une ide des applications finales. La technologie peut ou peut ne
pas tre un paramtre important de la question.

1.6.3 Conception montante-descendante (Meet-In-The-Middle)


Un fondeur doit dvelopper pour chaque technologie une bibliothque dlments de moyen
ou bas-niveau, ou extrmement gnriques, sur laquelle les outils de CAO et en particulier les
outils de synthse vont replier les circuits conus par les maisons de conception (Design-

Cls de ce manuel
-9-
crire & Comprendre VHDL & AMS J Rouillard 2008

Houses). Ces lments, demands par les outils de synthse, sont conus comme des briques
mais sont eux-mmes des assemblages de briques, do le qualificatif.
Les spcifications sont reconfigurables, au mieux de ce quon sait faire. Le fondeur
matrise la technologie et peut donc violer en connaissance de cause les rgles quil impose
ses clients (paradigme: la cellule de Ram). Il utilisera massivement les paquetages VITAL
(chapitre 5, page 37).
La validation est interne ou soumise un sign-off (voir ci-dessus) avec proposition du produit
sur catalogue.
Les ingnieurs impliqus sont des ingnieurs de conception plutt analogique qui ignorent
le client final et peuvent ignorer les applications finales ; ils matrisent bien la technologie.

1.7 Les versions des langages et de lenvironnement


1.7.1 Les versions des langages
VHDL existe en plusieurs versions dans la nature : les rglements IEEE obligent revisiter
les standards tous les 5 ans souvent un retard est observ mais il doit tre dment autoris
par les IEEE. Le numro du standard est 1076. On a ainsi :

1.7.1.1 VHDL 7.2


Cest lanctre du standard dans sa version 7.2 (il y a donc eu des versions antrieures) qui
tait classifi dfense et qui ne doit plus gure exister. Ce langage tait lui-mme un sous-
produit dun norme projet militaire VHSIC (Very High Speed Integrated Circuits) vers
1983, do le nom de VHDL (VHSIC Hardware Description Langage), le langage de
description de matriel du projet VHSIC , cest donc un acronyme dacronymes.
Le mme DoD avait cr de toutes pices le langage Ada, et cette poque Ada influenait
tous les dveloppements logiciels militaires amricains. La dernire implmentation de
VHDL 7.2 (connue de lauteur) tait crite en Ada et produisait de lAda quil fallait encore
recompiler, ce qui ntait pas rapide euphmisme-. Elle a servi de base au prototype du
premier compilateur VHDL 1076.

1.7.1.2 VHDL 1076-1987


Cest le standard IEEE initial, standard cr de toutes pices sur la base du prcdent par
dcision du DoD (ministre de la dfense amricain) qui a mis VHDL7.2 dans le domaine
public en 1985, a pay pendant plusieurs annes des socits principalement CLSI- pour
envoyer des ingnieurs aux comits IEEE, transformer VHDL 7.2 en standard, faire
dvelopper un prototype et grer le vote initial.

1.7.1.3 VHDL 1076-1991 (Interprtations)


Le standard sous la forme papier contenait quelques bogues bloquantes pour le
dveloppement des premiers compilateurs, par exemple la visibilit des arguments gnriques
dans la dclaration des ports qui suivait immdiatement ntait pas assure. Ainsi quil est
expliqu au 1.7.3 ci-dessous, un comit technique (ISAC) charg de la maintenance du
langage mettait des interprtations valides par le VASG. Celles-ci sont rassembles dans ce
document qui nest pas une nouvelle version du standard, mais la vue du comit , (Sense of
the VASG) sur le traitement de ces anomalies.

1.7.1.4 VHDL 1076-1993


La premire rvision qui propose des modifications majeures. Cette rvision a rassembl
toutes les corrections importantes ncessaires ou dsires par la premire gnration

Cls de ce manuel
-10-
crire & Comprendre VHDL & AMS J Rouillard 2008

dutilisateurs et de dveloppeurs, en particulier celles du document prcdent. On y trouve


aussi les variables partages, une simplification de la gestion de fichiers, les oprateurs de
rotation et de dcalage, les identificateurs tendus, et bien dautres nouvelles fonctionnalits
ou rparations. C'est cette version qui fait office de rfrence pour la plupart des outils
existants.

1.7.1.5 VHDL-AMS 1076.1-1999


Le standard strict sur-ensemble de VHDL 1993, permettant de traiter lanalogique. Son
utilisation est traite dans le chapitre 11 page 97. En principe, la seule source
dincompatibilit entre VHDL 1076-1993 et VHDL 1076.1-1999 (dans le sens 1076 vers
1076.1) est lexistence de mots rservs supplmentaires. En pratique, les socits fournissant
des simulateurs analogiques adapts VHDL.1 font parfois limpasse sur les traits les plus
coteux du langage.

1.7.1.6 VHDL 1076-2000


Version pratiquement ddie aux variables partages, dont lintroduction en 1993 avait fait
lobjet dune guerre de tranches entre membres des comits et dun emballement de passions
dont seuls sont capables les concepteurs de langages1. On y trouve l'introduction des types
protgs, les variables partages doivent maintenant tre de ces types. Ceci permettant une
paix des braves et laccs propre de la mmoire partage dans le cas de simulateurs
multi-processeurs.

1.7.1.7 VHDL 1076-2002


Changement dutilisations des ports de mode buffer et quelques rvisions mineures.

1.7.1.8 VHDL-AMS 1076.1-2007


Rvisions mineures de VHDL 1076.1-1999, pour ce qui est de la partie analogique du
standard, prenant par contre en compte toutes les modifications faites VHDL 1076 en 2000
et 2002.

1.7.1.9 VHDL 1076-2008


VHDL 2008 est le projet qui vient dtre vot cette date, qui est une rvision majeure et
dont aucun outil nexiste encore, voir [ASH2] en bibliographie chapitre 15 page 161. Son
existence va automatiquement entraner une nouvelle version du standard analogique VHDL-
AMS 1076.1 dans un avenir proche, puisque ce dernier est dfini comme tant un sur-
ensemble de VHDL 1076.
Il contient entre autres supplments
un langage dassertions2 (PSL),
une mthode pour crypter des portions de la description, de faon ce que les outils
puissent la traiter mais sans que le client ne puisse la lire,
une interface procdurale permettant d'crire des outils qui s'interfacent avec les
simulateurs,

1
En effet, la variable partage casse une proprit essentielle de VHDL: elle permet de rendre l'excution
dpendante de l'ordre d'excution des processus. son actif, elle est indispensable pour modliser des
phnomnes stochastiques.
2
Un langage dassertion permet dexprimer des vrifications de proprits qui doivent tre toujours vraies. Par
exemple jamais tel signal et tel autre signal ne sont ensemble un sur le front montant de lhorloge .
Linstruction assert de VHDL, les processus retards (postponed) et la possibilit dcrire des processus
passifs (naffectant aucun signal) dans la dclaration dentit sont dj, en VHDL 1993, un embryon de langage
dassertions.

Cls de ce manuel
-11-
crire & Comprendre VHDL & AMS J Rouillard 2008

la possibilit de rendre un processus sensible sur tous les signaux qui sont dedans,
pour tre sr de ne pas en oublier et aller gaiement la synthse: process(all);
la possibilit de mettre des expressions dans les port map, (s'il s'agit d'expressions de
signaux);
force et release de signaux, permettant de coller un signal une valeur
indpendamment du reste de la description;
la possibilit d'utiliser des types comme arguments gnriques (ceci est bien
commode, par exemple dcrire un multiplexeur ne devrait pas exiger quon connaisse
le type des donnes qui circulent). Et la possibilit de faire des paquetages gnriques
(pour, par exemple, dvelopper des paquetages arithmtiques indpendants du nombre
de bits de l'instance). Pour illustration, cette limitation actuelle doit tre contourne
dans l'exemple dcrit en 7.1.4.2.2 page 62;
la possibilit d'avoir des tableaux et des enregistrements de types non-contraints. Les
versions antrieures ne permettent pas de dclarer un tableau "array (integer
range 1 to 5) of STRING": il est possible avant 2008 de dclarer un tableau non
contraint, mais pas ses lments qui doivent tre contraints;
diverses simplifications comme la gestion du dont care dans les instructions case?
(une variante du case). Ainsi une clause when "01---" couvre toutes les
combinaisons de 5 bits commenant par 01;
lutilisation de types comme STD_LOGIC en place de boolen (if S1 and S2 la
place de if S1='1' and S2='1');
les expressions conditionnelles (affectation conditionnelle comme S <= A when
condition else B; autorise en contexte squentiel);
les oprateurs de rduction (S <= and T; o T est un tableau de bits, devient
quivalent S <= T(0) and T(1) and etc.);
de nouveaux oprateurs, prfixs par un "?" permettant des comparaisons "logiques",
par exemple dans le type STD_LOGIC la comparaison entre un fort et un faible
"'1' ?= 'H'" rendra '1';
diffrents paquetages mathmatiques implmentant larithmtique sur des
reprsentations normalises de flottant virgule fixe ou prcision relative;
des primitives permettant d'avoir une vue textuelle de tous les types (fonctionnalit de
l'attribut IMAGE existant);
des paquetages mathmatiques et la faon de les utiliser;
et bien d'autres choses.
La liste des mots-rservs est de plus en plus importante, et le concepteur utilisant une
version antrieure devrait, dans la limite du raisonnable, viter d'employer des
identificateurs qui entreront fatalement en collision avec des mots-cls des futures
versions. Cette liste est augmente de: assume assume_guarantee context cover
fairness force parameter property release restrict restrict_guarantee
sequence strong vmode vprop vunit

1.7.1.10 Portabilit entre versions


Ceci implique que lon doit souvent se proccuper de rgler la version du langage utilise.
Rassurons nous, part quelques traits rarement utiliss (types protgs), la question se rsume
le plus souvent, en attendant les implmentations de VHDL 2008, choisir entre la version
87 et une autre, essentiellement cause de la gestion de fichiers qui est incompatible. Parfois
un mot-cl apparu dans une version ultrieure pourra entrer en collision avec un nom dclar
dans la version antrieure (shared par exemple).

Cls de ce manuel
-12-
crire & Comprendre VHDL & AMS J Rouillard 2008

On mentionnera donc ici, loccasion, des limitations de la version originale antrieure


VHDL93. Mme si 1993 peut sembler dj bien loin pour quon se proccupe encore de
1987, il ne faut pas perdre de vue que cest simplement la date de la standardisation, les outils
sont apparus plus tard et, notamment en synthse o lon travaille de toutes faons avec des
sous-ensemble du langage, courir aprs les modifications souvent cosmtiques nest pas la
priorit des dveloppeurs doutils. Bien des outils contiennent encore des traits du langage
antrieurs VHDL93.

1.7.2 Les types logiques


Paralllement aux travaux sur le langage se tenaient des travaux sur la dfinition de types
logiques. VHDL est le premier et le seul langage de modlisation qui permette, dans le
langage, de dfinir une logique (0, 1, X, etc), ses oprateurs (and, or, etc.) et son
comportement en cas de conflit (fonctions de rsolution, notion de haute impdance, niveaux
forts et faibles). Les autres langages proposent un type logique suppos suffisant pour dcrire
des circuits lectroniques, fournissant grosso-modo les fonctionnalits de STD_LOGIC_1164.

Ceci a entran trois graves inconvnients :


Chaque ingnieur ayant son ide sur ce quest le meilleur type logique, une profusion
de paquetages se sont trouvs sur le march, provenant duniversitaires ou suggrs
par les vendeurs de CAO, chacun dfendant mordicus son ide, ce qui tuait
partiellement un des bnfices de VHDL : sa portabilit.
Les langages concurrents furent vus comme plus simples de ce fait.
Les vendeurs de CAO VHDL ne pouvaient pas optimiser leurs outils pour un type
particulier.
Ainsi, pour mmoire, a-t-on vu les paquetages logiques suivants, entre multiples autres.

1.7.2.1 Deux tats


Cest le paquetage STD.STANDARD, qui propose la logique deux tats 0 et 1, avec le
sens de logique positive, et o les conflits sont interdits.

1.7.2.2 Quatre tats


0, 1,Z,X : les deux tats 0 et 1 ont leur sens vident, Z est la haute impdance et
permet dcrire des fonctions de rsolution grant des bus. X reprsente un conflit entre 0
et 1, ou, selon les implmentations, entre deux valeurs quelconques autres que Z. En effet,
on peut dcrter raisonnablement quil est anormal que deux portes logiques crivent sur le
mme fil, y compris si cest la mme valeur.

1.7.2.3 Six tats


Les valeurs 0 et 1 du prcdent tant distingues en 0 faible, 0 fort, 1 faible et 1 fort, ceci
permettant la modlisation de pull-ups et de bus dits drain ouvert .

1.7.2.4 Neuf tats


Lanctre du standard STD_LOGIC_1164, alors appel MVL-9 (multi-valued-logic) et qui fut
donn aux IEEE par le vendeur Synopsys- qui lavait dvelopp. Voir ci-dessous.

1.7.2.5 Quarante six tats


Dans ce systme dfendu par Coelho (Voir [COE] en bibliographie chapitre 15 page 161) et
promu par la feue socit Vantage, les quarante six tats ntaient pas les valeurs mais des
possibilits de valeurs : ils taient lensemble des combinaisons contiges de neuf valeurs

Cls de ce manuel
-13-
crire & Comprendre VHDL & AMS J Rouillard 2008

possibles : (F) zro forc, (R) zro fort, (W) zro faible, (Z) zro haute impdance3, (D)
dconnection, et de mme un haute impdance, un faible, un fort, un forc. Ainsi ltat WD0,
valeur 0 cheval sur W et D, reprsentait la possibilit pour le signal davoir une valeur zro
faible ou zro haute impdance. L-dessus toute la logique pouvait tre dfinie, ainsi que les
fonctions de rsolution; ce systme tait particulirement adapt la modlisation en niveau
switch (voir chapitre 10 page 95 pour une vue de la question utilisant STD_LOGIC_1164).

1.7.2.6 Le paquetage STD_LOGIC_ 1164


Pour mettre un terme ce dsordre, le paquetage STD_LOGIC_1164 a t normalis. On en
trouvera la dclaration dans les rfrences, 12.2.1 page 119. Cest un paquetage neuf
valeurs, distinguant 0, 1 et conflits forts et faibles, un tat de haute impdance, un tat U
disant que lobjet na pas t initialis, et un tat - utilis, avant VHDL 2008, uniquement en
synthse pour dire dont care . En VHDL 2008, le - peut tre utilis dans une instruction
case pour couvrir tous les cas de figure correspondants, voir 1.7.1.9 ci-dessus.
Depuis larrive de ce standard librateur , rarissimes sont les concepteurs qui ne sen
servent pas. La possibilit de dfinir soi-mme sa propre logique et ses propres fonctions
rsolution est aujourdhui rserve aux concepteurs systmes (voir 6.2 page 50 pour une
fonction de rsolution), aux testeurs dides en logique floue et autres originaux... Nanmoins
la fonctionnalit existe.

1.7.2.7 Interoprabilit
Certainement dautres types logiques hantent encore des modles maintenir. La possibilit
dcrire ses propres types logiques et les questions dinteroprabilit avait t rgle dans le
standard initial par la possibilit dappeler des fonctions de conversion lors des port map ,
au vol. Ainsi il est possible dinstancier un composant utilisant 46 tats dans un modle qui
nen a que 9, pour autant quon dispose des fonctions de conversions dans les deux sens, en
crivant quelque chose comme:
port map (to_46_values(p46) => to_std_logic(pstd))
Ici to_46_values est une fonction qui prend un lment de type STD_LOGIC et propose une
valeur dans le type 46 valeurs. to_std_logic fait l'inverse. P46 et PSTD sont
respectivement des objets de type 46 ou 9 valeurs.
Ceci est videmment un pis-aller, puisque la conversion va forcment perdre de linformation.
De plus, l'appel de ces fonctions par le simulateur doit tre fait au gr des vnements et en
fonction des modes (in, out, inout), et cela devient rapidement une usine gaz. Depuis
l'arrive du paquetage STD_LOGIC_1164, cette fonctionnalit est rarement utilise. Hlas,
cest une aventure qui peut arriver au concepteur sil doit rcuprer un ancien modle. Ce
sujet est trait 4.2.5 page 34.

1.7.3 La maintenance du langage et des paquetages


VHDL comme bien dautres standards est un standard vivant, certains comits ont la charge
de corriger les dfauts ou bogues du manuel de rfrence, et aussi de rassembler les demandes
de modifications pour la rvision suivante. Le rsultat du travail de ces comits peut prendre
plusieurs formes :
en cas de bogue bloquante, une recommandation est mise et applicable
immdiatement. Cest arriv par exemple quand on sest rendu compte de certains
problmes de visibilits incorrectement dcrits dans le LRM et qui bloquaient
directement le dveloppement des compilateurs, voir le rsultat au 1.7.1.3 ci-dessus.
Ce nest plus arriv depuis longtemps.

3
Cela signifie que la valeur est zro par conservation capacitive de la valeur prcdente.

Cls de ce manuel
-14-
crire & Comprendre VHDL & AMS J Rouillard 2008

en cas derreur non bloquante, la recommandation est mise en conserve pour inclusion
dans la prochaine rvision. Par exemple le fait que la fonction ENDLINE du
paquetage TEXTIO initial ait t illgale en VHDL (son argument tait de classe
variable) na t dcouvert quaprs la premire standardisation4. Cette fonction a t
supprime. On peut donc la retrouver dans danciens modles, les compilateurs de
lpoque ayant d faire une verrue pour cette fonction.
en cas de trait inesthtique ou mal bti, une discussion est engage pour la rsolution
qui est incluse dans la prochaine rvision. La notion de bit string a ainsi t
tendue des types non prdfinis afin de permettre lutilisation des notations octale
et hexadcimale pour les littraux de std_logic_vector. Ce ntait possible que pour
bit_vector en VHDL87.
en cas de demande de nouvelle fonctionnalit, tous les cinq ans peu prs- un appel
propositions est publi, une compilation en est faite, des propositions sont mises et
un vote a lieu qui doit enregistrer une super-majorit. Ceux qui votent non doivent dire
pourquoi, et dire ce qui les ferait voter oui ; le comit doit tenter de rpondre tous les
votes ngatifs et tenter de les faire changer davis. Ce systme a donc assez peu voir
avec un scrutin politique.

Le comit mre de tous les autres, le VASG (VHDL Analysis & Standardization Group) et
son organe technique ISAC (Issue Screening & Analysis Committee), qui soccupent de
VHDL en tant que langage et dont les travaux ont un effet sur tous les standards drivs
comme VHDL-AMS (lanalogique, voir chapitre 11 page 97) ; et mme les standards en
VHDL comme STD_LOGIC-1164 (12.2.1 page 119), WAVES5 ou VITAL (12.3 page
125), etc. Ces comits peuvent tre contact par nimporte qui et les sites, cette date, sont :
http://www.vhdl.org/vasg/ et http://www.vhdl.org/isac/. Les industriels et les clients peuvent
anticiper les modifications en consultant les documents de ces comits qui dcrivent ce qui
sera probablement soumis au vote de la prochaine rvision.
Cette activit tant le fait de volontaires (soit titre personnel, soit mandats par leur socit
mais nominalement, il ny a pas de sige appartenant une socit), il ne faut donc pas
sattendre une rponse immdiatement efficace. Par contre nimporte qui peut se porter
volontaire pour aller dans les runions et prendre sa part de travail.
Pour pouvoir voter sur le standard, il faut tre membre IEEE.

Le langage VHDL en tant que tel est vu en dtail dans le manuel compagnon de celui-ci
Lire & Comprendre VHDL & AMS (voir bibliographie [ROU1], chapitre15 page 161) o
lon tente de couvrir tous les traits du langage, car on est souvent amen lire et tenter de
comprendre ce quon ncrirait jamais.

Le passionn ou concepteur doutils aura besoin du manuel ultime, le LRM (Language


Reference Manual, (voir la bibliographie [IE1] chapitre 15 page 161), mais insistons sur le
fait que ce LRM est dune absolue inutilit pour le concepteur normal : il contient la
description du langage lusage des dveloppeurs de compilateurs.

4
Ce qui montre bien que, contrairement la plupart des langages o cest limplmentation du prototype qui
tient lieu de premire spcification, les premiers compilateurs VHDL sont sortis aprs la publication du manuel
de rfrence, cette erreur ayant t signale tout fait normalement par un des premiers compilateurs.
5
WAVES est un standard en VHDL qui permet de spcifier des vecteurs de test pour un modle, les rsultats
attendus et dautomatiser le test dune faon qui est compatible avec le testeur qui sera utilis pour tester le vrai
circuit. Il nest pas couvert par ce manuel. Voir Bibliographie [IE5] chapitre 15 page 163.

Cls de ce manuel
-15-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 Quoi, o, zones 2
3 ENVIRONNEMENT, BIBLIOTHQUES
dclaratives, zones 4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
dinstructions 6 SYSTME
7 COMPORTEMENTAL
8 SYNCHRONE
Dune faon gnrale, la plupart des 9 ASYNCHRONE
10 SWITCH
constructions comprennent une 11 ANALOGIQUE
partie dclarative et une partie 12 RFRENCES
13 INDEX
instructions. Il y a un jeu de 14 TABLE DES FIGURES
dclarations qui sont admissibles 15 BIBLIOGRAPHIE
dans toutes les parties dclaratives,
et dautres qui dpendent du domaine o lon se trouve (concurrent, squentiel).

Les dclarations qui sont universellement acceptes sont :


Les dclarations qui contrlent la visibilit : clauses use, alias
Les dclarations de type, sous-types, constantes, fichiers.
Les dclarations et spcifications dattributs, de groupes (usage rare).
Les spcifications (seulement) de sous-programmes les corps de sous-programmes
sont aussi accepts partout sauf dans les dclarations de paquetage et les spcifications
de types protgs.

Nous les appellerons ci-dessous dclarations gnrales pour viter davoir rpter ce
paquet.

2.1 Les units de compilation


2.1.1 La dclaration dentit
Cest une des cinq units (une chose compilable en tant que telle) ; on peut la prfixer par des
appels de bibliothques (clause library) et des clauses use. La dclaration dentit peut tre
vide : tout ce qui suit est optionnel.

entity ENT is
generic () ;
port () ;
begin
Dclarations gnrales plus
end entity ENT ;
sous-programmes (corps aussi)
natures, sous-natures
dconnexions
signaux, quantits, terminaux,
variables partages
limites (analogique)
assertions
processus passifs (qui naffectent pas de signaux)
appel concurrent de procdures passives

Figure 2 Contenu d'une entit

Quoi, O, Zones Dclaratives, Zones d'Instructions


-17-
crire & Comprendre VHDL & AMS J Rouillard 2008

La dclaration dentit contient le plus gnralement ses spcifications dinterface : les


arguments gnriques, et les ports. Ce sont les moyens quon a de configurer lentit et de
lappeler depuis lextrieur.

Mais lentit peut aussi contenir une quantit de dclarations qui seront vues par toutes ses
architectures : des instructions de visibilit (clause use) ou des dclarations de types, sous-
types, mme des objets comme des signaux, des quantits ou des variables partages.

Aprs le begin (optionnel donc), la dclaration dentit peut contenir des instructions, pour
autant que ces instructions ne crent pas dvnements et ne participent pas la simulation :
ce sont les assertions (plus utiles dans leur variante retarde - postponed) et les processus
passifs qui n'affectent as de signaux- dont le seul effet est de crer des traces la console ou
de remplir des fichiers.

2.1.2 Le corps darchitecture

Cest une des cinq units (une chose compilable en tant que telle) ; on peut la prfixer par des
appels de bibliothques (clause library) et des clauses use. Le corps darchitecture a lui aussi
une partie dclarative et une partie instructions, qui peuvent tre vides mais spares par le
mot-cl begin qui nest pas ici optionnel.

La partie dclarative peut contenir, en sus des dclarations gnrales, celles qui sont
spcifiques au domaine concurrent : ce qui a trait au composant (dclaration, configuration),
les objets concurrents : signaux, quantits, variables partages et ce qui a trait aux signaux
(dconnexion des signaux gards).

architecture ARC of ENT is


Dclarations gnrales plus
sous-programmes (corps aussi)
begin composants (components) et leurs
spcifications de configuration.
natures, sous-natures
end architecture ARC;
signaux, quantits, variables
partages, terminaux
spcifications de dconnexions.
Instructions concurrentes :
bloc
process
procedural
break
appel concurrent de procdure
assertion concurrente
affectation de signal
quations simples ou conditionnelles
instanciation de composant
generate

Figure 3 Contenu d'une architecture


La partie instruction ne contient que des instructions concurrentes (c'est--dire que leur ordre
dcriture respectif na pas de sens pour ce qui est de la description).

Quoi, O, Zones Dclaratives, Zones d'Instructions


-18-
crire & Comprendre VHDL & AMS J Rouillard 2008

2.1.3 La dclaration de paquetage


Cest une des cinq units (une chose compilable en tant que telle) ; on peut la prfixer par des
appels de bibliothques (clause library) et des clauses use. Cest une zone purement
dclarative, le seul endroit o lon ait le droit dcrire une dclaration de sous-programme
sans pouvoir en crire le corps. Comme le paquetage peut tre appel depuis une entit ou une
architecture, cest une zone dclarative concurrente, et on peut y mettre :
package P is

end package P ;

Dclarations gnrales plus


composants (components)
natures, sous-natures
signaux, quantits, variables partages, terminaux
spcifications de dconnexions
Figure 4 Contenu d'une dclaration de paquetage

2.1.4 Le corps de paquetage


Cest une des cinq units (une chose compilable en tant que telle). Le corps de paquetage est
simplement cens implmenter sa propre dclaration. On y trouve bien entendu toutes les
dclarations gnrales plus les corps de sous-programme (quon ne pouvait pas mettre dans la
dclaration). Des variables partages peuvent tre dclares et utilises par les sous-
programmes du paquetage. Mais pas de signaux, de terminaux ou de quantits dont on ne
saurait que faire.

package body P is

end package P ;
Dclarations gnrales plus
sous-programmes (corps aussi)
variables partages
Figure 5 Contenu d'un corps de paquetage

2.1.5 La dclaration de configuration

Cest une des cinq units (une chose compilable en tant que telle) ; on peut la prfixer par des
appels de bibliothques (clause library) et des clauses use. Cest une construction qui permet
dassocier, de lextrieur, chaque composant dune architecture un couple entit/architecture
ou une autre configuration, et ceci transitivement. chaque tage, on ouvre la visibilit
dans le bloc ou larchitecture ouverte, rgle ventuellement par des clauses use ; et soit on
donne le nom (label) dune architecture ou dun bloc de niveau infrieur, et cest reparti pour
une configuration, soit on donne le nom (label) dun composant et la transitivit se termine
ici.

Quoi, O, Zones Dclaratives, Zones d'Instructions


-19-
crire & Comprendre VHDL & AMS J Rouillard 2008

configuration CONF of ENT is


clauses use
spcifications dattributs
groupes

end configuration CONF ;

configuration de bloc
for label-architecture use
clauses use
configuration de larchitecture du bloc nomm label
ou configuration du composant nomm label
end for ;

Figure 6 Contenu d'une dclaration de configuration

2.2 Les instructions dclarations locales


2.2.1 Le bloc

Le bloc est une des instructions qui peut apparatre dans larchitecture ; il est un usage trs
restreint en VHDL, et il nest mentionn ici que pour la compltude : il ne sert qu crer une
zone dclarative quand on en a besoin dans une instruction generate, ou utiliser la
garde , trait de VHDL qui tombe en dsutude (voir 8.4 page 83). Le bloc lui tout seul
rcapitule les fonctionnalits de lentit et de larchitecture, il fait une dcoupe arbitraire dans
le code en nommant les fils de linterface.
Il a des arguments gnriques et des ports, que lon configure sur le champ avec des generic
map et port map. Lintrt de cette fonctionnalit surprenante est que la smantique de
linstanciation est entirement dcrite en blocs quivalents6, et que cela permet de dcrire de
multiples instances de la mme entit/architecture comme des duplications de code ; le
passage aux signaux rels tant fait au fil de leau par les port map.

On voit sur la figure que le bloc peut contenir dautres blocs. Larchitecture elle-mme nest
finalement quun bloc de premier niveau, les dclarations et instructions quon peut y mettre
sont les mmes.

6
Ce qui permet de simplifier le manuel de rfrence, qui explicite seulement la smantique du bloc; toutes les
fonctions d'instanciation se ramenant des constructions lexicalement quivalentes.

Quoi, O, Zones Dclaratives, Zones d'Instructions


-20-
crire & Comprendre VHDL & AMS J Rouillard 2008

condition boolenne optionnelle

LBL : block (garde) generic () ;


port () ;
begin generic map () ;
port map () ;
end block LBL;
Dclarations gnrales plus
sous-programmes (corps aussi)
composants (components) et leurs
spcifications de configuration.
natures, sous-natures
signaux, quantits, variables partages,
terminaux
limites (analogique)
Instructions concurrentes : spcifications de dconnexions.
bloc
process
procedural
break
appel concurrent de procdure
assertion concurrente
affectation de signal
quations simples ou conditionnelles
instanciation de composant
generate

Figure 7 Contenu d'un bloc

2.2.2 Le processus
Le processus (process) est une des instructions concurrentes. ce titre, sa position dans le
code vis--vis des autres instructions concurrentes na pas dimportance.
Le processus contient des instructions squentielles. Ces instructions l, lintrieur des zones
squentielles, sont excutes dans lordre qui a donc de limportance. Le processus peut tre
retard (postponed) ce qui permet dtre assur quil sera excut une fois et une seule par
temps o il est rveill, quand tous les signaux sont stables. videmment la condition de
validit est quil naffecte pas de signal avec un dlai nul.
La liste de sensibilit est une liste de signaux dont le changement de valeur va rveiller le
processus. Sa prsence empche de mettre des wait explicites dans le processus. Cette forme
est prfre des outils de synthse, bien que (ou car) plus contraignante.

Quoi, O, Zones Dclaratives, Zones d'Instructions


-21-
crire & Comprendre VHDL & AMS J Rouillard 2008

optionnel, dit que le process est excut aprs tous les autres et ne
cre pas dvnement au temps courant
optionnelle, quivalent un wait sur la
mme liste de signaux la fin du process.
PROC : postponed process (sensibilit)

begin Dclarations gnrales plus


variables
end process PROC;
sous-programmes (corps aussi)

Instructions squentielles
simples :
o wait
o break
o assert et report
o next et exit (dans des boucles)
o affectation de variable et de signal
o appels de procdures
composites :
o if
o loop
o case

Figure 8 Contenu d'un processus

2.2.3 Le simultan procdural


Le procedural est une instruction simultane et, comme le processus, sa position parmi les
autres instructions concurrentes et simultanes na pas dimportance. Le procedural contient
des instructions squentielles. Ces instructions l, comme dans le cas du processus, sont
excutes dans lordre qui a de limportance. Le procedural est appel la discrtion du
noyau analogique, autant de fois quil veut et quand il veut, avec pour but de faire en sorte
que les quantits qui y sont affectes ne changent pas (trop) entre deux excutions successives
du mme instant de simulation.

PROCD : procedural is
Dclarations gnrales plus
begin variables
sous-programmes (corps aussi)
end procedural PROCD ;
Instructions squentielles
simples :
o assert et report (mais sans garantie)
o next et exit (dans des boucles)
o affectation de quantits/variable
o appels de procdures
composites :
o if
o loop
o case

Figure 9 Contenu d'un procedural

Quoi, O, Zones Dclaratives, Zones d'Instructions


-22-
crire & Comprendre VHDL & AMS J Rouillard 2008

Les quantits y sont vues comme des variables, et affectes comme telles avec le signe := .
Les instructions y sont tout le jeu des instructions squentielles sauf celles qui interfrent avec
le temps et les vnements sur signaux : wait, break, affectation de signal.
Les instructions assert et report y sont lgales mais la norme ne dit pas si elles sont
excutes chaque excution du noyau, ou mises en conserve dune faon ou dune autre
pour un effet unique.

2.2.4 Le type protg (moniteur)


Il sagit dune fonctionnalit oriente objet, qui permet de dclarer une zone et des objets dont
laccs est contrl de faon quun processus au plus ait lusage de ces objets un instant
donn. Attention : un type protg est un type, et na aucune activit en lui-mme ; ce sont les
objets dclars de ce type qui en auront.
En tant que type, il se dclare aux mmes endroits que les autres types. Il serait baroque
toutefois den dclarer dans une zone squentielle (processus, simultan procdural, sous-
programme) puisque les rgles de visibilit garantissent dj la proprit essentielle du type
protg. Les types protgs ont au contraire plutt vocation devenir les types de variables
partages. Cette "vocation" devient une obligation partir des implmentations de VHDL
2000.
Les types protgs se dclarent en deux morceaux, la spcification et le corps. Ces deux
morceaux peuvent tre dans la mme zone dclarative, leur sparation permet les dclarations
incompltes ncessaires pour faire des types rcursifs. Bien entendu, le corps doit apparatre
aprs la spcification et complter les sous-programmes dclars.
type PROT is protected
sous-programmes
(spcifications seulement)
spcifications dattributs
clauses use
end protected PROC ;

type PROT is protected body


Dclarations gnrales plus
variables
end protected body PROC ; sous-programmes (corps aussi)

Figure 10 Contenu d'un type protg

Plus tard, une variable partage sera dclare en contexte concurrent :


shared variable V : PROT ;
Et les sous-programmes du type protg seront invoqus par la notation pointes :
V.sousprog(arg1,arg2) ;
La proprit de protection est assure, entre autres, par le fait que les variables locales cette
structure ne peuvent tre dclares que dans le corps du type protg, et de ce fait sont
accessibles seulement par des sous-programmes du mme type.

2.3 Les sous-programmes


Les sous-programmes sont des zones de code squentiel, qui nexistent et ne sont actifs que si
on les appelle (au contraire des composants instancis et configurs qui ont une vie pendant
toute la simulation, par exemple). Les sous-programmes peuvent tre spcifis dans une
dclaration qui ne donne que leur nom et les noms et types des arguments sur lesquels ils

Quoi, O, Zones Dclaratives, Zones d'Instructions


-23-
crire & Comprendre VHDL & AMS J Rouillard 2008

travaillent. Cette spcification peut tre isole, auquel cas il faudra la rpter plus bas en
ajoutant le corps du sous-programme. Elle peut se poursuivre par le corps du sous-
programme, auquel cas elle ne sera pas rpte. La sparation en deux morceaux permet
dune part de confiner limplmentation aux corps de paquetage en publiant la spcification,
dautre part de permettre lcriture dalgorithmes rcursivit croise (A appelle B qui
appelle A). Il y a deux catgories de sous-programmes, les procdures et les fonctions.

2.3.1 Les arguments


Chaque sous-programme peut avoir une liste darguments entre deux parenthses (pas de
parenthse sil y a zro argument) et spars par des points virgules. Chacun de ces arguments
est prsent de la faon suivante :

[genre] NOM : [mode] TYPE [:= valeur par dfaut]

Les zones entre crochet sont optionnelles et ont une valeur par dfaut qui peut changer suivant
les contextes. Le genre concerne signal/variable/constant/file/quantity/terminal, le mode est
in/out/inout/buffer. Tous les genres et tous les modes ne sont pas autoriss partout.

Plusieurs arguments qui partagent exactement la mme dclaration peuvent tre factoriss et
spars par une virgule : la dclaration sera duplique pour chacun deux.
Nom1, Nom2 : INTEGER := 3 ;

Si la valeur par dfaut est un appel de fonction, cette fonction sera appele autant de fois quil
y a darguments initaliser.

2.3.2 La procdure
La procdure est une portion de code squentiel quon invoque en tant quinstruction : lappel
de procdure est une instruction squentielle et aussi une instruction concurrente. La
spcification donne son nom, et la liste des arguments esprs.

procedure PROC (arguments);

procedure PROC (arguments) is

begin
Dclarations gnrales plus
end PROC ; variables
sous-programmes (corps aussi)
instructions squentielles
simples :
o wait
o assert et report
o next et exit (dans des boucles)
o affectation de variable et de signal
o appels de procdures
o return
composites :
o if
o loop
o case

Figure 11 Contenu d'une procdure

Quoi, O, Zones Dclaratives, Zones d'Instructions


-24-
crire & Comprendre VHDL & AMS J Rouillard 2008

2.3.3 La fonction
La fonction est une portion de code squentiel quon invoque en tant que valeur dans une
expression : lappel de la fonction nest pas une instruction. La spcification donne son nom,
et la liste des arguments esprs avec pour chacun son genre (signal, constante, fichier (file)
mais pas variable) son nom, son type, son mode (in forcment qui est par dfaut), et sa valeur
par dfaut ventuelle.
Elle donne aussi le type de la valeur retourne par la fonction.

function FUNC (arguments) return un_type;

Dclarations gnrales plus


function FUNC (arguments) return un_type is variables
sous-programmes (corps aussi)
begin

end FUNC;

instructions squentielles
simples :
o assert et report
o next et exit (dans des boucles)
o affectation de variable
o appels de procdures
o return valeur
composites :
o if
o loop
o case

Figure 12 Contenu d'une fonction

Il y a deux catgories de fonctions : pure et impure.


Une fonction est pure si elle na pas deffets de bord, c'est--dire si ce quelle rend ne
dpend que de ses arguments, et quelle ncrit rien en dehors de ses variables locales.
Une fonction est impure si elle a des effets de bord, par exemple une fonction qui
compterait combien de fois elle est appele, ceci ncessitant le maintien dune variable
extrieure la fonction.
Une fonction se droule temps strictement nul (elle fait partie de lvaluation des
expressions). Elle ne peut donc pas contenir dinstruction wait ou break. Enfin, lalgorithme
dune fonction doit absolument tomber sur un return, au contraire de la procdure o cela est
optionnel. Cela ferait une erreur lexcution si l'excution passait sur son end .

Quoi, O, Zones Dclaratives, Zones d'Instructions


-25-
crire & Comprendre VHDL & AMS J Rouillard 2008

3 Environnement, 1
2
CLS DE CE MANUEL
QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
Bibliothques 3
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
7 COMPORTEMENTAL
Les bibliothques sont, pour le langage, 8 SYNCHRONE
9 ASYNCHRONE
de simples noms logiques : STD, IEEE, 10 SWITCH
etc. ; Cest linstallation de loutil qui 11 ANALOGIQUE
12 RFRENCES
redirige ces noms sur les emplacements 13 INDEX
physiques rels. Quelques unes de ces 14 TABLE DES FIGURES
15 BIBLIOGRAPHIE
bibliothques ont un statut spcial :
STD est la bibliothque mre
indispensable pour faire quoi que ce soit, elle contient le ncessaire paquetage
STANDARD. Il est inutile de la mentionner au dbut dune unit, par dfaut toutes
sont prfixes de library STD ; use STD.STANDARD.all ;
o STD.STANDARD cest l que sont dfinis les types BOOLEAN, BIT et
INTEGER. Or ces types sont utiliss dans le langage lui-mme, par exemple
BOOLEAN pour les instructions if, BIT pour lattribut TRANSACTION,
INTEGER pour llvation la puissance. Cest pourquoi lusage de STD est
par dfaut , la clause library STD ; est implicitement mise au dbut
de chaque unit, avec use STANDARD.all.
o STD.TEXTIO contient des primitives rustiques de lecture et criture sur fichier
et sur console. La base du systme dentres-sorties est quon lit ou on crit
ligne ligne des chanes de caractres. La conversion de ces chanes depuis ou
vers des types du langage est faire par ailleurs, quelques primitives sont
fournies dans le paquetage sur les types de STANDARD.
WORK est la bibliothque o lon travaille. Cest le seul endroit o lon a le droit de
compiler. La bibliothque WORK est vue, par ses clients, sous un autre nom
(MARTIN par exemple, depuis chez Dupont). Chaque concepteur a donc sa
bibliothque WORK, et accde celles des autres en donnant leur nom. Il est inutile
de la mentionner au dbut des units, comme pour STD par dfaut toutes les units
sont prfixes de library WORK ; (attention, pas de use par dfaut).
IEEE est une bibliothque qui contient les paquetages standardiss par les IEEE, ainsi
que de faon consensuelle des paquetages presque standards, mis dans le domaine
public par un vendeur par exemple.
o IEEE.STD_LOGIC_1164 contient le type 9 tats universellement utilis par
les concepteurs. : 'U' 'X' '0' '1' 'Z' 'W' 'L' 'H' ' -' U pour uninitialized, valeur par
dfaut dpose au dbut des simulations et permettant de reprer les signaux
qui nont pas t initialiss au bout dun temps raisonnable. Deux systmes
logiques (fort : 01X et faible :LHW low, high, weak conflict) permettent de
grer les forces : en cas de conflit entre un faible et un fort, cest le fort qui
gagne. On peut ainsi modliser des systmes drain ouvert par exemple. 0/L
et 1/H ont le sens logique usuel. X/W signifient conflit . Z est la haute
impdance, pour un bus que personne ne prend par exemple. Le '-' veut dire
dont care et ne sert quen synthse (en attendant VHDL 2008, voir
1.7.1.9 page 11) pour permettre de ne pas sur-spcifier et laisser le
synthtiseur optimiser (inversement, les valeurs UXW ne servent quau
simulateur, on ne va pas spcifier un conflit).

Environnement, Bibliothques
-27-
crire & Comprendre VHDL & AMS J Rouillard 2008

o IEEE.STD_LOGIC_TEXTIO nest pas standard (il le devient avec VHDL


2008) mais son source est public: cest un paquetage qui complte utilement
TEXTIO en fournissant les primitives de conversion entre chanes de
caractres et les types de STD_LOGIC_1164
o IEEE.STD_LOGIC_ARITH contient des primitives fournissant larithmtique
signe et non signe sur des vecteurs de STD_LOGIC. Cest un paquetage
assez long et le concepteur aura avantage en inspecter le code pour se rendre
compte de ses possibilits. noter que les oprateurs travaillent sur deux types
SIGNED et UNSIGNED, qui ne sont pas compatibles entre eux ni avec
STD_LOGIC_VECTOR mais qui sont parents, c'est--dire quon peut toujours
changer lun en lautre. Cest grce ce choix que le mme paquetage fournit
larithmtique entre un entier sign et un entier non sign.
o IEEE.STD_LOGIC_SIGNED et IEEE.STD_LOGIC_UNSIGNED sont deux
paquetages qui font le choix inverse du prcdent : larithmtique est fournie
directement sur le type STD_LOGIC_VECTOR mais du coup on ne peut plus
avoir la fois des nombres signs et non signs, sauf utiliser la notation
pointe ce qui est hostile quand il sagit doprateurs comme + ou - . Par
contre plus besoin de changements de types avant doprer.
o IEEE.MATH_REAL et IEEE.MATH_COMPLEX deux jeux de primitives
mathmatiques, qui sont trs utiliss en VHDL AMS.
o [AMS]IEEE.FUNDAMENTAL_CONSTANTS
o [AMS]IEEE.MATERIAL_CONSTANTS
DISCIPLINES est la bibliothque utilise dans le monde analogique et qui contient les
dclarations pertinentes de natures et de constantes pour les diffrents domaines
physiques que lon veut modliser.
o DISCIPLINES.ENERGY_SYSTEMS
o DISCIPLINES.ELECTRICAL_SYSTEMS
o DISCIPLINES.MECHANICAL_SYSTEMS
o DISCIPLINES.THERMAL_SYSTEMS
o DISCIPLINES.FLUIDIC_SYSTEMS
o DISCIPLINES.RADIANT_SYSTEMS

Les implmentations confondent parfois DISCIPLINES avec IEEE. Cest une des
corrections que lon peut tre amen faire quand on porte un modle.

Environnement, Bibliothques
-28-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4
5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
4 Hirarchie et Structure 7 COMPORTEMENTAL
8 SYNCHRONE
9 ASYNCHRONE
architecture de l'entit 10 SWITCH
11 ANALOGIQUE
12 RFRENCES
13 INDEX
14 TABLE DES FIGURES
maps 15 BIBLIOGRAPHIE
dclaration Il y a deux niveaux de branchement dans une
d'entit
architecture: D'une part le composant, sorte de
support, est connect aux signaux et aux ports de
composant
l'architecture dans laquelle il est instanci. D'autre
maps part l'entit est connecte son composant, soit
par une spcification de configuration cela se
passe dans l'architecture elle-mme - soit par une
dclaration de configuration ce qui se fait depuis
architecture l'extrieur, dans une unit de conception
de l'entit en spcifique, la dclaration de configuration-.
construction chaque tage, on peut spcifier les gnriques, et
les branchements.
Figure 13 L'instance du composant et sa configuration avec une entit demandent deux port map et
deux generic map

4.1 La gnricit

Les entits et les composants peuvent tre gnriques ; la gnricit consiste dclarer une
constante dans une zone spciale, aprs le mot-cl generic, et ne donner sa valeur que plus
tard. Cela peut tre au moment dinstancier un composant, au moment de le configurer ou
carrment au moment de simuler ou de synthtiser.

Il y a plusieurs cas de figure.

4.1.1 L'entit est gnrique, le composant est pareillement gnrique

entity SHIFTN is
generic (N : INTEGER ; delay: TIME);
port (inp: BIT_VECTOR(N-1 downto 0); outp: out BIT_VECTOR(N-1 downto 0))
end entity ADDN;

component COMP is
generic (X : INTEGER ; delay: TIME);
port (E: BIT_VECTOR(N-1 downto 0); S: out BIT_VECTOR(N-1 downto 0))
end component ADDN;

Hirarchie et Structure
-29-
crire & Comprendre VHDL & AMS J Rouillard 2008

On configure le composant avec cette entit l:

for CX: COMP use entity WORK.SHIFTN


generic map (N=>X, delay=>delay)
port map (inp=>E, outp=>S);

On ne donne pas de valeur, on attache un argument lautre. Noter ici que si les arguments de
l'entit et du composant avaient le mme nom et le mme ordre, on pourrait compter sur les
liens par dfaut et ne pas crire les branches generic map et port map. On verra par ailleurs
qu'on n'est pas oblig de d'attacher les ports tels quels (voir 4.2 page 29).

L'instance de composant fixe enfin la gnricit du composant gnrique:

CX: COMP generic map (X=>8, delay=> 2 ns) port map (E=>P1, S=>P2);

Ces valeurs (8 et 2 ns), fournies la gnricit du composant, sont transmises celle de


l'entit qui s'en sert comme d'une constante dans ses architectures.
Dans ce cas de figure, le composant et sa configuration restent virtuels jusqu'au moment
o lon instancie le composant en fournissant les valeurs qui manquent. On peut donc,
immdiatement dessous, instancier un autre composant de la mme entit avec dautres
arguments gnriques :
CY: COMP generic map (X=>16, delay=> 4 ns) port map (E=>P3,S=>P4);
-- CY est sur 16 bits et 4 ns.

4.1.2 L'entit est gnrique, pas le composant

entity SHIFTN is
generic (N : INTEGER ; delay: TIME);
port (inp: BIT_VECTOR(N-1 downto 0); outp: out BIT_VECTOR(N-1 downto 0))
end entity ADDN;

component COMP is
port (E: BIT_VECTOR(7 downto 0); S: out BIT_VECTOR(7 downto 0))
end component ADDN;

On configure le composant avec cette entit l, mais il nous faut dire combien valent N et
delay pour que a marche:

for CX: COMP use entity WORK.SHIFTN


generic map (N=>8, delay=>3 ns)
port map (inp=>E, outp=>S=>);

L'instance de composant voit un composant qui n'est pas gnrique:

CX: COMP port map (E=>P1, S=>P2);

Si lon instancie un autre composant de ce type, ce sera forcment le mme (8, 3 ns)
contrairement ce que lon a fait au paragraphe prcdent.

CY: COMP port map (E=>P3, S=>P4); -- CY est aussi sur 8 bits et 3 ns.

Hirarchie et Structure
-30-
crire & Comprendre VHDL & AMS J Rouillard 2008

Ceci nest pas le signe dune infriorit de cette mthode. Dune part on na pas souvent le
choix, les bibliothques tant importes ou achetes telles quelles ; dautre part si lon ne veut
se servir que de tel composant en multiples exemplaires identiques, il est inutile et pnible,
voire peu optimisable pour le simulateur, de repousser au dernier moment la donne de ses
paramtres.

4.1.3 L'entit est gnrique, le composant aussi mais moins

Voyons le cas o lentit a deux arguments gnriques, et le composant un seul.

entity SHIFTN is
generic (N : INTEGER ; delay: TIME);
port (inp: BIT_VECTOR(N-1 downto 0); outp: out BIT_VECTOR(N-1 downto 0))
end entity ADDN;

component COMP is
generic (delay: TIME);
port (E: BIT_VECTOR(7 downto 0); S: out BIT_VECTOR(7 downto 0))
end component ADDN;

On configure le composant avec cette entit l, mais il nous faut dire combien vaut N et passer
delay comme argument gnrique restant:

for CX: COMP use entity WORK.SHIFTN


generic map (N=>8, delay=>delay )
port map (inp=>E, outp=>S=>);
L'instance de composant voit un composant qui n'est gnrique que sur delay:

CX: COMP generic map (delay=> 2 ns) port map (E=>P1,S=>P2);

Dautres instances du mme composant pourront faire varier delay, mais pas N:

CY: COMP generic map (delay=> 4 ns) port map (E=>P3, S=>P4);
-- CY est sur 8 bits avec un dlai de 4 ns.

On utilisera cette fixation partielle de la gnricit lors de la configuration chaque fois que
lon voudra utiliser une entit trs gnrique venant dune bibliothque achete ou non
matrise, sur un composant dont la gnricit nous suffit .

4.2 Les ports


De mme quil y a double mapping pour la gnricit, de mme pour les ports. Les ports
reprsentent la connexion des composants larchitecture, et de lentit au composant. VHDL
fournit dans le langage tous les bidouillages que peut sautoriser un concepteur de cartes
imprimes :
 changer lordre des pattes,
 en laisser certaines en lair en sachant quelles sont tires une valeur donne
 en forcer dautres la masse ou une valeur donne,
 en changer le type (passer de la logique 5V 3V)
 nutiliser que la moiti dun bus ou en reconstruire un avec deux moitis
 et, qui plus est, aux deux niveaux de linstance et de la configuration.

Hirarchie et Structure
-31-
crire & Comprendre VHDL & AMS J Rouillard 2008

Attention : lutilisation dune de ces facilits nest pas exclusive des autres. En poussant
VHDL et ses facilits dassociations dans ses derniers retranchements, on peut arriver des
modles compltement illisibles et qui sont de vraies gageures excuter pour les outils de
CAO. utiliser avec autant de modration que les breuvages radicaux COOH, sinon le mal
de tte subsquent est de mme nature.

4.2.1 Lordre des ports

Supposons que lentit correspond parfaitement au composant, mais hlas lordre ou la


numrotation des ports nest pas le mme.

entity E is
port (A, B, C : BIT ; S : out BIT) ;
end entity E;

component C is
port (T: out BIT; X, Y, Z: BIT)
end component;

Nous allons utiliser lassociation par nom, qui permet de saffranchir des questions de
position:
for CX: C use entity LIB.E port map (A=>X, B=>Z, C=> Y, S => T);

La mme syntaxe dassociation fonctionne pour linstance :

CX : C port map (X=> P1, Y=> P2, Z=> P3, S => P4) ;

De mme, il peut arriver que la numrotation des ports


tabuls ne corresponde pas, alors mme que le nombre
et le type des lments scalaires correspond
parfaitement :

entity E is
port (A: BIT_VECTOR (1 to 10); ) ;
-- 10 lments
end entity E;

component C is
port (X :BIT_VECTOR (111 downto 102), ) ;
-- 10 lments aussi
end component;
Figure 14 Connexion en changeant la numrotation des ports

Ici, la bonne proprit de VHDL est que les associations sont faites lment par lment, de
gauche droite et sans regarder les directions:

for CX: C use entity E port map (A => X, );

Il est possible toutefois quon ait envie dassocier dans lautre sens ou dailleurs dans un ordre
quelconque : A(1) X(102), A(2) X(103), etc. Dans ce cas, on peut crire le dtail des
associations scalaires :

Hirarchie et Structure
-32-
crire & Comprendre VHDL & AMS J Rouillard 2008

for CX: C use entity WORK.E


port map (A(1) => X(102), A(2) => X(103), A(3) => X(104),
A(4) => X(105), A(5) => X(106), A(6) => X(107),
A(7) => X(108), A(8) => X(109), A(9) => X(110),
A(10) => X(111),);
On voit ici quon peut effectivement associer dans le dsordre nimporte quel lment scalaire
de lun nimporte quel lment scalaire de lautre.

L encore, la mme syntaxe de port map fonctionne pour linstance de composant, quand il
faut relier ses ports aux signaux/ports de larchitecture o il est instanci.

4.2.2 Forage

Les signaux de mode in peuvent tre associs une expression. On peut ainsi forcer une patte
la masse, par exemple.

Voyons lexemple dun composant :

component C is
port (ChipSelect : in BIT, .) ;
end component;

CX : C port map ('0', .autres ports) ;

Ici, le signal in fine associ ChipSelect via la configuration aura une valeur permanente 0.
Ceci ne marche pas avec les outils fonctionnant sur une version de VHDL antrieure 93,
auquel cas il suffit de dclarer un signal nomm, quon met 0 et quon passe au port map.

signal masse : BIT := '0' ;

CX : C port map (masse, .autres ports) ;

4.2.3 Laisser ouvert (open)

Les signaux de mode out peuvent tre ignors du simulateur. Il suffit de leur associer le mot-
cl open. Ici par exemple, on a besoin d'une porte ou-exclusif (xor) mais on ne dispose que
d'un additionneur dans la bibliothque. On s'en sort en appelant l'additionneur et en ignorant
ses retenues: celle d'entre est colle 0, celle de sortie est ouverte. Le resteest un xor.

component XOR_GATE port (A,B: BIT; S: out BIT); end component;

for X1: XOR_GATE use entity LIB.ADDER


port map(A=> X, B=> Y, Ret_In=>'0', S => Z, Ret_Out=>open);

4.2.4 Dfaut
On peut donner une valeur par dfaut lors de la dclaration d'un port de mode in.
L'existence de cette valeur par dfaut permettra d'omettre ce port dans la liste d'association.
Nous nous retrouverons donc dans le cas du forage explicite vu au 4.2.2 ci-dessus.

Hirarchie et Structure
-33-
crire & Comprendre VHDL & AMS J Rouillard 2008

component C is
port (A, B: BIT :='0'; S: out BIT) ;
end component;

CX : C port map (S => P1) ;


est quivalent
CX : C port map (A=> '0', B=> '0', S => P1) ;

4.2.5 Changer le type


Il peut arriver que l'entit qui nous intresse corresponde exactement au composant que l'on
veut configurer, mais hlas l'un est crit avec le type BIT, l'autre avec le type STD_LOGIC.
Ou encore, l'un a un port de sortie du type INTEGER, l'autre un port de sortie de type
BIT_VECTOR o l'entier est cod.

Cette situation est heureusement assez rare depuis l'arrive du standard STD_LOGIC_1164,
qui a unifi les types utiliss par les concepteurs VHDL, voir ce sujet 1.7.2.7 page 13.
Nanmoins on peut trouver des modles antrieurs et avoir les maintenir ou les utiliser.
On peut donc, dans une liste d'association, changer le type au vol des diffrents arguments
formels et rels. Pour cela on emballe le port en question par une fonction qui prend lautre en
argument et rend son type.

Supposons que FA soit une fonction prenant le type de B en argument et rendant le type de A,
et FB faisant linverse. Lexemple le plus simple de telles fonctions est la fonction
changement de type que lon a gratuitement en utilisant le nom du type quand les types
sont apparents, par exemples arithmtiques. Exemple :
Si I est entier et R rel, on peut crire I := INTEGER(R) et INTEGER est ici vu comme une
fonction de conversion. Nous avons donc dans le cas qui nous occupe:

function FA (Arg :TypeB) return TypeA ;


function FB (Arg :TypeA) return TypeB ;

Et nous voulons configurer ce composant ci avec cette entit l:


component C is
port (A1 : in TypeA; A2 : out TypeA; A3 : inout TypeA;..);
end component;

entity E is
port (B1 : in TypeB; B2 : out TypeB; B3 : inout TypeB;..);
end entity;

Il est bien sr impossible dassocier A1 B1 par un simple B1 => A1 dans la configuration,


puisque les types sont diffrents.
Nous pouvons par contre crire dans une liste dassociation :

A1 => FB(B1) : De mode in, le flux va aller du composant vers lentit et le


changement de type des valeurs qui circulent aura toujours lieu vers B .
FA(A2) => B2 De mode out, le flux va aller de lentit vers le composant et le
changement de type des valeurs qui circulent aura toujours lieu vers A .
FA(A3) => FB(B3) De mode inout, le flux peut aller dans les deux sens et le
simulateur appellera lune ou lautre des fonctions au gr du mouvement des
vnements sur les signaux.

Hirarchie et Structure
-34-
crire & Comprendre VHDL & AMS J Rouillard 2008

L encore, ce changement de type peut soprer dans la configuration, dans linstance


oudans les deux. Il est clair que labus de cette, ahem, facilit ne conduit pas des
modles trs lisibles ni trs performants, sans compter que cest une fonctionnalit rarement
utilise et donc sujette dfauts non signals dans les outils de CAO. Elle fut dfinie dans
le langage en un temps o il ny avait pas de paquetage logique standard
(STD_LOGIC_1164) et il tait alors ncessaire de pouvoir convertir au vol les types des ports
des entits instancies.

4.3 Gnriques et gnration


Etiquette : if condition generate Linstruction generate existe sous deux
[begin] optionnel dans formes : la conditionnelle (ifgenerate, qui
implementations rcentes na pas de branche elsif ou else) et litrative

end generate;
(forgenerate) avec un schma de boucle
avec indice. Dans les deux cas, il sagit de
crer du code VHDL algorithmiquement, code qui sera ensuite excut. Lexcution de ces
instructions se fait avant le temps zro de la simulation, dans la phase dite dlaboration.

Voyons par exemple lutilisation dune boucle de gnration dans la construction dun
additionneur N bits partir dun demi additionneur un bit (deux entres, une sortie et une
retenue sortante) et dadditionneurs
complets un bit (deux entres, une retenue Etiquette : for I in 1 to 10 generate
entrante, une sortie et une retenue [begin] optionnel dans implementations
sortante). rcentes

end generate;
Voici lentit, noter que la taille des ports
dpend de la valeur de largument gnrique :
entity ADDN is
generic (N: INTEGER:=8);
port (A,B: BIT_VECTOR(N-1 downto 0); S: out BIT_VECTOR (N downto 0));
end entity ADDN;

architecture STRUCT of ADDN is


signal CARRY: BIT_VECTOR (N downto 1);
component ADD1
port (A,B,CIN: BIT; S,COUT: out BIT);
end component;
N- N- 1 0 component HALF_ADD
1 2 port (A,B: BIT; S,COUT: out BIT);
end component;
-- configurations par dfaut
begin
HA: HALF ADD port map(A(0),B(0),S(0),CARRY(1));
G1: for I in N-1 downto 1 generate
Figure 15 Un XI: ADD1(A(I),B(I), CARRY(I),S(I), CARRY(I+1));
additionneur N bits end generate;
S(N)<=CARRY(N);
end architecture STRUCT;
HA: HALF ADD port map(A(0),B(0),S(0),CARRY(1));
G1(1).XI: ADD1 port map (A(1),B(1), CARRY(1),S(1), CARRY(2));
G1(2).XI: ADD1 port map (A(2),B(2), CARRY(2),S(2), CARRY(3));
Etc

Hirarchie et Structure
-35-
crire & Comprendre VHDL & AMS J Rouillard 2008

Larchitecture utilise la boucle de gnration pour la partie rgulire du circuit : comme


souvent, il y a une exception pour le premier lment qui est sorti de la boucle et gr
sparment.

4.4 Amusant et inutile : la hirarchie rcursive

Il est possible en VHDL dutiliser la rcursivit lors de linstanciation de modles, autrement


dit un modle gnrique peut sinstancier lui-mme (avec une autre gnricit bien entendu !).
Cette facilit sera surtout utilise pour rendre un modle obscur ou pour tester les limites
dun compilateur. Nanmoins elle dmontre bien le fait quune dclaration dentit existe
indpendamment de son architecture.

Pour illustrer ceci, voyons le cas dune ligne retard de N coups dhorloge sur un bit :
lentre (inp) est propage sur la sortie (outp) au bout de N fronts montants dhorloge (h).

La dclaration, trs classiquement, est gnrique sur N le nombre de coups dhorloge pour
le retard-, a une entre, une sortie et une horloge.

library ieee;
use ieee.std_logic_1164.all;

entity dcalage is
generic (N: positive:=16);
port (h, inp: in std_logic; outp:out std_logic);
end;

Larchitecture, elle, est plus originale: elle dclare sa propre entit en tant que composant.
Une instruction generate sert de condition darrt : si N=1, alors on crit une instruction flot
de donnes qui ralise le dcalage dune priode dhorloge, et une seule. Dans les autres cas
de figure, la question est ramene la mise bout--
bout dun dcalage de N/2 et dun dcalage de N-N/2 9
(il sagit de divisions entires), en passant par un
unique signal local, et le problme est repouss
ltage infrieur, ce qui fait que, in fine, tout le
modle sera compos de dcaleurs dune priode 4 5
dhorloge, instancis en poupes russes.
Sur lexemple ci-contre, on voit que lappel dun
dcaleur avec N=9 va instancier deux dcaleurs avec 2 2 2 3
N=4 et N=5, puis quatre avec N valant
respectivement 2, 2, 2 et 3, puis huit avec les valeurs
1, 1, 1, 1, 1, 1, 1, 2, et enfin neuf avec duplication du
dernier. 1 1 1 1 1 11 11 2
Chaque fois quon arrive N=1, la rcursivit est
termine, une unique instruction flot de donnes est
gnre. 1 1 1 1 1 11 11 1 1

Larchitecture rcursive est dtaille ci-aprs.

Figure 16 Instances rcursives

Hirarchie et Structure
-36-
crire & Comprendre VHDL & AMS J Rouillard 2008

architecture rcursive of dcalage is

component dcalage
generic (N: positive);
port (h, inp: in std_logic; outp:out std_logic);
end component;
-- pas de configuration, le dfaut fonctionne bien car la
-- dclaration de composant est identique lentit

signal local: std_logic; -- le signal qui va connecter les deux


-- instances appeles.

begin

-- un dcalage dune priode dhorloge.


G1:if N=1 generate
outp<=inp when h'event and h='1' else unaffected;
end generate;

-- le dcoupage en deux sous-problmes, double appel rcursif


G2:if N>1 generate
R1: dcalage generic map(N/2) port map(h, inp, local);
R2: dcalage generic map(N-N/2) port map(h, local, outp);
end generate;

end;

On peut voir ci-contre la hirarchie simule telle que


dploye par llaboration du modle. En particulier, on
peut constater que toutes les feuilles de cette hirarchie
sont lablise G1 , le seul bloc du modle o il se
passe quelque chose .

Le concepteur coriace pourra essayer la rcursivit


croise (A appelle B qui appelle A), si toutefois il arrive
imaginer une fonctionnalit qui saccommode de ce genre
de description.

Hirarchie et Structure
-37-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 Modlisation de 5
6 SYSTME
bibliothques - VITAL 7 COMPORTEMENTAL
8 SYNCHRONE
9 ASYNCHRONE
10 SWITCH
11 ANALOGIQUE
12 RFRENCES
5.1 Pourquoi, comment ? 13 INDEX
14 TABLE DES FIGURES
Dans le cycle de conception classique, 15 BIBLIOGRAPHIE

o il est des modles qui nont pas vocation tre synthtiss (par exemple un modle de
RAM qui nest l que pour faire marcher le reste du modle) ; dans ce genre de
synthse tous les coups sont permis pourvu que a marche . Voir par exemple 7.1
page 55.
o il est des modles qui ont vocation tre synthtiss et alors on fait attention viter
la sur-spcification, pour permettre loutil de synthse de faire toutes les
optimisations quil jugera utiles. Voir par exemple 7.3 page 70.
o Enfin il est des modles qui sont limage exacte du circuit tel quil a t synthtis, et
quon simule afin de vrifier les proprits espres, notamment temporelles, ou quon
donne un client qui veut une image exacte de ce quil achte. Or la synthse consiste
faire linterconnexion dlments de bibliothque, lments qui sont fournis par le
fondeur. Dans ce cadre l, la spcification de ces lments et des ventuels dlais dus
leur interconnexion doit tre extrmement prcise. Les dlais en question peuvent tre
simples (la sortie bouge aprs un temps T si lentre bouge), plus complexe (on peut
spcifier des temps diffrents suivant les fronts) ou trs complexe (suivant les
diffrentes combinaisons, temps dalas, temps de propagation sur les fils.). Cest ce
style l qui nous intresse dans ce chapitre.

VITAL (VHDL Initiative Towards ASIC Libraries) a t dvelopp, comme STD_LOGIC,


dans un souci de standardisation de lusage de VHDL.

Cest un standard de bon usage de VHDL, permettant aux fondeurs de dvelopper des
modles de leurs bibliothques, et aux clients de construire leurs propres briques avec les
mmes outils, tout en garantissant aux clients un jeu de bonnes proprits dont voici les
essentielles :
o La rtro-annotation permet dinjecter dans un modle dj simul sans les temps
tous les dlais fournis par la synthse. On peut ainsi valider sparment la
fonctionnalit et la performance. Cette injection se fait sans ncessiter laccs au
source du modle, par la dfinition de gnriques et via un outil spcifique (utilisant le
standard SDF7) ou par des dclarations de configuration en VHDL cres
automatiquement.
o Linterface des modles utilise uniquement le type STD_LOGIC_1164 et mme
souvent un sous-ensemble de celui-ci.
o Les informations temporelles sont injectes grce des conventions de noms (la
syntaxe des identificateurs des ports et gnriques se trouve donc faire partie de ce
langage), et peut dfinir entre autres des informations sur les dlais ou des temps pin
to pin .
7
Standard IEEE 1497-2001 (SDF). Voir bibliographie [IE4] chapitre 15 page 163.

Modlisation de Bibliothques - VITAL


-39-
crire & Comprendre VHDL & AMS J Rouillard 2008

o Les simulateurs pourront optimiser ces primitives.


o Les acclrateurs hardware ce sont des simulateurs utilisant des FPGA programms
automatiquement de faon reproduire le circuit simul et fournissant donc une sorte
de prototype- pourront contenir en dur les primitives de bibliothque et donc
seront dautant plus efficaces.
Un modle VITAL se
dcompose donc en plusieurs
blocs, dont le plus simple est
trs souvent le bloc fonctionnel
(celui qui dit ce que a fait ),
Vrification de
ce qui peut surprendre. Il
contraintes
contient aussi la description des
dlais temporelles dlais de diffrents dlais dentre et de
dentre sortie sortie reprsentant par exemple
Description les capacits des fils de
fonctionnelle connexion ; et un bloc de
vrification de diffrentes
contraintes, dont leffet essentiel est de faire des messages derreur la console.
Figure 17 Schma d'un modle VITAL

Lapprentissage et lutilisation de VITAL ncessiteraient un volume eux seuls et sont


clairement en dehors du cadre de ce manuel. On trouvera en bibliographie chapitre 15 page
161 les liens ncessaires, et en rfrences 12.3 page 125 les dclarations de paquetages
correspondantes. Nanmoins nous prsentons ici les grands traits de cette norme, titre
daide-mmoire.

On peut crire un modle VITAL en respectant deux niveaux de la norme : le niveau 0 et le


niveau 1. Tout ce qui est conforme au niveau 1 est aussi conforme au niveau 0. Le niveau 1
est assez contraignant, beaucoup de modles se contentent du niveau 0.
Lattribut VITAL_LEVEL0 ou VITAL_LEVEL1 doit tre dfini dans lentit et
larchitecture, de faon prvenir les outils. :
attribute VITAL_LEVEL0 of Entit : entity is TRUE;

o Niveau 0 : pour la portabilit et la rtro-annotation. Les blancs-souligns


(underscores) sont interdits dans les noms des ports. Les seuls types utiliss pour les
ports sont les types STD_LOGIC et STD_LOGIC_VECTOR. Les noms des
arguments gnriques sont soumis des conventions permettant la rtro-annotation et
lintroduction de dlais sans avoir diter le modle. Par exemple, si un port sappelle
TOTO, un des gnriques qui lui sont ddis pourra sappeler tipd_TOTO ou encore
tpw_TOTO_negedge, permettant, aprs synthse, de spcifier un dlai de propagation ou
un temps de largeur de pulse aprs front ngatif. Le type de ces arguments permet en
sus de spcifier des dlais diffrents pour chaque passe de telle valeur telle autre
valeur. Voir les divers VitalDelayType du paquetage VITAL_Timings 5.2 ci-
dessous.
Les blancs souligns servent donc dlimiter des champs significatifs et cest
pourquoi ils sont interdits par ailleurs.

o Niveau 1: pour la performance. En sus dtre niveau 0, les conflits sont interdits sur
les signaux (deux processus ne peuvent pas crire sur le mme signal, il ny a donc
jamais dappel de fonctions de rsolution), les sous-programmes appels ne peuvent

Modlisation de Bibliothques - VITAL


-40-
crire & Comprendre VHDL & AMS J Rouillard 2008

pas tre crits par le concepteur (ils doivent venir des paquetages standards). Tous les
signaux et pas seulement les ports- doivent tre des types STD_LOGIC et
STD_LOGIC_VECTOR. Aucune dclaration, part des alias, nest autorise. Avec de
telles contraintes, chaque bloc du code peut tre optimis puisquils viennent tous de
paquetages prdfinis et que le travail du concepteur ne consiste qu' les assembler
entre eux.

On trouvera par ailleurs, dans le chapitre 12 page 115 :

o La syntaxe VITAL, dfinie comme une contrainte sur la syntaxe VHDL ; cest du
BNF.
o Les trois dclarations de paquetages ncessaires : timing, primitives, mmoire. Les
corps de ces paquetages sont dfinis en VHDL dans la norme titre de documentation,
mais ils sont probablement cods en dur dans les simulateurs commerciaux. Leur
longueur interdit de les mettre ici, le lecteur intress les trouvera facilement sur le
Web.

5.2 Le paquetage VITAL_Timings

On en trouvera la dclaration 12.3.2 page 128, expurge de ses commentaires qui sont trop
volumineux pour tre mis ici. Ce paquetage est utilis par les autres et dfinit types et
primitives concernant les dlais du modle.

Les types remarquables sont:

o VitalDelayType est un simple sous-type de TIME, pour les situations o un temps


unique suffit.
o VitalDelayType01 est un tableau de deux valeurs de TIME. Il contient des temps pour
front montant 0 vers 1 et descendant 1 vers 0, quand il y a deux valeurs logiques.
o VitalDelayType01Z est un tableau de six valeurs de TIME. Il peut dfinir les temps
de passage dans les logiques trois tats (0 1 et Z), pour toute les combinaisons, dans
lordre tr01, tr10, tr0Z, trZ1, tr1Z . trZ0.
o VitalDelayType01ZX est un tableau de douze valeurs de TIME, et dfinit les temps
de passage de toutes les combinaisons entre 0, 1, X et Z pour les cas de figure o il y a
un tat inconnu (X), lordre est : tr01, tr10, tr0Z, trZ1, tr1Z, trZ0, tr0X, trX1, tr1X,
trX0, trXZ, trZX.
o VitalResultMapType est un tableau (index par U X 0 1) de STD_ULOGIC8,
permettant de convertir les sorties des modles VITAL dautres systmes de force ;
par exemple convertir 1 en H dans le cas dune sortie drain-ouvert.
o VitalTableSymbolType est un type numr de caractres littraux9, une liste de
symboles utiliss pour reprsenter les transitions ou les conditions de stabilit. Il est
utilis par les primitives VITAL. Attention : les caractres littraux (entre
apostrophes) ont une casse qui est significative, ne pas confondre majuscules et
minuscules.

8
STD_ULOGIC est le type racine dont STD_LOGIC est le sous-type rsolu. Ils sont compatibles, lusage du
premier permet des optimisations dans les cas o lon est certain de ne pas avoir besoin de rsolution.
9
Il sagit dun type numr de genre caractre , c'est--dire que les symboles contenus scrivent
graphiquement comme des caractres mais nont rien voir, et sont incompatibles, avec les autres types du
mme genre comme BIT (pour les valeurs 0 et 1), CHARACTER (pour toutes les valeurs) ou STD_LOGIC
(pour 0, 1, X, -, Z), mme si le sens attribu est le mme que pour BIT et STD_LOGIC.

Modlisation de Bibliothques - VITAL


-41-
crire & Comprendre VHDL & AMS J Rouillard 2008

o / : 0 vers 1
o \ : 1 vers 0
o P : Union de / et ^ (tout front allant vers 1)
o N : Union de \ et v (tout front allant vers 0)
o r : 0 vers X
o f : 1 vers X
o p : Union de / et r (tout front venant de 0)
o n : Union de \ et f (tout front venant de 1)
o R : Union de f ^ et p (tout front montant)
o F : Union de v et n (tout front descendant)
o ^ : X vers 1
o v : X vers 0
o E : Union de v et ^ (tout front venant de X)
o A : Union de r et ^ (front montant de ou vers X)
o D : Union de f et v (front descendant de ou vers X)
o * : Union de R et F (tout front)
o X : Inconnu
o 0 : zro, niveau bas
o 1 : un, niveau haut
o - : dont care indiffrent.
o B : 0 ou 1
o Z : haute impdance
o S : tat stable

Le paquetage VITAL_Timing contient aussi des primitives permettant de dfinir des


contraintes de temps et divers dlais.

o VitalPathDelay01 et VitalPathDelay01Z permettent de dfinir une valeur dcale


dans le temps un signal de sortie. La premire si le signal ne peut avoir que deux
valeurs, la seconde ajoute Z.
o VitalWireDelay permet de spcifier un dlai de connexion (d un fil entre deux
composants, par exemple).
o VitalSignalDelay est utilis quand le modle a des contraintes temporelles ngatives,
c'est--dire quon est conduit dire que le signal change avant lhorloge qui a pris
du retard pour une raison ou une autre.
o VitalSetupHoldCheck pour dtecter les violations de setup ou de hold.
o VitalRecoveryRemovalCheck sassure quun signal est actif dans une fentre
contrle autour dun front dhorloge, par exemple.
o VitalPeriodPulseCheck permet de vrifier les bonnes proprits dune horloge ou
dun signal comme reset: taille minimum du pulse, maximum de la priode, etc.
o VitalInPhaseSkewCheck et VitalOutPhaseSkewCheck vrifient la phase de deux
signaux.

5.3 Le paquetage VITAL_Primitives


Ce paquetage contient les primitives logiques quon va assembler pour construire des ASIC.
Elles sont de trs bas niveau et leur nom est auto-document :
VitalBUF, VitalBufIf0, VitalBufIf1
VitalINV, VitalInvIf0, VitalInvIf1
VitalAND, VitalAND2, VitalAND3, VitalAND4
VitalNAND, VitalNAND2, VitalNAND3, VitalNAND4
VitalOR, VitalOR2, VitalOR3, VitalOR4
VitalNOR, VitalNOR2, VitalNOR3, VitalNOR4

Modlisation de Bibliothques - VITAL


-42-
crire & Comprendre VHDL & AMS J Rouillard 2008

VitalXOR, VitalXOR2, VitalXOR3, VitalXOR4


VitalXNOR, VitalXNOR2, VitalXNOR3, VitalXNOR4
VitalMux, VitalMux2, VitalMux3, VitalMux4
VitalDecoder, VitalDecoder2, VitalDecoder4, VitalDecoder8

On y trouve aussi les types permettant de dfinir des composants par une table de vrit ou
une table dtats :

VitalTruthTables
et
VitalStateTables.

5.4 Le paquetage VITAL_Memory


Ce paquetage dfini plus rcemment (rvision 2000) et permet de dfinir les fonctionnalits et
contraintes des lments de mmorisation. Nous ne nous tendrons pas dessus dans ce
manuel, le code de sa dclaration est nanmoins fourni au 12.3.4 page 141, expurg de ses
commentaires qui sont extrmement volumineux dans le source original.

5.5 Un petit exemple


5.5.1 Sans les conventions VITAL

5.5.1.1 Fonctionnalit
Pour illustrer lintrt de VITAL, reprenons lternel xor :
library IEEE; use IEEE.std_logic_1164.all;
entity xorent is
port (a, b : in std_logic; s : out std_logic);
end xorent;

architecture synth of xorent is


begin
s <= a xor b;
end;

5.5.1.2 Dlai simple et unique


Le modle ci-dessus est un modle adapt la synthse: on dit ce quon veut, on laisse loutil
travailler. Mais aprs la synthse, il faudra simuler avec les dlais induits par la construction
de cette fonctionnalit avec les lments de bibliothque disponibles. Pour cela deux
solutions, la mauvaise consiste rditer le texte et mettre en dur une clause after
dedans, avec le temps observ aprs synthse. Cest une mauvaise solution car elle implique
une recompilation, donc la disponibilit du source et aussi elle ne peut pas tre automatise !

architecture post_synth of xorent is


begin
s <= a xor b after 3.2 ns;
end;

5.5.1.3 Dlai dpendant des valeurs proposes


Notons ici que, si le xor est implment base de
portes plus lmentaires, le dlai entre les entres et la
sortie dpend non seulement du chemin lectrique

Modlisation de Bibliothques - VITAL


-43-
crire & Comprendre VHDL & AMS J Rouillard 2008

mais des valeurs proposes ce moment l. Le lecteur pourra vrifier sur le schma ci-contre
qui implmente le xor base de portes nand- en imaginant un dlai associ chaque porte,
que si on passe de la configuration 01 00, la premire porte ne change pas d'tat et donc
seules deux portes intervenant dans le dlai sont sur le chemin entre l'entre qui bouge et la
sortie. Par contre, le passage de 10 11 fait intervenir trois portes dans le chemin. Une bonne
modlisation nous conduirait crire une affectation complique dpendant des valeurs, ou,
plus lisible, un processus:

process (a,b)
Variable rsultat: bit;
begin
rsultat:=a xor b;
if (a = '0') or ( b='0' )
then s <= rsultat after 2 ns;
else s <= rsultat after 3 ns;
end if;
end process;

5.5.1.4 Dlais dpendant des sens de variation

De mme que les dlais peuvent dpendre des valeurs proposes, ils peuvent aussi dpendre
du sens du front: dans une logique " drain ouvert", le passage zro se fait par un transistor
"fort" alors que le passage un se fait par une rsistance. La capacit attaque tant la mme
dans les deux cas, les dlais seront donc diffrents selon le sens de variation de la sortie
considre. Tout ceci complique srieusement la question de l'injection des dlais dans un
modle fonctionnel, on voit ici que mme sur un exemple simplissime comme le xor, la
spcification des dlais est bien plus complexe que la spcification de la fonctionnalit.

5.5.1.5 Dlais gnriques


Indpendamment de la question des dlais dpendant des valeurs, une meilleure solution bien
adapte VHDL consiste passer par un argument gnrique: la compilation nest pas
ncessaire pour changer la valeur du gnrique, une simple configuration "de l'extrieur"
suffit fournir les valeurs manquantes. Nous reprenons ici lexemple simple du dlai unique.
On pourrait facilement passer plusieurs gnriques correspondant aux diffrents chemins,
mais on ne peut pas passer les conditions dcidant du choix de ces valeurs : elles devraient
tre codes en dur .
library IEEE; use IEEE.std_logic_1164.all;
entity xorent is
generic ( dlai : TIME := 3.2 ns);
port (a, b : in std_logic; s : out std_logic);
end xorent;

architecture simple_gnrique of xorent is


begin
s <= a xor b after dlai;
end;

5.5.2 Avec les conventions VITAL

Se pose maintenant la question dautomatiser le passage de cette valeur gnrique. Il est clair
que le nom du gnrique ne peut plus tre quelconque, il faut un moyen dassocier ce nom au
Modlisation de Bibliothques - VITAL
-44-
crire & Comprendre VHDL & AMS J Rouillard 2008

dlai qui nous intresse, une convention qui puisse tre reconnue par des outils automatiques.
La solution VITAL consiste donner largument gnrique un nom calcul qui contient
toutes les informations utiles. Le nom du port peut ainsi tre prfix et postfix par des
indications pertinentes, et spares par des blancs-souligns.

5.5.2.1 Fonctionnalit
Pour larchitecture de notre xor, nous allons appeler une des primitives de VITAL_primitives:
le XOR2 qui a le bon got dexister. On peut lire dans le paquetage 12.3.3 page 133 que
cette procdure est ainsi dclare :

procedure VitalXOR2 (
signal q : out std_ulogic;
signal a, b : in std_ulogic;
constant tpd_a_q : in VitalDelayType01 := VitalDefDelay01;
constant tpd_b_q : I in N VitalDelayType01 := VitalDefDelay01;
constant ResultMap : in VitalResultMapType := VitalDefaultResultMap );

5.5.2.2 Dlais dpendant des fronts


Remarquons que les dlais sont des VitalDelayType01 (voir 5.2 ci-dessus), cest dire des
tableaux de deux valeurs spcifiant un dlai diffrent par front (montant ou descendant). Nous
n'avons pas de dlai dpendant des valeurs d'entres, probablement parce que le xor modlis
est suppos ralis de faon native et pas avec la combinaison d'autre portes.

Ce type sera donc propag dans les arguments gnriques de notre entit :
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_timing.all;
use IEEE.VITAL_primitives.all;
entity xorent is
generic (
tpd_a_s : VitalDelayType01 ;
tpd_b_s : VitalDelayType01 );
port (a, b : in std_logic; s : out std_logic);
attribute VITAL_LEVEL0 of xorent: entity is TRUE;
end xorent ;

Nous allons donc invoquer la procdure du paquetage de primitives de manire concurrente


(voir 9.1.4 page 87) en lui passant les arguments attendus. Nous ignorons ici le dernier
argument, qui nous permettrait de changer les valeurs de sortie selon une table prdfinie, par
exemple transformer tous les 1 en H si nous voulions faire une porte avec sortie drain-
ouvert.
architecture vital1 of xorent is
attribute VITAL_LEVEL1 of vital1: architecture is TRUE;
begin

VitalXOR2 (
q => s,
a => a,
b => b,
tpd_a_q => tpd_a_s,
tpd_b_q => tpd_b_s
);

end;

Modlisation de Bibliothques - VITAL


-45-
crire & Comprendre VHDL & AMS J Rouillard 2008

5.5.2.3 Processus VITAL


Si maintenant nous voulons contrler plus finement les diffrents dlais, il nous faut crire un
processus VITAL. Ce processus contiendra, dans lordre :
Une section optionnelle sur les vrifications des contraintes de temps
Une section fonctionnalit
Une section optionnelle sur les dlais par chemin

library IEEE; use IEEE.std_logic_1164.all;


use IEEE.VITAL_timing. all ;
use IEEE.VITAL_primitives. all;
entity xorent is
generic (
tpd_a_s : VitalDelayType01 ;
tpd_b_s : VitalDelayType01 );
port (a, b : in std_logic; s : out std_logic);
attribute VITAL_LEVEL0 of xorent: entity is TRUE;
end xorent;

architecture vital1_bis of xorent is


attribute VITAL_LEVEL1 of vital1_bis: architecture is TRUE;

begin

VITAL_xor : process (a,b)

variable s_zd: std_ulogic :=U ;


- contient le rsultat avant intervention des dlais.
-- le type na pas besoin dtre rsolu (do le u de ulogic)
-- la convention usuelle est de prendre le nom de la sortie et de
-- postfixer par zd de zero-delay.

variable s_GlitchData : VitalGlitchDataType;


-- contient une variable ncessaire pour grer les glitchs, mme
-- si comme ici on ne sen sert pas.

begin

-- ceci est lunique instruction parlant de comportement.


-- on appelle une fonction prdfinie et optimise. On ne se sert
-- pas ici de son dernier argument qui permettrait de transformer
-- les valeurs de sortie par une table de conversion (par exemple
-- 1 en H pour reprsenter la technologie drain-ouvert)
s_zd := VitalXOR2 (
a => a,
b => b);

-- ici lappel la primitive VITAL qui va grer les dlais


-- on voit quelle prend en entre le signal zro dlai et
-- quelle affecte la vraie sortie s.
-- la chane de caractres est l uniquement pour les traces
-- et les messages la console.
-- On spcifie deux chemins possibles pour les dlais,
-- lun si a change, et lautre si b change. Les conditions
-- sont inhibes (toujours vraies).

Modlisation de Bibliothques - VITAL


-46-
crire & Comprendre VHDL & AMS J Rouillard 2008

VitalPathDelay01 (
OutSignal => s,
OutSignalName => s,
OutTemp => s_zd,
Paths => (
0 => (InPutChangeTime => aLAST_EVENT,
PathDelay => tpd_a_s,
PathCondition => TRUE ),
1 => (InPutChangeTime => bLAST_EVENT,
PathDelay => tpd_a_s,
PathCondition => TRUE)
),
GlitchData => s_GlitchData );
end process ;
end;

Faisons un zoom sur le champ PATH: si nous voulions que des dlais diffrents soient
associs des conditions dynamiques diffrentes, il suffirait dtendre les champs
lmentaires; pour reprendre lexemple du dlai qui change si lune des entres est zro
(voir 5.5.1.3 ci-dessus la solution sans VITAL) :

Paths => (
0 => (InPutChangeTime => aLAST_EVENT,
PathDelay => un_dlai_appropri,
PathCondition => (b=0) ),
1 => (InPutChangeTime => bLAST_EVENT,
PathDelay => un_dlai_appropri,
PathCondition => (a=0)),
2 => (InPutChangeTime => aLAST_EVENT,
PathDelay => un_dlai_appropri,
PathCondition => TRUE ),
3 => (InPutChangeTime => bLAST_EVENT,
PathDelay => un_dlai_appropri,
PathCondition => TRUE)
),

Les items du PATH sont valus dans lordre et cest le premier qui gagne. Cest pourquoi
les dernires conditions peuvent tre attaches TRUE, si les autres conditions sont valides
elles seront excutes avant et le dlai correspondant mis en uvre.

5.5.2.4 Etc
Nous pourrions complexifier cet exemple ad nauseam, en permettant de grer les glitchs, en
introduisant les dlais dus aux pistes de connexion, etc. Ce que nous avons voulu montrer ici,
cest que grce ces conventions de noms, un outil peut automatiquement forcer les bonnes
valeurs gnriques sur un modle, valeurs quil a extraites du rsultat de la synthse ; ceci
sera fait en gnral par des outils travaillant avec SDF (un standard de description de dlais,
voir note 7 page 39). Nous avons aussi voulu montrer que les conventions VITAL permettent
toutes sortes de spcifications de dlais collant au plus prs avec la ralit des circuits
reprsents.
Pour forcer les valeurs des gnriques l'excution, la dclaration de configuration permet de
faire cela entirement en VHDL, en utilisant une proprit ad-hoc : on peut craser une
valeur gnrique donne par une configuration, en utilisant une autre configuration par-
dessus . Ainsi les rsultats de la synthse, exacts, peuvent remplacer les valeurs estimes
avant la synthse, sans avoir toucher au code source ni le recompiler.

Modlisation de Bibliothques - VITAL


-47-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
6 Systme 5 MODLISATION DE BIBLIOTHQUES - VITAL
6
7 COMPORTEMENTAL
La modlisation systme permet de 8 SYNCHRONE
9 ASYNCHRONE
valider des ides, des protocoles ou des 10 SWITCH
architectures sans entrer dans les 11 ANALOGIQUE
12 RFRENCES
dtails de limplmentation. Elle utilise 13 INDEX
des types abstraits, des variables 14 TABLE DES FIGURES
15 BIBLIOGRAPHIE
partages, des gnrateurs alatoires et
ses rsultats peuvent tre simplement statistiques. Elle peut amener crire des fonctions de
rsolution. Pour illustrer cela, nous allons prendre lexemple de la gestion de collision sur un
cble de genre Ethernet, dite CSMA (Carrier Sense Multiple Access : accs multiple avec test
de la porteuse)

6.1 Illustration

Les transmetteurs se mettent n'importe o et les dlais ne


sont pas matriss, juste majors.

Le cble

Figure 18 Un rseau CSMA

En simplifiant beaucoup, nous allons considrer que le cble est un mdium commun N
transmetteurs. Chacun peut le prendre pour envoyer son message. Les messages, mme
s'ils portent une adresse de destination, peuvent tre lus par tout le monde, mais nous ne nous
intressons pas aux rcepteurs. Il est clair que, plus il y a de transmetteurs, moins il y a de
place pour les messages de chacun. Il est clair aussi que, chaque transmetteur ignorant les
autres, aucun protocole de diffusion ne peut viter les collisions. Voyons celui qui est le plus
couramment utilis :
1. Un transmetteur attend davoir un message transmettre
2. Il teste le cble pour savoir sil est libre.
Si non, il attend un temps convenu et recommence en 2
Si oui, il prend le cble, il va en 3 en envoyant son message
3. Si, pendant la transmission, le cble est en conflit
Il force un long conflit pour que tout le monde soit au courant indpendamment
des dlais de transmission
Il libre le cble
Il attend un temps alatoire avant de retourner en 2
4. Sinon, cest fini et retour en 1

Systme
-49-
crire & Comprendre VHDL & AMS J Rouillard 2008

Ceci appelle plusieurs commentaires :

en 3, pourquoi le cble peut-il tre en conflit alors que chaque transmetteur teste avant
de prendre la main? Simplement parce que deux, ou plus, transmetteurs peuvent en
mme temps ( un temps de transmission prs) dterminer que le cble est libre et en
mme temps le prendre. Et se retrouver en conflit.
Pourquoi forcer un conflit long, comme si on nen avait pas assez ? Parce que, vus les
dlais de transmission, un transmetteur peut rater le fait quil y a eu conflit et croire
son message correctement envoy alors quil a t en collision lautre bout. Il faut
forcer ltat de conflit plus longtemps que le plus long dlai de transmission.
Pourquoi attendre un temps alatoire aprs le conflit ? Parce que tous les transmetteurs
(en gnral 2) qui se retirent en mme temps doivent revenir des temps diffrents,
sinon un nouveau conflit est certain.

Quest-ce qui ne nous intresse pas ce niveau ? La nature du conflit, comment on le repre,
le contenu des trames des messages et leur codage. On veut rester aux notions de message,
collision, tat libre ou occup du cble.

6.2 Fonction de rsolution

Notre cble a trois tats logiques pertinents: libre, occup, en conflit. Nous allons faire un
type numr abstrait pour dtailler ces tats.

type type_cble is (libre, occup, conflit);

La fonction de rsolution a pour objet de dire quel est le vrai tat du cble quand
plusieurs valeurs sont proposes . Dans le jargon VHDL, les valeurs proposes sont dans
les pilotes (drivers), le vrai tat est la valeur relle (actual value). La fonction de rsolution
est capable de prendre un tableau de pilotes pour calculer la valeur relle.

Pour dfinir une fonction de rsolution, il nous faut un type capable de recevoir plusieurs
valeurs du mme type dont le nombre nest pas dit : ce sera un tableau non contraint.
type ad_hoc is array (integer range <>) of type_cble;

La fonction aura le profil suivant:


function resol (arg: ad_hoc) return type_cble ;

On voit queffectivement la fonction prend en entre un nombre quelconque de valeurs


possibles, et calcule une et une seule valeur relle.

Enfin il faut dclarer le sous-type du cble qui est le type initial contraint par la fonction de
rsolution:
subtype pour_cble is resol type_cble;

Systme
-50-
crire & Comprendre VHDL & AMS J Rouillard 2008

6.3 Gnrateurs pseudo-alatoires, variables partages

Pour tester en vraie grandeur notre systme, il nous faudra des dizaines ou des centaines
dinstances du mme transmetteur. Mais pour que la simulation veuille dire quelque chose, il
faut videmment que chaque transmetteur ait sa vie propre, il nous faut un germe de hasard
dans la simulation ; cela naurait aucun sens de lancer un systme o tous les transmetteurs
voudraient toujours faire la mme chose au mme instant.
Il y a dans le paquetage IEEE.MATH_REAL une procdure UNIFORM qui rend un nombre
rel distribu dans [0.0 1.0[ ; comme tous les gnrateurs pseudo-alatoires, elle a besoin
dune mmoire statique quelle utilise pour calculer ses squences, laquelle doit tre
initialise une valeur quelconque au dbut, cest la ou les graine(s) (seed). La procdure en
question a besoin de deux seeds.

En VHDL, les signaux ont une proprit qui ne nous convient pas ici : leur valeur ne change
que quand tous les processus sont sur un wait. Utiliser un signal pour cette mmoire statique
nous donnerait le mme nombre alatoire chaque appel entre deux wait. Il nous faut des
variables. Hlas, les variables normales de contexte squentiel ne peuvent tre dclares
que dans les processus (a ne va pas ici, on aura plusieurs processus et utiliser les variables de
lun empcherait dappeler la fonction UNIFORM avec ses seeds dans un autre) et dans les
sous-programmes, o elles ne sont pas statiques.
Il nous faut donc utiliser une possibilit sulfureuse du langage, ne jamais utiliser hors des
simulations indterministes : les variables partages. Dans ce cadre simple nous nirons pas
jusqu utiliser les types protgs, trait du langage relativement rcent10 et qui permet de
garantir latomicit de laccs la mmoire partage en garantissant quau plus un processus
(process) a accs la mmoire en question par exemple dans le cas, rare, o le simulateur est
effectivement multi-processeurs- . En effet, mme dans le cas dcole o deux processus
appelleraient en mme temps la fonction UNIFORM qui utilise ces seeds, le seul effet serait
davoir une erreur dans le calcul de la squence alatoire, ce qui nous importe peu.
On dclare donc les variables partages en zone concurrente.

shared variable seed1, seed2: positive := 3; -- nimporte quelle valeur

Ces variables seront utilises plus tard en inout par la procdure UNIFORM (de
IEEE.MATH_REAL) qui, chaque appel, met jour sa variable de sortie (mode out) laquelle
suivra cette fameuse squence alatoire.
procedure uniform(variable seed1, seed2 : inout positive ;x: out real);

Tout ceci nous donne la dclaration de paquetage que voici:

package pkg_rseau is

type type_cble is (libre, occup, conflit);


type ad_hoc is array (integer range <>) of type_cble;
function resol (arg: ad_hoc) return type_cble ;
subtype pour_cble is resol type_cble;

shared variable seed1, seed2: positive := 3;


-- pourquoi 3? Et pourquoi pas?

end pkg_rseau;

10
L'utilisation des types protgs serait obligatoire avec les implmentations de VHDL 2000.

Systme
-51-
crire & Comprendre VHDL & AMS J Rouillard 2008

Le code de la fonction de rsolution doit tre dans le corps de paquetage. Rappelons quil
sagit de faire quelque chose de pertinent lorsque le cble est attaqu par 0, 1, 2, ou plus,
transmetteurs.

Lalgorithme est simple : on parcourt tous les lments du tableau, et on se souvient dans
MEM de ltat prcdemment calcul. Si le cble est (encore) libre et quon a une demande
doccupation, MEM passe occup. Si le cble est occup et quil y a une seconde demande
doccupation, MEM passe conflit et cest fini, on sort de la boucle devenue inutile. Si le
cble est dj en conflit, on ne change rien et on sort aussi. la fin on rend MEM.
package body pkg_rseau is

function resol (arg: ad_hoc) return type_cble is


variable mem:type_cble:=libre;
begin
for i in arg'range loop
- on balaye toutes les contributions
case mem is
when libre => mem:=arg(i);
when occup => if arg(i)/=libre then
mem:=conflit;
exit;
end if;
when conflit => exit;
end case;
end loop;
return mem;
end function resol;

end pkg_rseau;

6.4 Protocole
Lentit du transmetteur va tre gnrique sur tous les paramtres que lon aura envie de faire
bouger pour valider notre systme: tous les temps dont nous avons parl plus haut; nous lui
passons aussi un numro qui permettra de lidentifier dans les messages console, chaque
transmetteur tant capable de faire un message sign.

Cette entit a un seul port : le cble de transmission.

use work.pkg_rseau.all;
entity transmetteur is

generic (mon_numro: integer;


temps_moyen_entre_tentatives,
temps_moyen_pour_ressai,
temps_moyen_d_une_trame,
temps_de_raction_pour_prendre_le_cble,
temps_d_attente_si_cble_occup,
temps_de_forage:time);

port (cble: inout pour_cble);

end entity transmetteur;

Systme
-52-
crire & Comprendre VHDL & AMS J Rouillard 2008

Nous voici maintenant dans le cur du problme. En fait il nous suffit de coder en VHDL les
tapes dtailles en 6.1 ci-dessus.
Cela ncessite une petite cuisine dont voici les secrets : Les conversions de types sont l pour
pouvoir utiliser un rel (le nombre alatoire) pour dfinir un temps. La multiplication par
1000 et la division par 1000 dans la mme expression parce que le passage par des entiers
tronque les rels, on garde donc lquivalent de 1000 positions discrtes possibles pour nos
temps alatoires. La multiplication par 2 (qui fait les 2000) parce que les temps spcifis sont
supposs tre des temps moyens, et que le nombre alatoire a, lui, une moyenne de 0.5.

Dans le code ci-aprs, des tiquettes sont mises en marge pour faire la correspondance avec
lalgorithme dcrit en 6.1 ci-dessus.

On se rappellera que lexpression wait until condition for temps a pour effet darrter
le processus jusqu ce que la condition soit vraie (il faut au moins un signal dedans sinon elle
nest jamais value) mais il y a un temps maximum au bout duquel le processus repartira,
condition vraie ou pas.
On voit aussi que, chaque fois quun nombre alatoire est invoqu, la procdure UNIFORM
est appele juste avant.

library ieee; use ieee.math_real.all;


architecture arch of transmetteur is
begin
mission: process
variable rnd: real;
begin
uniform(seed1, seed2, rnd);
wait for (integer(rnd*2000.0)*
1
temps_moyen_entre_tentatives)/1000;
loop
while cble /= libre loop
wait until cble=conflit
2 for temps_d_attente_si_cble_occup;
end loop;
wait for temps_de_raction_pour_prendre_le_cble;
cble <= occup;
uniform(seed1, seed2, rnd);
wait until cble=conflit for (integer(rnd*2000.0)*
temps_moyen_d_une_trame)/1000;
if cble=conflit then
report "conflit dans transmetteur numro "
3 & integer'image(mon_numro);
cble<=conflit;
wait for temps_de_forage;
cble<=libre;
uniform(seed1, seed2, rnd);
wait for (integer(rnd * 2000.0) *
temps_moyen_pour_ressai)/1000 ;
else
cble <= libre;
exit;
end if;
end loop;
end process mission;
end architecture arch;

Systme
-53-
crire & Comprendre VHDL & AMS J Rouillard 2008

6.5 Tester

Pour tester tout a, nous allons faire classiquement une bote noire (entit sans ports ni
gnriques),

entity rseau is
end entity rseau;

dont larchitecture instanciera des transmetteurs ad libitum. En changeant les valeurs des
constantes, voire en en donnant de diffrentes chaque transmetteur ce qui nest pas fait ici,
on pourra observer quand le cble est satur par les collisions, mesure qui n'est pratiquement
accessible qu lexprience.

Larchitecture contient la dclaration du cble Ethernet. Nous allons nous passer de la


dclaration de composant avec configuration, et utiliser linstanciation directe ce qui est
lgitime dans un modle de test.
use work.pkg_rseau.all;
architecture arch of rseau is
signal cble: pour_cble;

begin
G: for i in 1 to 100 generate -- 100 transmetteurs
T: entity work.transmetteur(arch)
generic map (i,
temps_moyen_entre_tentatives => 500 us,
temps_moyen_pour_ressai => 500 us,
temps_moyen_d_une_trame => 10 us,
temps_de_raction_pour_prendre_le_cble => 1us,
temps_d_attente_si_cble_occup => 50 us,
temps_de_forage => 30 us)
port map (cble);
end generate;

assert cble /=conflit report "cble en conflit" severity note;

end architecture arch;

Les messages console seront de deux sortes : chaque transmetteur contient une instruction
report qui marque le conflit et dit son numro. Par surcrot, larchitecture de test contient une
assertion.

On peut maintenant simuler le modle, et rassembler dans des variables (partages) ou des
fichiers des informations statistiques en lanant la simulation pendant un temps qui peut tre
trs long. On saperoit que le dbut de la simulation contient normment de collisions entre
de nombreux transmetteurs, en effet dans ce modle simple les transmetteurs ne se
dsynchronisent quau bout dun certain temps. ce moment l, lessentiel des conflits
concernera 2 transmetteurs. Si lon exploite les traces, il faudra tenir compte de cette
simplification, ou alors changer un peu lalgorithme pour quil fonctionne correctement ds le
dbut.

Lobjectif est videmment de dterminer pour quels paramtres on a le risque dune


coagulation gnrale du rseau, chaque transmetteur nobservant le protocole que pour mieux

Systme
-54-
crire & Comprendre VHDL & AMS J Rouillard 2008

revenir contribuer lembouteillage. Dans les vrais systmes, pour viter la coagulation
du systme, on sen tire en faisant patienter les utilisateurs finaux et en augmentant les dlais
de ressais de ltape 3 chaque fois quil y a chec. Ce qui ne fait que repousser lattente aux
clients du systme, mais il faut bien que quelquun attende sil y a trop de candidats.

Une fois le concept valid, le concepteur intrpide pourra attaquer le niveau logique, o les
messages sont des trames codes en NRZ (voir 9.2.2 page 88) avec des drapeaux HDLC et
une insertion de zros (7.2.2 page 67), o le conflit se repre au fait que la valeur moyenne
du signal nest pas 0.5 (comptage sur un temps donn) et o le forage de conflit est
simplement la mise 0 du cble pendant un temps suffisamment long, lequel fonctionne selon
le mode collecteur ouvert.

Systme
-55-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
7 Comportemental 6 SYSTME
7
7.1 Comportemental non 8
9
SYNCHRONE
ASYNCHRONE
synthtisable 10 SWITCH
11 ANALOGIQUE
Il est frquent davoir crire des 12 RFRENCES
blocs quon veut simuler mais ne pas 13 INDEX
14 TABLE DES FIGURES
synthtiser. Cest le cas gnral pour 15 BIBLIOGRAPHIE
les structures rgulires, dont on veut
une instance dans le modle pour faire marcher le reste, mais qui, in fine, seront des blocs
prexistants fournis par le fondeur.

7.1.1 RAM
Nous voulons une RAM classique qui comporte un ordre de lecture/criture : rw pour
read/write, et un ordre de validation : cs pour chip select. Nous allons rendre cette RAM
gnrique sur le nombre de mots et le nombre de bits par mots.

library ieee;
use ieee.std_logic_1164.all;
entity RAM is
generic (nb_bits_adresse: positive:=16;
nb_bits_donnes: positive := 8);
port(
ADDRESS: in STD_LOGIC_VECTOR(nb_bits_adresse-1 downto 0);
DATA: inout STD_LOGIC_VECTOR(nb_bits_donnes-1 downto 0);
rw,cs: in STD_LOGIC
);
end entity RAM;

L'architecture est simple: sur le front montant de cs, on teste l'tat de rw (qui, juste test, ne
dclenche donc pas le processus) pour, selon le cas, mettre le contenu du bus d'entre dans la
mmoire, ou l'inverse. Quand cs retombe, le bus est mis "Z" ce qui signifie que la RAM le
"lche". On utilise les fonctions de conversion du paquetage std_logic_arith, que l'on trouvera
en 12.2.2 page 123.
library ieee; use ieee.std_logic_arith.all; -- pour conv_integer
architecture BEH of RAM is
begin
process (cs)
type RAM_MEM is array(0 to 2**nb_bits_adresse-1)
of STD_LOGIC_VECTOR (nb_bits_donnes-1 downto 0);
variable MEM: RAM_MEM:=(others=>(others=>'0'));
begin
if cs='1' then
if rw='1' then criture
MEM(conv_integer(unsigned((ADDRESS)))):=DATA;
else -- lecture
DATA<=MEM(conv_integer(unsigned((ADDRESS))));
end if;
else
DATA<=(others=>'Z');
end if;
end process;
end architecture BEH;

Comportemental
-57-
crire & Comprendre VHDL & AMS J Rouillard 2008

7.1.2 Grande RAM : optimisation


Si la taille du tableau est un problme, on peut le compacter en le transformant en tableau de
bit_vectors. En effet le contenu dune RAM est entirement compos de 0 et de 1 ! Pas de Z
ou de L. Le prix payer est la performance : il faudra deux conversions de type pour venir et
aller au bus : to_stdlogicvector et to_bitvector, fonctions qui sont dans le paquetage
STD_LOGIC_1164.

Ci-dessous les portions de code changer : la dclaration du type, et lutilisation de la


variable du type.
type RAM_MEM is array(0 to 2**nb_bits_adresse-1)
of BIT_VECTOR (nb_bits_donnes downto 0);

if rw='1' then criture


MEM(conv_integer(unsigned((ADDRESS)))):= to_bitvector(DATA);
else -- lecture
DATA<= to_stdlogicvector(MEM(conv_integer(unsigned((ADDRESS)))));
end if;

7.1.3 Trs grande RAM : liste chane


La question est ici que lon veut modliser une RAM trs, trs grande, qui pulvriserait la
mmoire du simulateur si lon devait adopter la
solution tableau . On peut de mme modliser Un type rcursif en VHDL : liste chane
un disque dur ou toute autre systme ayant une type cellule;
grande quantit de mmoire adressable. type pointeur is access cellule;
Il ny a pas de miracle toutefois : cela nest type cellule is record
champs pertinents
possible que parce que lon va nutiliser quune suivant: pointeur;
trs petite partie de la mmoire adressable. Au lieu end record;
de rserver une fois pour toutes un tableau
immense, on mettra en liste des granules contenant la fois ladresse de lobjet, et sa valeur,
et ladressage consistera aller courir sur cette liste pour trouver la cellule qui porte la bonne
adresse.

Au final, le paradoxe est queffectivement on a un espace dadressage immense, mais on peut


stocker moins dobjets que dans les solutions prcdentes, et avec beaucoup moins
defficacit. En effet chaque valeur porte, en sus, son adresse et le pointeur vers la suivante.

library ieee;
use ieee.std_logic_arith.all;
architecture IMMENSE of RAM is
begin
process (cs)
--------------- dclaration de type rcursif en VHDL
type cellule;
type pointeur is access cellule;
type cellule is record
adresse: integer;
donne: bit_vector(nb_bits_donnes-1 downto 0);
suivant: pointeur;
end record;
---------------

Comportemental
-58-
crire & Comprendre VHDL & AMS J Rouillard 2008

variable MEM, UTIL: pointeur;


variable adresse_integer: integer;
-- si on voulait plus de (32 ?) bits dadresse, il suffirait
-- de ne pas convertir en entier et de stocker ladresse
-- sous forme de tableau de bits. a irait encore moins vite.
begin
adresse_integer:=conv_integer(unsigned((ADDRESS)));

--------------- traitement de la liste; trois cas de figure


if MEM = null then
-- liste vide, on cre une cellule et ce sera la bonne.
MEM := new cellule;
MEM.adresse:=adresse_integer;
UTIL:=MEM; -- on a le pointeur vers la zone lire ou crire
MEM.suivant:=null;
elsif MEM.adresse=adresse_integer then
-- liste non vide, le premier lment est le bon.
UTIL := MEM;
else
-- MEM n'est pas nul et le premier lment n'est pas le bon.
UTIL:=MEM;
while UTIL/=null loop on parcourt la liste pour chercher ladresse
if UTIL.adresse=adresse_integer then
exit; -- on sort de la boucle, UTIL est la bonne valeur
end if;
UTIL:=UTIL.suivant; -- on na pas trouv, on va tester le suivant
end loop;
if UTIL=null then -- on est arriv au bout de la liste sans trouver
UTIL:=new cellule; -- alors il faut crer une nouvelle cellule
UTIL.adresse:=adresse_integer;
UTIL.suivant:=MEM; -- on lattache en tte, pourquoi pas.
MEM:=UTIL; -- on a mis un nouveau maillon en tte de liste.
end if;
-- ici UTIL vise forcment la case qui nous intresse, et qui existe,
-- pour laquelle le champ adresse est correct.
end if;

-- traitement classique de lecture/criture:


if cs='1' then
if rw='1' then -- ecriture
UTIL.donne:=to_bitvector(DATA);
else -- lecture
DATA<=to_stdlogicvector(UTIL.donne);
end if;
else
DATA<=(others=>'Z');
end if;
end process;
end architecture IMMENSE;

Ce traitement par liste peut videmment tre compliqu loisir si la performance est un
problme : on pourrait trier la liste (voir les algorithmes dinsertion dans une liste dj trie) ;
on pourrait aussi grer N listes qui seraient donc N fois plus courtes, N tant calcul partir
de ladresse dsigne et servant indexer un tableau de N pointeurs dbuts de listes. Ceci est
de lalgorithmique et sort du cadre de ce manuel.

7.1.4 ROM
premire vue, la ROM pourrait sembler tre plus simple que la RAM, une sorte de RAM
sans commande dcriture. En fait, cela est exact pour ce qui est de la partie fonctionnelle,

Comportemental
-59-
crire & Comprendre VHDL & AMS J Rouillard 2008

aprs le temps 0. Mais hlas la ROM pose la question de son initialisation. Il y a plusieurs
faons de procder, nous allons voir les deux extrmes : dans le code, hors du code.

7.1.4.1 Rom initialisation par agrgat


Dans le code, VHDL fournit la possibilit dcrire des constantes de types structurs sous la
forme dagrgats. Cest trs simple mais hlas une mauvaise ide en gnral. Si la ROM
sinitialise en VHDL, il devient impossible de changer son contenu sans recompiler du
VHDL : cela suppose quon ne peut pas donner le modle quelquun qui na pas le moyen
de recompiler en contexte. Et il faut donner le code source, on ne peut pas se contenter de
donner du binaire.

Nanmoins, dans le cas dusage interne, on pourra trouver une utilit cette mthode. Voici
un exemple non gnrique (on aurait du mal crire un agrgat gnrique).

library ieee;
use ieee.std_logic_1164.all;
entity ROM is
port(
ADDRESS: in STD_LOGIC_VECTOR(15 downto 0);
DATA: inout STD_LOGIC_VECTOR(15 downto 0);
cs: in STD_LOGIC
);
end entity ROM;

library ieee;
use ieee.numeric_std.all; -- pour les fonctions to_integer
architecture BEH of ROM is
begin
process (cs)
type ROM_MEM is array(0 to 2**15)
of STD_LOGIC_VECTOR (15 downto 0);
variable MEM: ROM_MEM:=
(0 => "1110001110001111",11
1 => "0000000000000000",
2 | 3 => "1111000011110000", -- utilisation de la conjonction
4 to 10 => "1111111111111111", -- utilisation de l'tendue
others=> "0000000000000000"); -- utilisation de la clause others
begin
if cs='1' then
DATA<=MEM(to_integer(unsigned((ADDRESS))));
else
DATA<=(others=>'Z');
end if;
end process;
end architecture BEH;

7.1.4.2 Rom initialisation par fichier


Supposons maintenant que nous voulons lire les donnes de la ROM dans un fichier: voil un
algorithme qui doit se drouler une fois et une seule, avant le temps 0 de la simulation. Le
processus dcrivant la ROM aura donc, en premier lieu, une phase de lecture de fichier, sans

11
On peut ben sr utiliser la notation hexadcimale: x"ABC0" ou octale: o"74523", on peut aussi arer les
constantes avec des blancs-souligns, dans toutes les bases.

Comportemental
-60-
crire & Comprendre VHDL & AMS J Rouillard 2008

wait de faon s'excuter au dbut de la simulation. Puis il y aura une boucle infinie,
contenant le wait on cs qui rglera le fonctionnement de la ROM au moment de simuler.

7.1.4.2.1 Fichier simple binaire


Voyons dabord le cas simplissime du fichier contenant des 0 et des 1 en rangs doignon, avec
une ligne par mot. Ce cas est simple traiter mais lcriture du fichier sera une vraie punition
(aucun outil ne le fait automatiquement, et cest particulirement illisible).

La dclaration dentit est gnrique, et contient le nom du fichier lire.


library ieee;
use ieee.std_logic_1164.all;
entity ROM is
generic (nb_bits_adresse: positive:=16;
nb_bits_donnes: positive := 8;
init_file: STRING:="C:\bla.txt");
port( ADDRESS: in STD_LOGIC_VECTOR(nb_bits_adresse-1 downto 0);
DATA: out STD_LOGIC_VECTOR(nb_bits_donnes-1 downto 0) ;
cs: in STD_LOGIC);
end entity ROM;

use std.textio.all;
library ieee;
use ieee.std_logic_textio.all;
use ieee.numeric_std.all;
architecture BEH of ROM is
begin
process
type ROM_MEM is array(2**nb_bits_adresse -1 downto 0)
of STD_LOGIC_VECTOR (nb_bits_donnes-1 downto 0);
file data_file:text open read_mode is init_file;
variable MEM: ROM_MEM;
variable L:line;
variable index:integer:=-1;
begin

while not endfile(data_file) loop


index:=index+1;
Code sans wait readline(data_file,L); -- de textio
read(L, MEM(index)); -- de std_logic_textio
end loop;

-- dbut de la boucle infinie qui contient le wait


loop
Boucle wait on cs;
infinie if cs='1' then
avec wait DATA<=MEM(to_integer(unsigned((ADDRESS))));
else
DATA<=(others=>'Z');
end if;
end loop;

end process;
end architecture BEH;

Comportemental
-61-
crire & Comprendre VHDL & AMS J Rouillard 2008

Le format du fichier texte est donc aussi simple que rustique : une ligne de 0 et de 1, en
quantit ncessaire et suffisante, par mot de la mmoire. On peut compliquer loisir le format
dentre pour, par exemple, le rendre compatible avec un standard (voir ci-dessous 7.1.4.2.2)
ou lui faire accepter des commentaires.

On utilise ici le paquetage STD_LOGIC_TEXTIO qui nest pas standard (il le sera avec les
implmentations VHDL 2008) mais trs largement diffus en code source (12.1.3 page 118).
Il nous permet ici de lire une chane de caractres sous la forme de std_logic_vector. Les
prfixes textio et std_logic_textio ont t laisss dans le code pour illustrer cela, bien quils
soient inutiles par la grce des clauses use qui sont en dbut de larchitecture.

7.1.4.2.2 Lecture d'un fichier au format INTEL

Il s'agit ici d'un exercice consistant lire le format INTEL qui est largement utilis, et qui va
nous permettre de survoler quelques constructions algorithmiques ainsi que l'utilisation des
instructions assert:

 Le format en question est form de lignes commenant toujours par ":".


 Il y a ensuite un octet (deux caractres) qui donne la longueur de la donne utile (le
nombre d'octets mettre dans la ROM depuis cette ligne, maximum 256 donc),
 puis l'adresse de dbut sur deux octets (quatre caractres, maximum 65536 en version non
tendue),
 puis un indicateur disant si la ligne est une ligne de donnes (00), un marqueur de fin de
fichier (01) ou une ligne avec adresse tendue (02) pour aller au-del de 2 octets d'adresse
(non trait ici), et d'autres extensions que nous ne traiterons pas non plus ici.
 les donnes ventuelles
 et enfin un checksum calcul en faisant le complment 256 de la somme modulo 256 des
octets qui sont passs sauf le premier (le ":").

Voici un exemple d'un tel fichier:

:10000000C29FD29EE587D2E7F587758920758DFD61
:10001000758BFDD28E7530617531627532637533C3
:10002000007830E6600EA2D092E7F599083099FD8D
:06003000C29980EF80FE82
:00000001FF
Figure 19 Exemple de fichier au format INTEL

La premire ligne dcoupe comme dit ci-dessus


: 10 0000 00 C29FD29EE587D2E7F587758920758DFD 61

nous dit qu'il y a 16 octets ("10" hexa), qu'on va crire l'adresse 0 et suivantes ("0000"),
que c'est une ligne de donnes (le marqueur "00"), que les donnes sont C2 9F D2 9E E5 87
D2 E7 F5 87 75 89 20 75 8D FD, et qu'il manque 61 pour que la somme de tous les octets
fasse 256.

Nous ne nous intresserons donc pas dans ce petit exemple aux adresses tendues et autres
extensions qui n'ont rien de difficile mais surchargeraient ce manuel:

Comportemental
-62-
crire & Comprendre VHDL & AMS J Rouillard 2008

Afin d'isoler la question, faisons un paquetage ddi la lecture des formats INTEL et que
nous appellerons pour_INTEL. La procdure devra charger un tableau dcoup en mots de 8,
16, 32 ou plus bits alors que le format Intel spcifie des octets et des adresses doctets. Sil se
trouve que le tableau-mmoire que lon veut charger est constitu de mots de 8 bits, le code
peut tre lagu de bien des assertions (modulos) et de quelques calculs. Cela tant, ce code
est assez gnral pour pouvoir charger nimporte quel type de tableau ; il faut quand mme
que le mot-mmoire soit un multiple de 8 bits !

Comme VHDL ne connat pas les paquetages gnriques (ce qui changera avec l'arrive des
implmentations de VHDL 2008, voir 1.7.1.9 page 11), le paramtrage se fera par une
constante unique dclare dans la spcification. Le changement de cette constante implique
donc une recompilation.

library ieee;
use ieee.std_logic_1164.all;
package pour_INTEL is
constant largeur_mot : integer:=32;
-- cest ici que lon decide de la taille du mot,
-- tout le reste est calcul
type ROM_MEM is array(integer range <>)
of STD_LOGIC_VECTOR (largeur_mot-1 downto 0);
procedure lire_intel(ou: out ROM_MEM; fichier: in string) ;
end;

Le corps de ce paquetage contient uniquement le corps de la procdure dclare et quelques


fonctions de conversion utiles :

library ieee;
use ieee.std_logic_arith.all;
use std.textio.all;
package body pour_INTEL is

--================================================================
--Trois fonctions de service convertissant les caractres hexa
--en valeurs entires, dans les deux sens, et convertissant un entier
--en std_logic_vector de 8 bits

function to_int(c:character) return integer is


-- convertir un caractre hexa en valeur entire
begin
if (c>='0') and (c<='9') then
return character'pos(c)-character'pos('0');
elsif (c>='A') and (c<='F') then
return character'pos(c)-character'pos('A') +10;
elsif (c>='a') and (c<='f') then
return character'pos(c)-character'pos('a') +10;
else report "erreur valeur hexa incorrecte:"& c severity ERROR;
return 0;
end if;
end;

function to_char(i:integer) return character is


-- convertir un entier en sa reprsentation "caractre hexa"
begin
if (i>=0) and (i<=9) then
return character'val(i+character'pos('0'));

Comportemental
-63-
crire & Comprendre VHDL & AMS J Rouillard 2008

elsif i>=10 and i<=15 then


return character'val(i-10+character'pos('A'));
else report "erreur valeur hexa incorrecte:"& integer'image(i)
severity ERROR;
return 'X';
end if;
end;

function to_std8 (arg: integer) return std_logic_vector is


-- convertit un entier en STD_LOGIC_VECTOR(7 downto 0)
-- le choix est daccepter un entier et de vrifier ensuite
-- explicitement ses bornes (0-255), on aurait pu aussi
-- dclarer un sous-type dentier et laisser VHDL vrifier
-- les bornes.

variable res : std_logic_vector(7 downto 0):=(others=>'0');


variable arg1, ix : integer :=0;
begin
assert (arg>=0) and (arg<256)
report "conversion impossible " & integer'image(arg)
severity error;
arg1:=arg;
while arg1>0 loop
if arg1 mod 2 =0
then res(ix):='0';
else res(ix):='1';
end if;
arg1 := arg1/2;
ix:=ix+1;
end loop;
return res;
end;

--===================================================================
procedure lire_intel(ou: out ROM_MEM; fichier: in string) is
-- lit fichier au format Intel dans OU .

file data_file:text open read_mode is fichier;


variable L:line;
variable num_ligne,nb_bytes,adresse_debut,sum,mot,
nb_oct_par_mot, taille_mem:integer;
variable tmp_res: std_logic_vector(OU(1)'LENGTH -1 downto 0);
-- tmp_res est un vecteur de la taille du mot de la mmoire.

begin
num_ligne:=0;
taille_mem:=OU'LENGTH;
-- la taille du tableau pass en argument.

assert OU(1)'LENGTH mod 8 = 0


report "la taille du mot mmoire n'est pas un multiple de 8"
severity ERROR;

nb_oct_par_mot:=OU(1)'LENGTH/8;
-- OU(1)'LENGTH est la taille du mot du tableau pass
-- en argument, gale largeur_mot . En divisant par 8
-- on a le nombre doctets mot.

report "taille mmoire:" & integer'image(taille_mem)


& " nb octets par mot:" & integer'image(nb_oct_par_mot)
severity note ; -- un simple message informatif

Comportemental
-64-
crire & Comprendre VHDL & AMS J Rouillard 2008

while not endfile(data_file) loop -- on lit le fichier ligne ligne


num_ligne:=num_ligne+1;

readline(data_file,L); -- de textio

report L.all severity note; -- on fait lcho titre dinformation.

-- le premier caractre doit tre un ':'


assert L(1)=':'
report
"fichier ROM pas conforme au standard INTEL; pas de ':' ligne "
&integer'image(num_ligne)
severity ERROR;

-- Les deuxime et troisime caractres contiennent


-- en hexa le nombre d'octets, qui doit tre ici multiple
-- du nombre doctets par mot mmoire. Cest seulement un
-- warning, les derniers octets dune ligne seront ignors sils
-- sont en nombre insuffisant. Lautre branche de lalternative
-- est de bourrer la ligne en question dans le fichier avec des
-- 00 en nombre suffisant devant le checksum, ou alors de
-- modifier le code pour allonger L avec des 00 , toujours
-- devant loctet de checksum.
nb_bytes := 16*to_int(L(2)) + to_int(L(3));
assert (nb_bytes mod nb_oct_par_mot) =0
report "Nombre d'octets pas conforme avec la taille du mot"
& " dans le fichier ROM ligne "
& integer'image(num_ligne)&", il y aura troncature"
severity WARNING;

-- Les caractres 4 7 contiennent l'adresse de


-- dbut de la squence en hexa. On vrifie que ladresse spcifie
-- (qui vise des octets dans le format Intel) est un multiple du
-- nombre doctets par mot-mmoire. Ensuite on divise ladresse
-- par ce nombre.
adresse_debut:= 4096*to_int(L(4))+
256*to_int(L(5))+
16*to_int(L(6))+
to_int(L(7));
assert (adresse_debut mod nb_oct_par_mot) =0
report
"Adresse de dbut pas conforme avec la taille du mot "
&" dans le fichier ROM ligne "&integer'image(num_ligne)
severity ERROR;
-- nos adresses visent des mots de X x 8 bits,
-- le standard INTEL des mots de 8 bits, il faut diviser.
adresse_debut := adresse_debut/nb_oct_par_mot;

-- le huitime caractre doit tre un '0'


assert L(8)='0'
report "Ligne fichier ROM pas conforme au standard INTEL; "
&"pas de '0' en position 8 ligne "
&integer'image(num_ligne)
severity ERROR;

Comportemental
-65-
crire & Comprendre VHDL & AMS J Rouillard 2008

-- le neuvime caractre nous dit de quel type est


-- la ligne doctets
case L(9) is
when '0' => -- ligne de donnes

-- la boucle extrieure parcourt le tableau mmoire mot par mot


for iy in 0 to nb_bytes/nb_oct_par_mot-1 loop

-- la boucle intrieure parcourt la ligne octet par octet.


for ix in 0 to nb_oct_par_mot-1 loop
mot := 16 * to_int(L(10+2*iy*nb_oct_par_mot+2*ix));
mot := mot+ to_int(L(10+2*iy*nb_oct_par_mot+2*ix+1));
-- mot contient la valeur de loctet courant
-- on va le transformer en vecteur de 8 bits et laffecter
-- la tranche idoine du mot tampon
tmp_res(tmp_res'high - 8*ix downto tmp_res'high - 8*ix -7)
:= to_std8(mot);
end loop;
-- mot tampon est affect ladresse concerne du
-- tableau mmoire.
ou(adresse_debut+iy) := tmp_res;
-- les variables mot et tmp_res ne sont l que pour
-- la lisibilit qui en a bien besoin. Tout ce code pourrait
-- tre remplac par
-- for iy in 0 to nb_bytes/nb_oct_par_mot-1 loop
-- for ix in 0 to nb_oct_par_mot-1 loop
-- ou(adresse_debut+iy)
-- (tmp_res'high-8*ix downto tmp_res'high-8*ix-7)
-- := to_std8(16* to_int(L(10+2*iy*nb_oct_par_mot+2*ix))
-- + to_int(L(10+2*iy*nb_oct_par_mot+2*ix+1)));
-- end loop;
-- end loop;
end loop;

-- Vrification du checksum: la somme de tous les octets


-- y compris les premiers et le checksum doit faire
-- 0 modulo 256.
sum:=0;
for ix in 2 to 2*(nb_bytes)+9 loop
if ((ix+2) mod 2)=0 then
sum:= (sum+(16*to_int(L(ix)))) mod 256;
else
sum:= (sum+to_int(L(ix))) mod 256;
end if;
end loop;
-- sum est la somme mod 256 de tous les octets sauf le dernier.
sum:=256-sum; -- ceci doit donc tre la valeur du dernier.

assert 16*to_int(L(2*nb_bytes+10))
+to_int(L(2*nb_bytes+11))
= sum
report "Checksum faux ligne "&integer'image(num_ligne) &
" trouv "&L(2*nb_bytes+10 to 2*nb_bytes+11) &
" attendu " & to_char(sum/16)& to_char(sum mod 16)
severity ERROR;

Comportemental
-66-
crire & Comprendre VHDL & AMS J Rouillard 2008

when '1' => -- fin de fichier


assert (nb_bytes=0)
report "Fin de fichier avec longueur non nulle ligne "
&integer'image(num_ligne)
severity ERROR;
assert L(10 to 11) ="FF" le checksum est forcment FF.
report "Erreur checksum sur fin de fichier ligne "
&integer'image(num_ligne)
severity ERROR;
exit;

when others => -- extension non gre ici


report
"type de ligne non gr dans le fichier ROM, ligne "
&integer'image(num_ligne)
severity ERROR;
exit;
end case;
end loop;
end;
end;
--=======================================================================

L'entit sera non gnrique, pour simplifier un peu le code:

library ieee;
use ieee.std_logic_1164.all;
entity ROM is
generic (
init_file: STRING:="intel.txt"); -- le nom du fichier
port(
ADDRESS: in STD_LOGIC_VECTOR(15 downto 0);
DATA: inout STD_LOGIC_VECTOR(WORK.pour_INTEL.largeur_mot downto 0);
CS: in STD_LOGIC
);
end entity ROM;

L'architecture appelle simplement la procdure du paquetage:


library ieee;
use ieee.std_logic_arith.all;
use work.pour_INTEL.all;
architecture BEH of ROM is
begin
process
variable MEM: ROM_MEM(0 to 4095);
begin

lire_intel(MEM, init_file); -- lecture du fichier au format INTEL

--============================================
-- dbut de la boucle infinie qui contient le wait
loop
wait on cs;
if cs='1' then
DATA<=MEM(conv_integer(unsigned((ADDRESS))));
else
DATA<=(others=>'Z');
end if;

Comportemental
-67-
crire & Comprendre VHDL & AMS J Rouillard 2008

end loop;
end process;
end architecture BEH;

7.2 Comportemental synthtisable


7.2.1 Conversion parallle srie

On veut un systme qui lon entre un mot de N bits en parallle (disons 8) et qui sorte, au
rythme dune horloge, ces bits un par un.
Lentit aura donc : une entre sur 8 bits et sa validation sur front, et une sortie sur un bit.
Une entre dhorloge et une de reset donc on a toujours besoin. Un signal occup indique ct
parallle que la conversion nest pas termine.
library ieee; use ieee.std_logic_1164.all;
entity srialisateur is
port (entre: std_logic_vector(7 downto 0);
reset: in std_logic;
validation: std_logic;
horloge: std_logic;
occup: out std_logic;
sortie: out std_logic);
end entity srialisateur;

La ralisation se fera en stockant lentre dans un registre interne (un signal) et en lexplorant
bit bit avec un indice de boucle (i) Cest un cas de figure synthtisable parce que les bornes
de la boucle sont des constantes statiques, ce serait plus dlicat sil sagissait de variables.

reset horloge

i sortie

8
validation

occup

Figure 20 Srialisateur

On notera que le signal occup compte sur la bonne discipline du ct gauche : si lon se
mle de recharger le registre avant que la conversion ne soit termine, laffaire recommence
zro. On pourrait rendre le bloc aveugle un nouveau rechargement pendant ce temps,
cest une affaire de choix.

Comportemental
-68-
crire & Comprendre VHDL & AMS J Rouillard 2008

architecture beh of srialisateur is


signal registre: std_logic_vector(7 downto 0);
begin
process (horloge, validation, reset)
variable i : integer := 0;
variable trame_en_cours : boolean := false;
begin
if reset='1' then
sortie<='Z';
occup<='0';
i := 0;
trame_en_cours := false;
elsif validation'event and validation='1' then
registre <= entre;
occup <= '1';
i:=0;
trame_en_cours := true;
elsif horloge'event and horloge = '1' and trame_en_cours then
sortie<=registre(i);
i:=i+1;
if i=8 then
i := 0;
occup <= '0';
trame_en_cours := false;
end if;
end if;
end process;
end architecture beh;

7.2.2 Drapeau HDLC


Quand les donnes se promnent par paquets et en srie sur un cble, il est important de
pouvoir signaler le dbut et la fin d'une trame. Une convention classique est ce qu'on appelle
le drapeau HDLC (High-Level Data Link Control), la squence 01111110, c'est--dire six un
encadrs par deux zros.
La question qui se pose alors, c'est que les donnes encadres par ces drapeaux peuvent
contenir, par hasard, la fameuse squence. La solution est simple; entre deux drapeaux, on
insre un zro chaque fois qu'il y a une squence de 5 uns conscutifs.
Ct rception, chaque fois qu'il y a cinq uns conscutifs, soit le bit suivant est un 0 et il faut
l'enlever, soit c'est un 1 et alors on a un dbut de drapeau, le bit suivant est 0 (ou 1 pour
d'autres situations non documentes ici.). Du coup il y a plus de bits qui passent sur le canal
de transmission que de bits d'information, ce qui suppose une synchronisation.

00110110011111011110101011111111100100101111
Message initial
Message transmis
01111110 001101100111110011110101011111011110010010111101111110

00110110011111011110101011111111100100101111
Message reu aprs suppression des
zros et avec indicateurs de drapeaux
Figure 21 Insertion et destruction de zros

Comportemental
-69-
crire & Comprendre VHDL & AMS J Rouillard 2008

Pour insrer les zros, nous allons prendre une approche qui ne demande aucune mmoire
interne; cela va se payer par le fait que cest le bloc insertion qui va demander ses donnes
et qui, donc, ne demandera rien quand il insrera un zro. Autrement dit, les bits entreront
dune faon non rgulire, la demande. Lautre branche de lalternative serait de mettre une
mmoire tampon dans le bloc.

library ieee; use ieee.std_logic_1164.all;


entity insertion_zros is
port(entre: in std_logic;
horloge: in std_logic;
entre_suivante: out std_logic;
sortie: out std_logic);
end entity insertion_zros;

Larchitecture contient un processus sensible sur lhorloge: comme souvent, on va utiliser un


front pour demander la donne, lautre pour la lire.
Sur le front montant de lhorloge, on envoie un front sur entre_suivante pour
demander le bit suivant. Sauf sil y a eu 5 uns sur lentre.
Sur le front descendant, on recopie lentre sur la sortie sauf sil y a eu 5 uns sur
lentre. Auquel cas on met zro sur la sortie.
architecture beh of insertion_zros is
begin
process(horloge)
variable cpt: integer:=0;
begin
if horloge = '1' then
if cpt=6
then cpt:=0;
else entre_suivante<='1';
end if;
else
entre_suivante<='0';
if entre = '1' then
cpt := cpt+1;
end if;
if cpt<=5 then
sortie<=entre;
else
sortie <= '0';
end if;
end if;
end process;
end architecture beh;

Pour dcoder, faisons lopration inverse. Lentit prend le signal cod, lhorloge, et produit
une sortie et un front indiquant quand la donne est valide.
library ieee; use ieee.std_logic_1164.all;
entity destruction_zros is
port(entre: in std_logic;
horloge: in std_logic;
sortie_valide: out std_logic;
sortie: out std_logic);
end entity destruction_zros;

Comportemental
-70-
crire & Comprendre VHDL & AMS J Rouillard 2008

Larchitecture est sensible aux fronts de lhorloge; sur le front descendant, lentre est copie
sur la sortie. Sur le front montant, le signal sortie_valide est mis un, sauf sil y a eu 5 uns
au coup dhorloge prcdent (do lutilisation dun boolen inhib pour en garder
mmoire.

architecture beh of destruction_zros is


begin
process(horloge)
variable cpt: integer:=0;
variable inhib:boolean:=false;
begin
if horloge = '0' then
if cpt<=5 then
if not inhib then
sortie_valide<='1';
end if;
inhib:= (cpt=5);
end if;
else
sortie_valide<='0';
if entre = '1' then
cpt := cpt+1;
else
cpt:=0;
end if;
sortie<=entre;
end if;
end process;
end architecture beh;

Pour tester, il suffit dinstancier les deux entits dans une architecture de test:
entity testserie is end entity testserie;

library ieee; use ieee.std_logic_1164.all;


architecture arch of testserie is
signal entre, horloge, entre_suivante,fil,
sortie_valide, sortie: std_logic :='0';
begin
horloge <= not horloge after 50 ns;
entre <= (le motif de test) ;
C1: entity work.insertion_zros
port map (entre, horloge, entre_suivante,fil);
C2: entity work.destruction_zros
port map (fil, horloge, sortie_valide, sortie);
end architecture arch;

En examinant les chronogrammes, il faudra bien tenir compte que la sortie nest pas
rgulire , il faut la considrer seulement quand elle est valide par un front de
sortie_valide.

Comportemental
-71-
crire & Comprendre VHDL & AMS J Rouillard 2008

7.3 Machines d'tats

Une machine tats finis est un systme qui a un ensemble fini dtats, un tat initial dans
lensemble susdit, des tats dits terminaux toujours dans cet ensemble, un ensemble fini
dentres, et une fonction permettant de passer dun tat un autre partir du couple
{tat_courant, entre}. On dit que lautomate reconnat les squences dentres qui
lamnent de son tat initial lun de ses tats terminaux.

Dans les exemples ci-dessous, et pour ne pas surcharger le code, nous allons prendre comme
exemple la machine dtat trs simple qui consiste ouvrir une porte automatique avec deux
boutons. Il y a deux tats : porte_ouverte, porte_ferme qui sont aussi des tats terminaux.
Ltat initial est porte_ferme. Il y a deux entres quon va supposer exclusives:
ouvrir_la_porte, fermer_la_porte. La sortie est un voyant libre/occup. On dira quessayer
douvrir une porte ouverte la laisse ouverte, et inversement.

En VHDL labstraction gagne ce quon dcrive ltat comme un lment dun type numr.
Nous aurons deux signaux de ce type, ltat courant et ltat venir calcul par la machine :
type type_tat_porte is (porte_ferme, porte_ouverte) ;
signal tat, tat_suivant : type_tat_porte := porte_ferme;

Les deux entres seront des signaux de type BIT, 1 si on appuie sur le bouton. Rappelons
quon les suppose exclusives, c'est--dire que, par exemple, quelque dispositif mcanique
empche physiquement quon ait la fois lune et lautre.
signal ouvrir_la_porte, fermer_la_porte : BIT ;

On va aussi dcrire la sortie comme un lment dun type numr :


type type_sortie is (libre, occup);
signal sortie : type_sortie :=occup;

Dans un exemple aussi simple, on ne perdrait pas grand-chose en lisibilit en prenant


simplement le type BIT. On note aussi quici nous allons supposer que les entres et le signal
de sortie ne sont pas des ports, ce qui na aucune influence sur la suite des vnements.

7.3.1 Machine de Moore


Pour dcrire une machine de Moore, on met les valeurs de sortie (libre/occup) dans les
places, et on obtient le diagramme suivant :
ouvrir_la_porte

porte porte
ferme ouverte

Occup Libre

fermer_la_porte
Figure 22 Machine de Moore deux tats
Limplmentation lectronique est la suivante : un bloc combinatoire (c'est--dire qui peut se
ramener un jeu dquations logiques) attaque un registre command par un front dhorloge.

Comportemental
-72-
crire & Comprendre VHDL & AMS J Rouillard 2008

Une partie de la sortie de ce registre est la sortie du circuit, une autre partie est le codage de
ltat de la machine, qui revient sur lentre du bloc combinatoire.

Figure 23 Machine de Moore

horloge

Entre
combinatoire
combinatoire de sortie Sortie
calcul dtat registre

tat
La combinatoire dentre peut tre crite comme un processus ou comme du flot-de-donnes.
Comme le flot de donnes se ramne toujours un processus quivalent pour ce qui est de la
simulation, nous allons ici crire ce dernier :

combinatoire_tats : process (ouvrir_la_porte, fermer_la_porte, tat)


begin
case tat is
when porte_ouverte => if fermer_la_porte = '1'
then tat_suivant <= porte_ferme ;
end if ;
when porte_ferme => if ouvrir_la_porte = '1'
then tat_suivant <= porte_ouverte ;
end if ;
end case ;
end process ;

Ce qui est quivalent lcriture flot-de-donnes:


combinatoire_tats : tat_suivant <=
porte_ferme when (tat = porte_ouverte) and (fermer_la_porte = '1')
else porte_ouverte when (tat = porte_ferme) and (ouvrir_la_porte = '1')
else unaffected ;

Le registre sera dcrit galement par un processus sensible sur le front montant de lhorloge:
registre : process (horloge)
begin
if horloge='1' then -- on ne peut tre ici que sil y a vnement sur
-- lhorloge, donc ce test garantit un front montant.
tat <= tat_suivant ;
end if;
end process ;

Comportemental
-73-
crire & Comprendre VHDL & AMS J Rouillard 2008

Enfin la combinatoire de sortie sera ici trs simple dont voici la version processus , la
version flot-de-donnes tant trivialement dduite :

combinatoire_sortie : process (tat)


begin
case tat is
when porte_ouverte => sortie <= libre ;
when porte_ferme => sortie <= occup ;
end case ;
end process ;

Figure 24 Chronogrammes de la machine de Moore

Au bilan, on voit sur cet exemple trs simple que l'tat de sortie se met jour une priode
d'horloge aprs l'action causale.

7.3.2 Machine de Medvedev

C'est un cas particulier de la machine de Moore o l'tat est aussi la sortie, par exemple un
compteur. La combinatoire de sortie est nulle, et le vecteur de sortie est identique au vecteur
d'tat, ce qui ramne la question deux processus.

7.3.3 Machine de Mealy


Pour dcrire une machine de Mealy, on met les valeurs de sortie sur les transitions. Ainsi le
signal est-il occup ds quon entreprend de fermer la porte, plutt quau moment o elle
est ferme. On gagne ainsi un coup dhorloge pour une dcision qui apparat pertinente.

ouvrir_la_porte Libre

porte porte
ferme ouverte

fermer_la_porte Occup

Figure 25 Machine de Mealy deux tats

Comportemental
-74-
crire & Comprendre VHDL & AMS J Rouillard 2008

Pour son implmentation lectronique, la machine de Mealy ressemble la machine de Moore


mais la sortie est calcule partir du registre et des entres. Cela permet de calculer la sortie
avec un coup dhorloge davance, au prix dun chemin combinatoire entre lentre et la sortie
donc il y a une sensibilit de la sortie dventuels alas sur les entres.

horloge

Entre combinatoire
de sortie Sortie
combinatoire
calcul dtat registre

tat
Figure 26 Machine de Mealy

Une cascade de telles machines risque de conduire un long chemin combinatoire aux dlais
imprvisibles. Pire, si de telles machines se parlent les unes aux autres avec des boucles
possibles, on peut trouver des boucles combinatoires et le circuit, cens tre logique, se met
osciller et se transforme en metteur ondes courtes avant de partir en chaleur et fumes.

Pour implmenter une machine de Mealy, nous n'avons donc qu' changer le bloc de sortie de
notre machine de Moore, les autres restent identiques :

combinatoire_sortie : process (tat, ouvrir_la_porte, fermer_la_porte)


begin
case tat is
when porte_ouverte => if fermer_la_porte='1'
then sortie <= occup ;
else sortie <= libre ;
end if;
when porte_ferme => if ouvrir_la_porte='1'
then sortie <= libre ;
else sortie <= occup ;
end if;
end case ;
end process ;

Cette fois-ci, les sorties changent aussitt que la condition dentre change :

Comportemental
-75-
crire & Comprendre VHDL & AMS J Rouillard 2008

Figure 27 Chronogrammes de la machine de Mealy

En envoyant des alas sur une entre (ici ouvrir_la_porte) on constate qu'ils se propagent
instantanment sur la sortie, chose qui n'arrive pas dans une machine de Moore et qui illustre
le souci d au fait qu'il y a un chemin combinatoire entre l'entre et la sortie.

Figure 28 Alas sur la machine de Mealy

7.3.4 Machine de Mealy synchronise

Pour viter les questions de chemin combinatoire non matris, on peut synchroniser la sortie
de la machine de Mealy avec la mme horloge que celle qui sert au changement dtat. Les
deux blocs combinatoires peuvent alors tre runis.
horloge

Entre Sortie

combinatoires
de calcul dtat
et de sortie registre

tat
Figure 29 Machine de Mealy Synchronise

Nous n'avons plus que deux blocs (deux processus donc):

Comportemental
-76-
crire & Comprendre VHDL & AMS J Rouillard 2008

Il nous faut un signal intermdiaire pour la sortie:

signal sortie_intermdiaire: type_sortie;

Nous aurons
 Un processus dcrivant la fois la combinatoire du changement d'tats et de calcul de
la sortie
 Un processus sensible sur l'horloge et activant le registre.
combinatoire : process (ouvrir_la_porte, fermer_la_porte, tat)
begin
case tat is
when porte_ouverte=>if fermer_la_porte = '1'
then tat_suivant <= porte_ferme ;
sortie_intermdiaire <= occup;
end if ;
when porte_ferme=> if ouvrir_la_porte = '1'
then tat_suivant <= porte_ouverte ;
sortie_intermdiaire <= libre;
end if ;
end case ;
end process ;

registre : process (horloge)


begin
if horloge='1' then -- on ne peut tre ici que sil y a vnement sur
-- lhorloge, donc ce test garantit un front montant.
tat <= tat_suivant ;
sortie <= sortie_intermdiaire;
end if;
end process ;

Dans ce montage, nous obtenons les chronogrammes de la machine de Mealy, mais sans les
alas si une entre se met bouger plus vite que l'horloge.

7.3.5 Codage
Les types numrs employs permettent une bonne abstraction, mais il vient un temps o il
faut coder ces valeurs sur un nombre de bits donns, et tous les codages ne sont pas
quivalents. On se souviendra d'une bonne proprit de VHDL, qui permet de faire des tests y
compris des case, sur des vecteurs de bits. Ainsi, tant donns le type et le signal:
type type_tats is (bleu, blanc, rouge);
signal tat: type_tats;

Il est possible de ne changer que trs lgrement le code VHDL au moment de donner des
codes nos valeurs:
subtype type_tat is bit_vector (1 downto 0);
signal tat: type_tat;
constant bleu: type_tat:= "00";
constant blanc: type_tat:= "01";
constant rouge: type_tat:= "10";

Ici nous prenons le choix d'avoir une combinaison par tat, ce qui demandera un dcodage
la synthse. Une solution plus rapide mais moins conomique est d'avoir un bit par tat: on
aurait besoin de 3 bits cods "001", "010", "100", avec la ncessit de grer toutes les
combinaisons interdites par un attrape-tout dans la logique. Autrement dit lintroduction dune
Comportemental
-77-
crire & Comprendre VHDL & AMS J Rouillard 2008

clause when others => dans les instructions case. Il arrive aussi que lon ait besoin
dutiliser le code de Gray, qui a la bonne ide de ne changer que dun bit entre deux tats
successifs : 000, 001, 011, 010, 110, 100, 101, 111, ce qui permet de se prmunir contre
larrive dtats baroques combinaisons interdites- cause de dlais imprvus.

Aprs cela, la beaut de VHDL fait que les instructions if et case ne demandent aucune
modification, c'est--dire que l'essentiel du modle ne change pas. Peut-tre faudra-t-il ajouter
une branche others dans les instructions case, si toutes les combinaisons ne sont pas
couvertes. Ce qui sera forcment le cas si lon utilise le type STD_LOGIC qui na pas que des
valeurs 0 et 1, lesquelles nont pas de sens pour la synthse mais ont un sens pour le
compilateur.

Ainsi l'instruction if ou la boucle while ne changent pas du tout, et l'instruction case ne


change pratiquement pas de syntaxe en passant du type numr au codage binaire:

case tat is
when bleu =>
when blanc =>
when rouge =>
when others => report "erreur!";
-- ncessaire pour couvrir mme les cas qui n'arrivent pas
end case;

Comportemental
-78-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
8 Synchrone 5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
Le monde synchrone est un monde o 7 COMPORTEMENTAL
plusieurs choses se passent au mme 8
instant. Cet instant est dtermin en 9 ASYNCHRONE
10 SWITCH
gnral par une horloge. 11 ANALOGIQUE
12 RFRENCES
13 INDEX
8.1 Bascules 14 TABLE DES FIGURES
15 BIBLIOGRAPHIE
8.1.1 Bascule D
H
chaque front montant de H, lentre D est
recopie sur la sortie S. Cette valeur est
maintenue jusquau prochain front ontant de H.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity basculeD is
port (H,D: in STD_LOGIC;
D S S: out STD_LOGIC);
end entity basculeD;

Figure 30 Bascule D

architecture dataflow of basculeD is


begin
S <= D when H'EVENT and H = '1' else unaffected;
end architecture dataflow;

Le modle flot de donnes a linconvnient, pour la simulation, dtre sensible aux


vnements sur D alors quils seront inefficaces : seuls les transitions sur H ont un effet sur la
sortie. Il vaut mieux viter ce dsagrment en utilisant un processus, explicitement sensible
uniquement sur H :
architecture beh of basculeD is
begin
process(H)
begin
if H = '1' then - front montant
S <= D;
end if;
end process;
end architecture beh;

Synchrone
-79-
crire & Comprendre VHDL & AMS J Rouillard 2008

8.1.2 Latch

H La sortie est gale lentre quand H vaut 1, elle


reste sa dernire valeur quand H vaut 0. Cette
transparence fait quon nest pas sensible sur
front, mais sur niveau de H.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity latch is
port (H,D: in STD_LOGIC;
D S S: out STD_LOGIC);
end entity latch;

Figure 31 Latch

architecture dataflow of latch is


begin
S <= D when H = '1' else unaffected;
end architecture dataflow;

Ici nous n'avons aucun intrt passer par un processus sensible seulement sur H, puisque le
registre est transparent et doit rester sensible aux vnements sur D.

8.1.3 Bascule RS
La sortie Q prend la valeur 1 quand S vaut 1 et R vaut 0.
Elle prend la valeur 0 quand S vaut 0 et R vaut 1. Elle
Reset Q garde son ancienne valeur quand S = R = 0. . QB vaut
not Q sauf si S=R=1 qui est un tat interdit.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
Set entity basculeRS is
Q port (R,S: in STD_LOGIC;
Q,QB: out STD_LOGIC);
end entity basculeRS;
Figure 32 Bascule RS
On peut construire cette fonctionnalit avec des nor ou des nand.

architecture dataflow of basculeRS is


signal Q1,QB1 : STD_LOGIC := '0';
begin
QB1 <= S nor Q1;
Q1 <= R nor QB1;
Q <= Q1;
QB <= QB1;
end architecture dataflow;

Nous ne prsentons pas darchitecture comportementale, en effet elle ncessiterait un


processus sensible sur deux signaux et demanderait un test pour savoir lequel a change; le
bnfice serait nul.

Synchrone
-80-
crire & Comprendre VHDL & AMS J Rouillard 2008

8.1.4 Bascule JK

horloge
Cest la bascule mre en ce sens quon peut
construire toutes les autres (sur front) partir de
J Q celle-ci. Elle est dfinie par lquation :
Au front dhorloge: Qn+1 = JQn + KQn
library IEEE;
use IEEE.STD_LOGIC_1164.all;
K entity basculeJK is
Q port (J,K,H: in STD_LOGIC;
Q,QB: out STD_LOGIC);
end entity basculeJK;

Figure 33 Bascule JK

architecture dataflow of basculeJK is


signal Q1 : STD_LOGIC := '0';
begin
Q1 <= (J and (not Q1)) or ((not K) and Q1) when H'EVENT and H='1'
else unaffected;
Q <= Q1;
QB <= not Q1;
end architecture dataflow;

Comme dans le cas de la bascule D, il y a une conomie faire en utilisant un processus


sensible uniquement sur H: en effet le modle flot-de-donnes est inutilement sensible aux
vnements sur J et K.
architecture beh of basculeJK is
begin
process(H)
variable Q1 : STD_LOGIC := '0';
begin
if H = '1' then front montant
Q1:= (J and (not Q1)) or ((not K) and Q1);
Q <= Q1;
QB <= not Q1;
end if;
end process;
end architecture beh;

8.2 Combinatoire synchronis


Considrons lentit et larchitecture ci-aprs : il sagit dun compteur asynchrone sur 3 bits.
Lhorloge dentre est teste pour son front montant et provoque le changement dtat du bit
de poids faible. Chaque fois que ce bit passe 0, le bit de poids suprieur change dtat (cest
la retenue en base 2), et de mme ltage au dessus.
entity e is
port (horloge:in bit; sortie: out bit_vector(2 downto 0));
end entity e;
architecture a1 of e is

Synchrone
-81-
crire & Comprendre VHDL & AMS J Rouillard 2008

signal tmp:bit_vector(2 downto 0);12


begin
tmp(0)<= not tmp(0) when horloge 'event and horloge ='1' else unaffected;
tmp(1)<= not tmp(1) when tmp(0)'event and tmp(0)='0' else unaffected;
tmp(2)<= not tmp(2) when tmp(1)'event and tmp(1)='0' else unaffected;
sortie<= tmp;
end architecture a1;

clk
s
tmp
Figure 34 Chronogrammes d'un compteur asynchrone idal
Le rsultat est clairement un comptage sur 3 bits, la valeur de S parcourant le cycle 0,1,2..7,
0,1,2, etc. Le problme est que compteur est asynchrone, dfaut que nous mettons facilement
en vidence en forant des dlais observables la simulation dans chaque instruction :
ajoutons after 3 ns chaque affectation.
architecture a2 of e is
signal tmp:bit_vector(2 downto 0);
begin
tmp(0) <= not tmp(0) after 3 ns when horloge 'event and horloge ='1'
else unaffected ;
tmp(1) <= not tmp(1) after 3 ns when tmp(0)'event and tmp(0)='0'
else unaffected;
tmp(2) <= not tmp(2) after 3 ns when tmp(1)'event and tmp(1)='0'
else unaffected;
sortie <= tmp;
end architecture a2;

clk
s
tmp
Zoom
clk
s
tmp

Figure 35 Chronogrammes d'un compteur asynchrone rel

Tous ces tats intermdiaires finissent par se stabiliser mais hlas aprs quantit de cheveux
qui font passer la sortie par quantit de valeurs incohrentes, ce quon voit sur le zoom ci-
dessus. Pire, le nombre de cheveux dpend de la donne qui passe, le temps de stabilisation
nest pas constant.

Synchronisation : la solution classique consiste dcrter que les tapes de calcul, sujettes
transitoires et tats instables, se font sur un front dhorloge, et que la lecture du rsultat se fait
sur lautre. Ainsi nous obtenons :

12
Tmp est recopi sur S. Il sert de signal interne quon peut lire et crire, au contraire de S quon ne peut pas lire
(mode out) et qui ne pourrait pas tre droite dune affectation.

Synchrone
-82-
crire & Comprendre VHDL & AMS J Rouillard 2008

architecture a3 of e is
signal Tmp:bit_vector(2 downto 0);
begin
tmp(0) <= not tmp(0) after 3 ns when horloge 'event and horloge ='0'
else unaffected ;
tmp(1) <= not tmp(1) after 3 ns when tmp(0)'event and tmp(0)='0'
else unaffected;
tmp(2) <= not tmp(2) after 3 ns when tmp(1)'event and tmp(1)='0'
else unaffected;
sortie <= tmp when horloge 'event and horloge ='1'
else unaffected;
end architecture a3;

Les transitoires apparaissent toujours sur


tmp. Mais le signal de sortie est lu sur clk
lautre front de lhorloge, et est, lui, propre. s
Le circuit compte maintenant les fronts tmp
descendants, mais donne la valeur sur front
montant.
Figure 36 Chronogrammes aprs synchronisation

Par quelques calculs simples, ceci nous permet aussi de calculer la valeur maximale de
lhorloge pour notre circuit : on calcule le cas pire de la stabilisation sur tmp, et le temps
obtenu doit tre infrieur la demi-priode de lhorloge.

8.3 Gnrateur de squences pseudo-alatoires

Il sagit de produire une srie de valeurs binaires prsentant les caractristiques statistiques
dune srie alatoire. videmment, le circuit est dterministe et il prsente une priode ce qui
marque la limite de la mthode : cest le pseudo de pseudo-alatoire. La technique
consiste cascader des bascules et calculer lentre partir dun ou plusieurs ou-exclusif
prenant les sorties intermdiaires comme entres.

Pour illustrer avec un exemple simple, nous allons utiliser 16 bits et une seule boucle ou-
exclusif. Chaque tage sera simplement un bascule D telle que dcrite 8.1.1 page 77.

xor
+
reset
15 14 i 3 2 1 0
sortie

horloge

graine

Figure 37 Schma bloc d'un gnrateur de squence pseudo-alatoire

Synchrone
-83-
crire & Comprendre VHDL & AMS J Rouillard 2008

Pour initialiser le gnrateur, nous avons besoin dune graine (la configuration initiale). Cela
ncessite davoir un port dentre de 16 bits et un signal de reset que nous mettons sur niveau.
Notons que la graine 0000 (hexa) ne convient pas, elle est absorbante pour cet algorithme.
library ieee; use ieee.std_logic_1164.all;
entity alea is
port (horloge: in STD_LOGIC;
reset: in STD_LOGIC;
graine: in STD_LOGIC_VECTOR(15 downto 0);
sortie: out STD_LOGIC);
end entity alea;

Pour larchitecture, nous allons instancier les 16 bascules D par une boucle generate.
Chacune sera relie deux signaux, en entre et en sortie, se faon pouvoir, pour lentre,
choisir entre la graine et la sortie de ltage prcdent.

Attention : Le composant est, pour les besoins de la cause, dfini avec un nom diffrent de
lentit basculeD, il faut donc faire une configuration : cette configuration ne peut pas se faire
dans la zone dclarative de larchitecture, elle ne serait pas vue par linstance qui est dans
le generate ; la solution consiste
o Dans les implmentations trs rcentes, utiliser la zone dclarative du generate
o Dans les implmentations plus anciennes la plupart-, dclarer un bloc ad hoc, ici
celui qui a le label BB, dans le seul but douvrir une zone dclarative lintrieur de la
boucle generate, qui sera visible de linstanciation.

Pour illustrer ce problme, dans le code de larchitecture la configuration inutile a t mise


en commentaire Cette obligation est souvent une cause de soucis pour les concepteurs qui ne
comprennent pas pourquoi le composant est vu mais pas sa configuration qui est juste
ct. Il y a pourtant une excellente raison cet tat de fait, hors du sujet de ce manuel.

Synchrone
-84-
crire & Comprendre VHDL & AMS J Rouillard 2008

architecture arch of alea is


component bD is
port (H,D: in STD_LOGIC;
S: out STD_LOGIC);
end component bD;

-- for all : bD use entity work.basculeD;


-- ceci nest pas visible lintrieur de la boucle
-- generate, donc serait inutile puisquil ny a aucune
-- instance dehors (voir commentaire)

signal intermdiaire_entre, intermdiaire_sortie:


Ne marche pas std_logic_vector(15 downto 0);
begin

GG: for I in 0 to 15 generate


BB: block -- ncessaire13 pour caser la
-- spcification de configuration
for all : bD use entity work.basculeD;
oui -- pas de port map, les noms et positions
-- sont les mmes.
begin
tage: bD port map(horloge,
intermdiaire_entre(i),
intermdiaire_sortie(i));
end block BB ;

end generate;

intermdiaire_entre(15) <=
graine(15) when reset=1
else intermdiaire_sortie(0) xor intermdiaire_sortie(1);

intermdiaire_entre(14 downto 0) <=


graine(14 downto 0) when reset=1
else intermdiaire_sortie (15 downto 1) ;

sortie <= intermdiaire_sortie(0);

end architecture arch;

8.4 Blocs gards


Le bloc est une instruction concurrente, qui contient dautres instructions concurrentes dont,
ventuellement, des blocs. Il possde une zone de dclaration de gnricit et de ports dont
nous ne parlerons pas ici. Le bloc est une instruction qui fait partie des capacits de VHDL en
matire de hirarchie et de structure. Il est nanmoins trs peu utilis pour cette fonctionnalit,
la seule petite exception de lintrieur des blocs generate quand on a besoin dune zone
dclarative pour caser une spcification de configuration. Son autre capacit est de permettre
la mise en facteur dune condition sur un signal boolen.

13
Dans les implmentations rcentes, on a le droit douvrir une zone dclarative dans une instruction generate,
le code serait exactement le mme mais en enlevant les deux lignes BB: block et end block BB;

Synchrone
-85-
crire & Comprendre VHDL & AMS J Rouillard 2008

architecture a of e is

begin

-- instructions concurrentes

label : block (condition)


begin
-- instructions concurrentes
end block label ;

-- instructions concurrentes

end architecture a;

La magie de cette condition cest quelle devient condition de toutes les instructions
daffectation de signaux qui comptent le mot-cl guarded.

Exemple de synchronisation :

label : block (not clkstable and clk=1) - front montant


begin
SOMME <= guarded ARG1 + ARG2;
MOYENNE <= guarded SOMME /2;
end block label ;

Dans cet exemple, rien ne se passe que sur front montant de lhorloge (utilisation de
SSTABLE qui rend un signal, SEVENT ferait un systme marchant sur niveaux). Si nous
proposons deux valeurs ARG1 et ARG2, au prochain front montant SOMME est calcul, et
MOYENNE sera calcul au front montant suivant. Ceci permet de cascader proprement les
rsultats des oprations en laissant aux circuits le temps de se stabiliser. Voir ci-dessous les
timings de la situation o lon propose sur ARG1 et ARG2 dabord 10 et 16, puis 10 et 6. On
voit bien que SOMME y est disponible au front montant dhorloge suivant, et MOYENNE un
coup dhorloge aprs.

Figure 38 Chronogrammes d'un bloc gard avec deux affectations gardes

Le bloc gard a dautres usages dans le langage, si on le marie avec les signaux gards
(guarded signal). Leur intrt est toutefois mince et leur usage anecdotique.

Synchrone
-86-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
9 Asynchrone 5
6
MODLISATION DE BIBLIOTHQUES - VITAL
SYSTME
Dans le monde asynchrone, les 7 COMPORTEMENTAL
8 SYNCHRONE
vnements arrivent des temps
diffrents. Sil se trouve que tel 9
10 SWITCH
vnement arrive en mme temps 11 ANALOGIQUE
que tel autre, cest au hasard de la 12 RFRENCES
13 INDEX
course des vnements et cela na 14 TABLE DES FIGURES
pas dincidence sur le 15 BIBLIOGRAPHIE

fonctionnement. Du moins, cela ne


doit pas en avoir. la limite, en utilisant un zoom suffisamment grossissant, on doit
toujours pouvoir distinguer un ordre darrive entre deux vnements qui peut, ou peut ne pas,
tre pertinent. Ceci est vrai sur le matriel rel, videmment pas sur un simulateur logique.

9.1 Asynchrone inondant, le flot-de-donnes (data-flow)


Il sagit dinstruction dont lexcution est conditionne par les valeurs des donnes, et non par
leur ordre dcriture. La synthse donnera probablement une mer de portes (sea of gates).
Ce sont des instructions essentiellement asynchrones puisquil ny a pas de signal
magique dclenchant lactivit, comme la condition de garde des blocs gards (8.4 page
83.) Nanmoins, la logique synchrone tant faite de portes asynchrones, il est videmment
possible dcrire du flot-de-donnes synchronis explicitement, voir par exemple ci-dessous
9.2.
Rappelons rapidement les quatre instructions concourant principalement, cest le mot, la
conception flot-de-donnes : laffectation simple, laffectation conditionnelle (qui comprend
la premire quand il ny a pas de condition), laffectation slecte et lappel concurrent de
procdure. Non mentionn ici car participant de tous les styles de modlisation, le processus
qui est de toutes faon la transformation ultime de toute instruction de VHDL digital.

9.1.1 Laffectation simple : un fil ou un registre


Il sagit daffecter un signal avec une expression qui elle-mme contient gnralement des
signaux.
Exemple :

s <= a or b;

9.1.2 L'affectation conditionnelle: un encodeur de priorit

Figure 39 Encodeur de priorit


Il sagit denvoyer un signal une
valeur choisie parmi plusieurs condition1
selon un jeu de conditions Codage de la
values dans un ordre de priorit. condition2 condition vraie la
Cette instruction, dans son plus prioritaire
condition3
utilisation asynchrone, est bien
illustre ici par un circuit trs
utilis dans les circuits qui grent
les interruptions, et leur priorit. Il s'agit de proposer un circuit quelques (ici 3) conditions et
de propager sur la sortie (ici sur 2 bits) le numro de la condition la plus prioritaire. Nous
Asynchrone
-87-
crire & Comprendre VHDL & AMS J Rouillard 2008

allons utiliser l'instruction d'affectation conditionnelle, puisque sa premire proprit est


effectivement de tester ses conditions dans l'ordre de leur criture, et de ne retenir que la
premire qui convient.
sortie <= "00" when condition1
else "01" when condition2
else "10" ;

Trs probablement, dans cet exemple, les conditions seront toutes de la forme (entreN = '1').

9.1.3 L'affectation slecte: un multiplexeur


Figure 40 Multiplexeur
Il sagit denvoyer un signal une valeur choisie
parmi plusieurs selon la valeur dun slecteur quon
compare un jeu de constantes. Il ny a pas de
priorit, toutes les constantes sont diffrentes et Entres
doivent couvrir toutes les valeurs possibles du
Sortie
slecteur. Ceci, dans sa forme asynchrone, est bien
illustr par le multiplexeur :
Supposons N canaux dentre (ici 4), un canal de
sortie, et on veut choisir quel canal dentre sera celui
qui va sur le canal de sortie, voir schma ci-contre.
Ceci sexprime en VHDL de la faon suivante, en Slection
utilisant l'instruction d'affectation de signal slecte.
Dans une telle affectation, il faut fournir une valeur et
une seule pour chaque combinaison de la slection. On a le droit d'utiliser la clause when
others qui sert dattrape-tout. Si le slecteur tait un type scalaire, on aurait le droit
dutiliser des tendues : 1 to 10, ou des choix avec la barre verticale : 3 | 7.

with Slection select sortie <= entre0 when "00",


entre1 when "01",
entre2 when "10",
entre3 when "11";

Dans ce cas particulier, on pourrait mme crire plus simplement si les entres sont
organises en tableau:
sortie <= Entres(TO_INTEGER(Slection));

O TO_INTEGER est une fonction qui convertit le tableau de bits de la slection en entier, de
telles fonctions avec toutes les variantes possibles existent en abondance dans les paquetages
IEEE.

Asynchrone
-88-
crire & Comprendre VHDL & AMS J Rouillard 2008

9.1.4 Lappel concurrent de procdure : un processus avec des


arguments
Il est possible dappeler une procdure en milieu concurrent. La smantique associe est alors
que son appel est dclench par tout vnement sur un signal de sa liste de sensibilit, laquelle
est simplement la liste de tous les signaux apparaissant dans ses arguments.
LBL : Proc (S1,S2,S3) ;

Cela permet dcrire du code comportemental et squentiel rutilisable, auquel on peut passer
des arguments de tous modes.

9.2 Asynchrone protocolaire


Un protocole (de communication par exemple) est lexemple type de situation
asynchrone squentielle : quand bien mme parfois une horloge est transmise, elle fait partie
du problme plus que de la solution, cause des dlais de transmission qui rendent son
exploitation complique. Il sagit le plus souvent de machines ayant des tats identifiables,
mais pas dhorloge commune. Les machines tats synchrones sont vues 7.3 page 70.

9.2.1 Handshake
Figure 41 Handshake
Un registre charg ou lu de
faon synchrone par un Donne disponible
systme horloge, est Demande de
donne
dcharg ou crit par un suivante
systme asynchrone, par lire_donne

exemple dpendant dune front de


chargement
action dun oprateur humain.
Pour cela chaque ct du
dispositif gre deux signaux.
Ct synchrone, il y a un
reset
signal pour charger le registre
(disons front montant), et un
signal permettant de faire
savoir que ce registre a t lu (niveau). Nous avons aussi probablement besoin dun signal de
remise zro, un reset (niveau). Ct asynchrone, il y a un signal disant quune nouvelle
donne est disponible (niveau), et un signal entrant signifiant quon veut la lire (front
montant)

library ieee ; use ieee.std_logic_1164.all ;


entity hds is
port(port_synch: in std_logic_vector(7 downto 0);
port_asynch: out std_logic_vector(7 downto 0);
chargement,
lire_donne,
reset : in std_logic ;
demande_donne_suivante,
donne_disponible : out std_logic) ;
end entity hds ;

Larchitecture sera un processus sensible sur tous les signaux de mode in ; ce processus sera
un if/elsif trois branches :
le reset sur niveau, qui est prioritaire,

Asynchrone
-89-
crire & Comprendre VHDL & AMS J Rouillard 2008

la lecture du registre interne depuis lextrieur asynchrone par un front montant sur
lire_donne , le front descendant indiquant que laffaire est faite.
lcriture du registre interne par lintrieur synchrone, sur un front montant de
chargement .
Les signaux de sortie indiquant que le bloc est libre ou occup dun ct ou de lautre
donne_disponible et demande_donne_suivante sont grs dans chaque branche.

Un bloc symtrique se chargeant de lire depuis le monde asynchrone et dcrire vers le monde
synchrone serait extrmement semblable.
architecture BEH of hds is
signal registre: std_logic_vector(7 downto 0);
begin
process(chargement,lire_donne, reset)
begin
if reset='1' then
donne_disponible<='0';
demande_donne_suivante<='0';
elsif lire_donne 'event then
if lire_donne ='1' then
donne_disponible <='0';
port_asynch<=registre ;
else
demande_donne_suivante <='1';
end if;
elsif chargementevent and (chargement ='1') then
registre <= port_synch;
donne_disponible <='1';
demande_donne_suivante <='0';
end if;
end process;
end architecture BEH ;

9.2.2 Reconstitution dun signal cod NRZ et de son horloge


Supposons un signal srie cr sur les fronts montants dune horloge : le codage NRZ consiste
pratiquer un ou exclusif entre le signal synchronis sur lhorloge, et lhorloge elle-mme. Le
signal synchronis nexiste pas sur le circuit, il est dessin ici juste pour claircir le procd ;
on produit directement le signal de sortie par un ou exclusif.

Horloge

Signal

Signal synchronis
sur front montant

Signal synchronis ou
exclusif lhorloge

Figure 42 Codage NRZ


Cest ce dernier signal qui sera transmis sur la ligne : il a la bonne proprit dtre forcment
moyenne sur une courte priode (la priode dhorloge), c'est--dire quil supporte la perte
de la composante continue par le passage par des lments capacitifs ou passage par

Asynchrone
-90-
crire & Comprendre VHDL & AMS J Rouillard 2008

transformateurs. Pour le reconstituer, il suffit de refaire un ou exclusif avec la mme horloge,


lopration est symtrique.

library ieee ; use ieee.std_logic_1164.all ;


entity codeur is
port (entre, horloge : in std_logic ; sortie : out std_logic ) ;
end entity codeur ;

Larchitecture aura deux processus : lun assurant la synchronisation de lentre avec


lhorloge, lautre assurant le codage ou exclusif. Ce dernier pourrait tre remplac par la
simple ligne :
sortie <= entre_synchronise xor horloge;
Mais le processus quivalent serait alors sensible sur lhorloge et sur lentre synchronise qui
dpend aussi de lhorloge, avec pour consquences des glitchs de un delta sur le signal
transmis. En rendant le processus de codage sensible uniquement sur les transactions de
lentre synchronise, on vite ce petit dsagrment mais on court le risque dun refus du
synthtiseur. Il est facile dexplorer dautres solutions en fonctions des contraintes du
synthtiseur utilis, par exemple la sensibilit sur lhorloge seulement.
architecture beh of codeur is
signal entre_synchronise : std_logic;
begin
process (horloge) un process sensible sur horloge et pas sur entre
begin
entre_synchronise <= entre;
end process;
process
begin
sortie <= entre_synchronise xor horloge;
wait on entre_synchronise'transaction;
end process;
end architecture beh;

Le signal transmis a aussi la bonne proprit de porter sa propre horloge, puisquil suffit
dobserver le signal un certain temps et de dterminer la transition la plus courte : cest
forcment la demi-priode. De toute faon, les horloges tant dclenches par quartz et leur
frquence faisant partie du protocole, la question est plus celle de la synchronisation que celle
du calcul de la priode.
Reste dterminer la phase. Pour cela, il est facile de remarquer quil y a toujours une
transition sur le signal transmis quand il y a un front descendant de lhorloge, et
quinversement ce nest pas toujours le cas sur le front montant de lhorloge. Si l'on suppose
acquise la frquence, il y a deux horloges candidates, dphases dune demi-priode. Une
seule est telle que tous ses fronts descendants correspondent une transition du signal
transmis. Cest celle-l quil faut reconnatre et utiliser pour recombiner par ou exclusif avec
le signal.

Asynchrone
-91-
crire & Comprendre VHDL & AMS J Rouillard 2008

Signal transmis

Horloge 1: tous ses


fronts descendants
sont des transitions
du signal

Horloge 2: ce
n'est pas le cas

Figure 43 Reconstitution de lhorloge


Pour reconstituer lhorloge, nous allons avoir besoin dune horloge de frquence suprieure,
le double tant un minimum (plus le multiple est grand, moins le risque de drapage en cas
dala est grand).

Signal transmis

Horloge peu
prs double et
pas synchrone

Horloge de la
bonne frquence
et pas synchrone

Signal initial
reconstitu avec
ses glitchs

Figure 44 Dcodage NRZ


Dans le procd consistant reconstituer une horloge partir dune horloge existante non
synchronise, nous avons un dphasage qui va crer des alas. Pour minimiser ce dphasage,
on peut augmenter la frquence de lhorloge interne, mais cela ne fera que le minimiser et ne
rsoudra pas notre problme. On peut aussi mettre un asservissement boucle de phase sur
lhorloge, ce qui nous ramne de la conception analogique. On peut enfin remarquer que ces
alas ne se produisent jamais quand le signal doit changer de valeur, et on pourrait compter
sur une proprit des affectations de signaux : la clause reject ou, ici, son invocation par
dfaut avec la clause after qui a le bon got de fonctionner sur les simulateurs
nimplmentant pas VHDL93. Elle permet de dire au simulateur dignorer les mouvements
plus rapides quun temps quon spcifie et gommerait facilement ces glitchs au prix de
linscription dun dlai dans le modle, ce que naiment pas les outils de synthse.
Dans le cas qui nous occupe, nous allons plutt synchroniser lentre du dcodeur (le signal
transmis, donc) avec lhorloge reconstitue, avant de faire le ou exclusif.
library ieee ; use ieee.std_logic_1164.all ;
entity dcodeur is
port (entre, double_horloge : in std_logic ;
sortie, horloge : out std_logic ) ;
end entity dcodeur ;

Larchitecture comporte trois instructions concurrentes: le calcul de lhorloge locale, le calcul


de la sortie avec dlai et rjection, et la propagation de lhorloge locale vers le port horloge.

Asynchrone
-92-
crire & Comprendre VHDL & AMS J Rouillard 2008

On ne peut pas utiliser ce dernier directement dans le modle, car on a besoin de lire lhorloge
et celle-ci est de mode out.
architecture beh of dcodeur is
signal horloge_locale, entre_synchronise: std_logic;
begin

reconstitution_horloge: process
begin
wait on entre;
wait until double_horloge ='1';
horloge_locale <= '1';
entre_synchronise <= entre;
wait until double_horloge ='1';
entre_synchronise <= entre;
horloge_locale <= '0';
end process reconstitution_horloge;

sortie <= entre_synchronise xor horloge_locale;


horloge <= horloge_locale;

end architecture beh;

Le cur du modle est dans le processus de reconstitution dhorloge. Il va pdaler dans la


semoule tant quil ne sera pas dans une situation o chaque front descendant de lhorloge
locale correspond une transition du signal entrant. Quand il tombe sur cette situation qui doit
forcment arriver parce quon envoie en tte des motifs qui garantissent que a va arriver (le
drapeau HDLC suffit), il se verrouille dessus et lhorloge est correcte.

On testera facilement tout cela en assemblant dans une mme architecture le codeur, le
dcodeur, les constructions dhorloge et une forme donde de test sur lentre. On pourra
observer que, dans des limites dcentes, le systme est rsistant un dphasage de lhorloge
double et aussi une imprcision sur sa frquence. Mais attention : comme dit ci-dessus, on
verra aussi que, pour se caler sur la bonne phase, le systme a besoin dun certain temps, le
temps de voir apparatre la configuration qui permet de diffrencier les deux horloges
candidates. Cest pourquoi les systmes qui utilisent ce codage envoient des enttes porteurs
de configurations qui assurent, coup sr, la synchronisation.

Asynchrone
-93-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
10 Switch 6
7
SYSTME
COMPORTEMENTAL
Le switch ou interrupteur est 8 SYNCHRONE
9 ASYNCHRONE
simplement un transistor MOS. Toute la
logique classique est videmment base 10
11 ANALOGIQUE
sur de tels interrupteurs, mais pour celle- 12 RFRENCES
13 INDEX
ci les tensions et courants de sortie ne 14 TABLE DES FIGURES
viennent pas des entres, dont 15 BIBLIOGRAPHIE
limpdance est grande ; et enfin les
deux rseaux de transistors sont duaux, on dit que ce
sont des portes complmentaires. Voici pour mmoire
une porte nor : on y voit que le courant de sortie nest
pas fourni par les entres. Les impdances d'entres
sont grandes, celle de sortie est faible. Rseau P
Nous allons voir ici quil est possible de construire de
la logique non complmentaire, o il ny a pas de
rseaux de portes duaux. Cela se payera par le fait
que, dans certains tats, les sorties seront connectes
directement sur une ou l'autre entre avec
contamination des impdances.

Figure 45 Une porte NAND en MOS


Rseau N
10.1 Un modle de switch
Pour dcrire notre switch, nous allons commencer par
dfinir un paquetage qui nest pas indispensable mais
permet davoir un joli type numr pour les types N
et P des MOS, et qui permet aussi de dclarer une fois pour toutes le composant susceptible
de recevoir lentit switch.
library ieee;use ieee.std_logic_1164.all;
package switch_util is

type genre_mos is (P, N);

component switch
generic (genre: genre_mos);
port (source, drain: inout std_logic; grille: std_logic);
end component;

end package switch_util;

Voici lentit qui est gnrique sur le type de MOS et qui a deux ports de mode inout, plus la
commande de mode in.
library ieee;use ieee.std_logic_1164.all;
use work.switch_util.all;
entity switch is
generic (genre: genre_mos);
port (source, drain: inout std_logic; grille: std_logic);
end entity switch;

Switch
-95-
crire & Comprendre VHDL & AMS J Rouillard 2008

Larchitecture, qui contient un processus. Le truc du modle consiste dbrancher


fugitivement le switch (en mettant Z sur le drain et la source) pour observer si lextrieur
force une valeur ou non. Aprs quoi on le rebranche si la commande est la bonne valeur.
architecture arch of switch is
begin
process

impure function passant return boolean is


-- Une fonction pour factoriser la condition transistor passant
-- On pourrait optimiser tout a en dupliquant larchitecture
-- et en faisant un Mos P et un Mos N, et deux entits non gnriques.
begin
return (grille ='1' and genre=N ) or (grille ='0' and genre=P);
end;

variable lasttime:time:=-1 ns;

begin
source <='Z'; -- on libre source et drain "de l'intrieur"
drain <='Z'; -- pour connatre les contributions extrieures

wait for 0 ns;


-- wait pour que source et drain contiennent
-- les valeurs "extrieures"

if passant then
-- ici le switch est passant, il faut propager

source <= drain; -- deux affectations croises qui prendront


drain <= source; -- effet simultanment sur le wait suivant
-- (et pas avant, ce sont des signaux)
end if;

lasttime:=now;
wait on source 'transaction, drain 'transaction, grille
until now/=lasttime or (passant and (source /= drain));

end process;
end;

La difficult de ce modle rside dans sa condition de rveil: il faut videmment tre sensible
sur la grille, mais aussi sur les simples transactions sur la source et le drain : en effet il est
possible que, le switch tant passant et forant 1 de lintrieur sur la source par exemple,
voit cette mme source ensuite force de lextrieur avec la mme valeur 1 : cela ne crera
pas dvnement, juste une transaction mais il faut la prendre en compte car ensuite, si le
drain venait tre lch de lextrieur il faudrait aussitt le forcer de lintrieur .

Or la sensibilit sur transaction apporte un risque de boucle infinie dlai nul dans un modle
VHDL : il faut limiter le rveil une transaction par temps simul (branche until) moins
que source et drain ne viennent tre diffrents alors que le transistor est passant. Cest
comme cela que se lit la condition until de linstruction wait.

la simulation, certains simulateurs vont tre perturbs par toutes ces valeurs diffrentes
apparaissant au mme temps de simulation mais des deltas diffrents, et cela peut se traduire
par des couleurscratives sur les transitions. Il suffit de les ignorer.

Switch
-96-
crire & Comprendre VHDL & AMS J Rouillard 2008

10.2 Un ou exclusif en switch


Voyons lexemple typique de la porte ou exclusif. Sa
synthse en portes lmentaires de la logique classique
doit implmenter dune faon ou dune autre lquation
S = (A and not B) or (B and not A)
Ceci suppose deux transistors par inverseur et quatre par
porte, ce qui
nous amne a b
facilement
dpasser la dizaine de transistors, seize dans le cas
ci-contre qui fait leffort dutiliser un seul type de
porte, puisquune porte nand en demande quatre.
La mme porte implmente en logique
interrupteurs va utiliser les entres comme
gnrateurs de courant, et donc dinformation, pour
la sortie. Voici ci-contre une implmentation en s
transistors, et ci-dessous le modle VHDL
correspondant. On remarque quil sagit dune
modification de la porte nand dans laquelle les
sources de courant sont aussi les deux entres. Si
a=b=1, cest un nand aliment par a et b, dont les
a
deux entres sont aussi a et b et 1, donc la sortie s
vaut 0. Si a=b=0, tout le circuit est coagul 0, et la
sortie donc aussi. Si a ou b vaut 1 et lautre 0, un des b
deux transistors P tire la sortie 1 et un des deux
transistors N coupe le chemin vers 0, la sortie vaut 1.
Cest bien la fonction ou exclusif .

Figure 46 Une porte XOR en MOS


library ieee;use ieee.std_logic_1164.all;
entity switch_xor is
port (A, B: in std_logic; S: out std_logic);
end entity switch_xor;

use work.switch_util.all;
architecture struct of switch_xor is
signal interne: std_logic:='Z';
signal copie_de_a, copie_de_b,source_de_s: std_logic;
-- signaux locaux qui seront passes en inout
-- alors que A,B,S sont en in et en out.
begin
copie_de_a<=A ; -- A est en inout,
copie_de_b<=B ; -- idem
S<=source_de_s; -- S est en out
C1: switch generic map (N) port map ('0', interne, copie_de_a);
C2: switch generic map (N) port map (interne, source_de_s, copie_de_b);
C3: switch generic map (P) port map (source_de_s, copie_de_a, copie_de_b);
C4: switch generic map (P) port map (source_de_s, copie_de_b, copie_de_a);
end architecture struct;

Switch
-97-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
11 Analogique 5
6
MODLISATION DE BIBLIOTHQUES - VITAL
SYSTME
7 COMPORTEMENTAL
8 SYNCHRONE
Le niveau de description analogique est 9 ASYNCHRONE
10 SWITCH
utilisable aux deux bouts de la chane de
dveloppement : soit au niveau systme 11
12 RFRENCES
(description de gabarits de filtres), soit au 13 INDEX
niveau lectrique, ce qui est le plus 14 TABLE DES FIGURES
15 BIBLIOGRAPHIE
frquent.
Une description analogique demande en
gnral lutilisation de paquetages spcifiques, dfinissant le domaine physique dans lequel
on se place lequel emporte ses propres lois de conservation. Dans la vaste majorit des cas qui
nous intressent, le paquetage appel sera disciplines.electrical_systems. Attention :
certaines implmentations confondent la bibliothque DISCIPLINES avec la bibliothque
IEEE, certaines ont deux bibliothques distinctes.

11.1 Objets, instructions, contraintes, domaines


11.1.1 Concepts et Objets : nature, quantit, terminal

Tous les objets analogiques valus (porteurs de valeurs qui changent au cours de la
simulation) drivent de la notion de nature qui est au monde analogique ce que le type est au
monde informatique. La nature est attache une discipline , c'est--dire la partie du
monde physique qui lon veut reprsenter, et qui correspond en gnral un paquetage
spcifique contenant aussi les constantes et types utiles au domaine. Cette discipline peut tre
lectrique, mcanique, thermique, etc. Le concepteur lectronique utilisera principalement le
paquetage electrical_systems (12.4.2 page 152). On dclare des terminaux (terminal)
comme appartenant une nature. On dclare aussi des quantits (quantity) qui ont le statut
de variables dans le jeu dquations rsoudre et qui peuvent subir des quations
diffrentielles implicites selon la forme de leur dclaration. Quantits et terminaux peuvent
tre membres de structures, on peut faire des tableaux ou des structures incluant des natures.

La nature reprsente sous un nom unique la vue de la discipline qui obit des lois de
conservation comme celles de Kirchhoff en lectricit. Elle dclare un terminal
rfrence (voir ci-dessous la description des quantits et rfrences). Elle dfinit aussi
que les terminaux de cette nature auront deux quantits implicites : une des quantits
(ACROSS) est de la catgorie potentiel, lautre (THROUGH) est de la catgorie flux.
Les lois de conservation disent que le potentiel entre deux terminaux est unique, et que
la somme des flux est nulle. La nature dfinit aussi une rfrence qui sera la masse en
lectricit, point zro des mesures de potentiel. La nature lectrique est ainsi dfinie :
nature electrical is
voltage across
current through
electrical_ref reference ;

La quantit est une variable du systme au sens mathmatique du terme, le solveur


cherche modifier sa valeur de faon que toutes les quations de la description soient
vraies (aux tolrances prs). La quantit est toujours dun type ou sous-type de genre
rel. Les quantits peuvent tre
Analogique
-99-
crire & Comprendre VHDL & AMS J Rouillard 2008

o libres : simples variables la discrtion du concepteur. Elles sont simplement


dclares en tant que telles : quantity Q : real ;
o de branches : les quantits associes un terminal through, across-
subissent les lois de conservation sans quon ait les crire. Elles sont
dclares avec la mention de leur genre et des terminaux concern :
quantity V across term_plus to term_moins ;
(V est la mesure de la tension entre plus et moins ; si on en dclare dautres
entre les mmes terminaux, leurs valeurs seront identiques)
quantity I through term_plus to term_moins ;
(I est un des courants passant entre plus et moins. Si on en dclare dautres
entre les mmes terminaux, leur effet sera additif). On peut aussi factoriser les
dclarations, ici on dclare une tension et deux courants :
quantity V across
I1, I2 through
term_plus to term_moins ;
On peut encore omettre le second terminal, cest la rfrence de la nature qui
sera utilise.
Enfin, si on utilise des vecteurs de terminaux (Vect1 to Vect2), la
correspondance se fera terme terme si les deux cts sont de mme structure,
ou un vers tous si un des cts est scalaire et lautre est structur. Ici T1 est
scalaire, T2 est un tableau de terminaux ; la dclaration T1 to T2 va crer des
vecteurs de quantits, comme si lon avait dclar trois fois T1 to T2(x)
T2(0)
T1 T2(1)
T2(2)

o sources : ce sont des quantits utilisables seulement dans le domaine


frquentiel, qui produisent au choix du bruit (noise) ou un spectre de
frquences (spectrum).
quantity Q : real spectrum magnitude, phase ;
quantity Q : real noise puissance ;
Le terminal est, dans le monde lectrique, lquipotentielle qui porte les lois de
conservation. Ainsi dans le monde lectrique on ne peut parler de tension quentre
deux terminaux (un terminal particulier dclar dans la dfinition de la nature, la
rfrence, fait office de masse). On ne peut parler de courant quen termes de
passage travers le terminal, la loi tant que la somme algbrique de tous les
passages est nulle. Dclaration : terminal T : electrical ;
Le terminal est dfini par une nature, laquelle, comme vu ci-dessus, son tour dfinit
o deux quantits (across, through), accessibles depuis le terminal par les
attributs ponymes : TermTHROUGH et TACROSS.
o une rfrence sous la forme dun terminal ddi (~la masse) accessible par un
attribut NatREFERENCE. Attention, le mme attribut appliqu un terminal
rend une quantit across entre ce terminal et la rfrence de sa nature.
o le jeu dquations de la loi de conservation correspondante (across ~ tension
est unique entre deux terminaux, through ~ courant est tel que la somme de
tous les through dun jeu de terminaux interconnects est nulle).

Quantits et terminaux peuvent apparatre en place de ports dans les interfaces des entits et
des composants.

Analogique
-100-
crire & Comprendre VHDL & AMS J Rouillard 2008

entity E is
port (signal S : out BIT ; quantity Q : in real ; terminal T : electrical)
end entity E ;

Contrairement aux autres objets du langage, les valeurs associes sont toujours de type rel ou
dun sous-type de genre rel, et sont initialises 0.0. Rappelons que dans le monde
squentiel, la valeur initiale est la plus petite du type, et donc pour le type rel est un rel
ngatif de trs grande valeur absolue :
signal S : real ;
-- initialis un nombre ngatif trs petit (de grande valeur absolue)
quantity Q : real ; -- initialise 0.0.

Attention: les valeurs initiales, explicites ou implicites, ne sont que les valeurs proposes au
simulateur pour commencer ses heuristiques de rsolution.

11.1.2 Instructions
Les quelques constructions spcifiques sont:
Lquation : qui a deux branches dordre indiffrent, et que le solveur cherche
rendre vraie :
o la version simple : A==B ;
o linstruction conditionnelle:
if condition use A==B ;
elsif condition use C==D ; elsifelseend use;
o linstruction slecte: case expression use
when X => A==B ;
when Y => C==D ;end case;
Les conditions sont boolennes et donc changent de valeur instantanment :
dans la plupart des cas, cela se traduira par une discontinuit dans le modle.
Cette discontinuit doit tre signale au simulateur par linstruction break, voir
ci-dessous 11.1.3.
Le procedural: sorte de processus permettant dcrire du code squentiel.
procedural is
dclarations
begin
instructions
end procedural ;
Cette instruction est dfinie par une quivalence de la forme quation simple,
comprenant dun ct lagrgat de toutes les quantits affectes dans le procedural, et
de lautre ct un appel de fonction reprenant le code du procdural, qui lon passe
en argument toutes les quantits et terminaux mentionns.
(Q1, Q2, Q3) == Appel_de_F(Q1, Q2, Q3 , Q4, T1,) ;
Le procedural est appel par le noyau un nombre indtermin de fois (contrairement
au processus). Ces appels visent rendre vraie lquation quivalente. Les variables
locales sont volatiles et rinitialises chaque appel (contrairement au processus).

11.1.3 Conditions dexcution et de solvabilit :


Le nombre dquations et dinconnues : il sagit pour le solveur de rsoudre un jeu
de N quations. Comme chacun sait, il faut pour cela N inconnues. Cette contrainte en
VHDL-AMS nest pas vrifie globalement mais localement chaque couple
entit/architecture. La rgle est que la somme des quantits libres (les quantits
dclares), des quantits de mode out et des quantits through des terminaux doit

Analogique
-101-
crire & Comprendre VHDL & AMS J Rouillard 2008

tre gale au nombre dquations dans chaque architecture. Cela est vrifi la
compilation ou au plus tard llaboration si lon utilise la gnration (generate).
Les discontinuits : chaque fois quil y a une discontinuit dans la reprsentation, le
solveur doit en tre averti au cours de la simulation, explicitement, par une instruction
break qui est lance depuis le monde logique, lui-mme ventuellement prvenu
depuis le monde analogique par lutilisation de lattribut ABOVE. Attention : rien ne
signale au concepteur quil a oubli de mettre cette instruction, mais un bon indice est
quil utilise des constructions conditionnelles dans le jeu dquations. Le cas chant,
en cas doubli les traces de simulation vont simplement gommer la discontinuit et
crer des situations impossibles (dans le cas de la balle qui rebondit, elle va passer
travers le mur avant de revenir, puisque le point de discontinuit na gure de chance
dtre exactement un point de calcul). Cette instruction signale au solveur quil doit
reprendre au temps spcifi qui devient donc un point de calcul obligatoire, avec de
nouvelles conditions pseudo-initiales quil doit donc chercher.
La convergence : aucune mthode automatique ne permet au compilateur de garantir
la convergence du jeu dquations. La mise au point des modles se fait donc
essentiellement sur cette question, avec la dtermination des bonnes valeurs
initiales.

11.1.4 Les domaines dexcution


Les simulateurs peuvent fonctionner dans lun des trois modes : temporel, frquentiel, et
recherche de stabilit.
Dans le domaine temporel, le signal prdfini DOMAIN vaut TIME_DOMAIN, le
temps avance et les descriptions peuvent tre mixtes (analogique/digital). Les
quantits sources , c'est--dire provoquant du bruit lmission dun spectre de
frquences sont colles zro.
Dans le domaine frquentiel, le signal prdfini DOMAIN vaut
FREQUENCY_DOMAIN. La partie digitale du modle est ignore et les quantits
sources , c'est--dire provoquant du bruit lmission dun spectre de frquences
sont fonctionnelles.
Dans le domaine stabilit , le signal prdfini DOMAIN vaut
QUIESCENT_DOMAIN. Il sagit l pour le simulateur de trouver un point stable
avant de partir pour de nouvelles aventures.
Le signal DOMAIN est un signal normal dclar dans le paquetage STD.STANDARD.
Un processus peut donc parfaitement tre sensible sur ce signal et changer de comportement
quand le domaine de travail change.

11.2 lments de base


11.2.1 Rsistance

La rsistance est simplement dfinie par sa valeur et ses bornes qui sont deux terminaux. La
valeur peut tre donne en gnrique (ce sera une constante) ou comme une quantit, auquel
cas elle pourra changer en cours de simulation.

Analogique
-102-
crire & Comprendre VHDL & AMS J Rouillard 2008

library ieee; library ieee;


use use
disciplines.electrical_systems.all; disciplines.electrical_systems.all;
entity resist is entity resist is
port (quantity R : RESISTANCE; generic (R : RESISTANCE);
terminal p, m : ELECTRICAL); port (terminal p, m : ELECTRICAL);
end entity resist; end entity resist;

architecture analog of resist is


quantity v across i through p to m;
begin
v == i*R;
end architecture analog;

11.2.2 Rsistance thermique

On voit ici que le modle de rsistance thermique dans un autre domaine conservatif est tout
fait semblable.

library ieee;
use ieee.thermal_systems.all;
entity resistance_th is
port (quantity k : IN THERMAL_RESISTANCE;
terminal th1, th2 : THERMAL);
end entity resistance_thermique;

architecture analog of resistance_thermique is


quantity t across h through th1 to th2;
begin
t == h*k;
end architecture analog;

11.2.3 Capacit

La capacit est simplement dfinie par sa valeur et ses bornes qui sont deux terminaux. La
valeur peut tre donne en gnrique (ce sera une constante) ou comme une quantit, auquel
cas elle pourra changer en cours de simulation.

On teste par la valeur du signal DOMAIN si le modle est en train de calculer son tat DC en
chargeant la capacit de faon que sa tension soit gale Vinit; ou sil est en train de simuler
dans le temps.

Analogique
-103-
crire & Comprendre VHDL & AMS J Rouillard 2008

library ieee; library ieee;


use use
disciplines.electrical_systems.all; disciplines.electrical_systems.all;
entity capacit is entity capacit is
generic (Vinit : VOLTAGE := 0.0); generic (Vinit: VOLTAGE := 0.0 ;
port (quantity C : CAPACITANCE; C : CAPACITANCE) ;
terminal p, m : ELECTRICAL); port (terminal p, m : ELECTRICAL);
end entity capacit; end entity capacit;
architecture analog of capacit is
quantity v across i through p to m;
quantity Q : CHARGE;
BEGIN
if (domain = quiescent_domain) use
Q == C* Vinit;
v == Vinit;
elsif (domain = time_domain) use
Q == C * v ;
i == Q'dot;
end use;
end architecture analog;

11.2.4 Self

La self est simplement dfinie par sa valeur et ses bornes qui sont deux terminaux. La valeur
peut tre donne en gnrique (ce sera une constante) ou comme une quantit, auquel cas elle
pourra changer en cours de simulation.

On teste par la valeur du signal DOMAIN si le modle est en train de calculer son tat DC en
chargeant la self de faon que son courant soit gal Iinit; ou sil est en train de simuler
dans le temps.

library ieee; library ieee;


use use
disciplines.electrical_systems.all; disciplines.electrical_systems.all;
entity self is entity self is
generic (Iinit: CURRENT := 0.0); generic (Iinit : CURRENT := 0.0;
port (quantity L : INDUCTANCE ; L : INDUCTANCE);
terminal p, m : ELECTRICAL); port (terminal p, m : ELECTRICAL);
end entity self; end entity self;
architecture analog of self is
quantity v across i through p to m;
quantity F : FLUX;
BEGIN
if (domain = quiescent_domain) use
F == L * Iinit;
i == IInit;
elsif (domain = time_domain) use
F == L * i;
v == F'dot;
end use;
end architecture analog;

Analogique
-104-
crire & Comprendre VHDL & AMS J Rouillard 2008

11.2.5 Source de tension


Cette source de tension fonctionne dans les domaines de simulation temporelle et
frquentielle. De mme que pour les exemples prcdents, certaines des quantits dentre
pourraient tre passes en gnrique. Comme il y en a trois, nous nallons pas passer en revue
toutes les combinaisons possibles.

library ieee;
use disciplines.electrical_systems.all;
entity source_tension is
port (quantity consigne : in VOLTAGE;
quantity MAG : in VOLTAGE;
quantity PHASE : in REAL;
terminal p, m: ELECTRICAL);
end entity source_tension;

Larchitecture, dans les domaines quiescent et time , fait simplement en sorte que la
tension aux bornes des terminaux soit gale, au signe prs, la tension de rfrence. Dans le
domaine frequency , la tension porte un bruit dfini par son spectre (magnitude, phase).
library ieee;
use ieee.math_real.all;
architecture analog of source_tension is
quantity v across i through p to m;
quantity ac_spec : real spectrum MAG, MATH_2_PI * PHASE/360.0;
begin
if (domain = quiescent_domain) or (domain = time_domain) use
v == - consigne;
else
v == - ac_spec;
end use;
end architecture analog;

11.2.6 Source de courant

De mme que prcdemment, cette source de courant fonctionne dans les domaines de
simulation temporelle et frquentielle. Nous ne reprsenterons pas non plus toutes les
combinaisons possibles de permutations entre la gnricit et le passage de quantits.

library ieee;
use disciplines.electrical_systems.all;
entity source_courant is
port (quantity consigne : IN CURRENT;
quantity MAG : in CURRENT;
quantity PHASE : in REAL;
terminal p, m: ELECTRICAL);
end entity source_courant;

Analogique
-105-
crire & Comprendre VHDL & AMS J Rouillard 2008

library ieee;
use ieee.math_real.all;
architecture analog of source_courant is
quantity v across i through p to m;
quantity ac_spec : real spectrum MAG, MATH_2_PI * PHASE/360.0;
begin
if (domain = quiescent_domain) or (domain = time_domain) use
i == consigne;
else
i == ac_spec;
end use;
end architecture analog;

11.2.7 Interrupteur

Un interrupteur parfait a pour caractristique que, en position ferm, la tension ses bornes
est nulle, et quen position ouverte, le courant qui le traverse est nul. Lentit comporte donc
deux terminaux et une quantit de commande.

library ieee;
use disciplines.electrical_systems.all;
entity interrupteur is
port (quantity commande : in REAL;
terminal t1,t2 : ELECTRICAL);
end entity interrupteur;

Larchitecture va simplement dclarer les deux galits i=0 et v=0 selon la valeur de la
commande. noter lutilisation de linstruction break sur le signal cr par la commande,
puisquil y a une discontinuit quil faut signaler.
architecture analog of interrupteur is
quantity v across i through t1 to t2;
signal local : BOOLEAN;
begin
local <= commande (0.0);
break on local;
if (local and (commande > 0.0)) use
v == 0.0;
else
i == 0.0;
end use;
end architecture analog;

11.2.8 Diode
(Exemple repris de [TUT] page 161)

library IEEE, Disciplines;


use disciplines.electrical_system.all;
entity Diode is
generic (iss: REAL := 1.0e-14;
n, af: REAL := 1.0;
tt, cj0, vj, rs, kf: REAL := 0.0);
port (terminal anode, cathode: electrical);
end entity Diode;

Analogique
-106-
crire & Comprendre VHDL & AMS J Rouillard 2008

library IEEE ; use IEEE.math_real.all;


architecture Level0 of Diode is
quantity vd across id, ic through anode to cathode;
quantity qc: charge;
constant vt: REAL := 0.0258;
-- thermal voltage
begin
id==iss * (exp((vd-rs*id)/(n*vt)) - 1.0); (
id = is e (vd rsid ) nvt 1 )
( )
qc==tt*id - 2.0*cj0 * sqrt(vj**2 - vj*vd);
ic == qcdot; d
end architecture Level0; ic = tt id 2 cj 0 vj 2 vj vd
dt

11.2.9 Amplificateur oprationnel idal

Lamplificateur oprationnel idal a deux entres et une sortie, ses quations en mode linaire
sont simples: la diffrence de potentiel entre les entres est nulle, limpdance dentre est
infinie donc le courant dentre est nul.

Ce modle trs simple ne traite donc, ni des amplificateurs gain non infini, ni du mode
satur.
library DISCIPLINES;
use DISCIPLINES.electrical_systems.all;

entity ampli_op is

port (terminal e_plus , e_moins, sortie : electrical);

end entity ampli_op;

On notera que le modle demande deux quations, il faut que la somme des quantits libres,
through et de mode out soit gale 2. Nous voyons donc ici la ncessit de dclarer une
quantit qui nintervient pas dans les quations explicites : courant_sortie. Elle est
videmment rattache au systme par les quations implicites de Kirchhoff lies ses
terminaux de rfrence.
architecture ideal of ampli_op is

quantity ddp across courant_entre through e_plus to e_moins;


quantity courant_sortie through sortie to ELECTRICAL_REF;

begin

ddp == 0.0;
courant_entre == 0.0;
end architecture ideal;

Analogique
-107-
crire & Comprendre VHDL & AMS J Rouillard 2008

11.2.10 Un modle mcanique: Tac-Tac

Ce gadget trs simple est constitu de deux boules attaches un point fixe par une ficelle.
Hypothses : Nous allons supposer ici que
le choc est parfaitement lastique
seule la rsistance de lair a donc un effet ralentisseur (la force due
cette rsistance est de module K.S.v2 o S est la surface de la projection
de lobjet sur un plan perpendiculaire au mouvement, et K une constante
dpendant de la forme sexprimant en kg/m3 ; on prendra ici K.S = 0.3 kg/m)
les deux boules sont de masse gale et de diamte ngligeable (quasi-ponctuelles.)
Un peu de trigonomtrie nous apprend que la valeur absolue de la composante
horizontale de lacclration due laction de la pesanteur est = g x2/l2
Comme chacun sait, dans ce cas lors du choc les deux vitesses schangent , par exemple
si une boule tait immobile la boule en mouvement sarrte et la boule immobile part avec la
vitesse de la boule qui sarrte. Cest lobjet de la deuxime instruction break. Ceci se
dmontre facilement en posant la conservation de la quantit de mouvement (m1v1+m2v2) et
la conservation de lnergie (m1v12/2+m2v22/2).
Avec les hypothses prises, la masse nintervient pas dans les quations.

library DISCIPLINES; use DISCIPLINES.mechanical_systems.all;


entity tactac is
end entity tactac;

architecture a of tactac is

quantity v1,v2: velocity;


quantity x1,x2: displacement;
constant g:real := 9.81;
constant longueur_fil:real := 1.0;
constant KS: real := 0.3;

-- Une fonction qui calcule lacclration dune boule en prenant en compte


-- les signes dus aux signes des x et des vitesses.

function calculg(x,v:real) return real is


begin
if x>0.0 then
if v > 0.0 then
return - g * (x**2/longueur_fil**2) - (v**2)*KS;
else
return -g * (x**2/longueur_fil**2) + (v**2)*KS;
end if;
else
if v1 > 0.0 then
return + g * (x**2/longueur_fil**2) - (v**2)*KS;
else
return + g * (x**2/longueur_fil**2) + (v**2)*KS;
end if;
end if;
end;

begin
-- les conditions initiales:
break v1 => 0.0, x1 => 0.1, v2 => 0.0, x2 => -0.0;
-- la discontinuit lors du choc

Analogique
-108-
crire & Comprendre VHDL & AMS J Rouillard 2008

break v1=>v2, v2=>v1 on x1'above(x2), x2'above(x1);

-- definition des vitesses


x1'DOT == v1;
x2'DOT == v2;

-- definition des acclrations


v1'dot==calculg(x1,v1);
v2'dot==calculg(x2,v2);

end architecture a;

Figure 47 Chronogrammes de l'abscisse des boules d'un "Tac-Tac"

On vrifiera facilement quen donnant des conditions initiales symtriques (les deux boules
spares de leur position de repos avec la mme quantit), on obtient bien le rebond
caractristique de ce jeu.
Ce modle est facile compliquer pour, par exemple, simuler lexcitation que donne le joueur
au systme en remuant verticalement lensemble la bonne frquence. On peut aussi simuler
un choc plus ou moins mou en calculant la vitesse aprs le choc dune faon qui tienne
compte de la perte dnergie (dans le deuxime break).

11.3 Modlisation mixte


11.3.1 Convertisseur digital/analogique

11.3.1.1 Rseau R/2R, structurel


Un convertisseur digital analogique sous sa forme la plus simple est un rseau dit R/2R ,
c'est--dire un rseau dans lequel chaque bit contribue autant que la somme de tous les bits de
poids infrieur, par la grce dun rseau maill de ce type :

Valeur analogique
2R R R

2R 2R 2R Etc

Bit 0 Bit 1 Bit 2

Figure 48 Rseau R/2R

On voit ainsi que, si les entres numriques sont telles que 0 logique fait 0 volt et 1 logique
fait 5 volts, la sortie analogique aura une tension de 5* K * la_valeur_reprsente / 2n volts,
o K est un nombre infrieur 1 qui dpend de N (K=1/2 pour N=1, 3/4 pour N=2, 7/8 pour

Analogique
-109-
crire & Comprendre VHDL & AMS J Rouillard 2008

N=3, etc.) La dmonstration est triviale et relve de lapplication de la loi dOhm avec un
raisonnement par rcurrence.

Le plus souvent, les circuits de ce genre contiennent des amplificateurs oprationnels (pour ne
pas tre contraints par la tension dalimentation, ou saffranchir des impdances). Ils peuvent
aussi avoir des rseaux de rsistances plus simples mais ncessitant des rsistances de valeurs
R, 2R, 4R, 8R. Or il est infiniment plus facile de faire des rsistances identiques dont la valeur
exacte importe peu pour autant quelle soit commune, que des rsistances exactement
multiples les unes des autres dans des proportions qui peuvent aller de 1 232. Cest pourquoi
le rseau R/2R, servi le plus souvent par des amplificateurs oprationnels, est trs rpandu.

Lentit dun tel bloc sera :


library ieee; use ieee.std_logic_1164.all;
use disciplines.electrical_systems.all ;
entity R2R is
generic (N : positive;
tension_alimentation: voltage := 5.0 ;
R :resistance :=1000.0) ;
port (signal entree : std_logic_vector( N-1 downto 0) ;
terminal sortie : electrical ) ;
end R2R ;

Larchitecture peut tre dcrite de faon structurelle, en instanciant les rsistances telles que
dfinies au 11.2.1 page 100 :

architecture mixte of R2R is


component resist -- en prenant la copie exacte de lentit, on svite la
-- configuration car la configuration par dfaut va fonctionner.
generic (R : RESISTANCE);
port (terminal p, m : ELECTRICAL);
end component;
nature tableau is array (integer range <>) of electrical;
terminal Intermediaires : tableau(N-1 downto 0) ;
terminal Entrees_Analogiques: tableau(N-1 downto 0);
quantity V_EA across I_EA through Entrees_Analogiques to ELECTRICAL_REF;
begin

boucle1: for I in N-1 downto 0 generate


-- ici on convertit pour chaque bit les 0 et 1 logiques
-- en 0.0 et 5.0 volts.
if Entree(I)='0'
use V_EA(I) == 0.0 ;
elsif Entree(I)='1'
use V_EA(I) == tension_alimentation ;
end use ;
break on Entree(I);
end generate;

boucle2: for I in N-2 downto 1 generate


-- on instancie le rseau R/2R except ses deux extrmits qui
-- ne sont pas rgulires.
Res_2R: resist generic map (2.0*R)
port map (Entrees_analogiques(I), Intermediaires(I)) ;
Res_R: resist generic map (R)
port map (Intermediaires(I-1), Intermediaires(I)) ;
end generate;

Analogique
-110-
crire & Comprendre VHDL & AMS J Rouillard 2008

-- la premire maille est spcifique, elle est charge par 2R la masse.


Res_2R_premier: resist generic map (2.0*R)
port map (Entrees_analogiques(0), Intermediaires(0)) ;
Res_charge: resist generic map (2.0*R)
port map (ELECTRICAL_REF, Intermediaires(0)) ;

-- la dernire maille sort sur le terminal de sortie et non pas


-- sur le vecteur interne.
Res_2R_dernier : resist generic map (2.0*R)
port map (Entrees_analogiques(N-1), Sortie) ;
Res_R_dernier : resist generic map (R)
port map (Intermediaires(N-2), Sortie) ;
end mixte;

11.3.1.2 Convertisseur Digital-Analogique, comportemental

Un convertisseur peut tre purement comportemental, c'est--dire dfini par des quations qui
ne prjugent en rien de limplmentation future.

library ieee; use ieee.std_logic_1164.all;


use disciplines.electrical_systems.all ;
entity CNA is
generic (N : positive;
tension_reference: voltage := 5.0) ;
port (signal entree : std_logic_vector( N-1 downto 0) ;
terminal sortie : electrical ) ;
end CNA;

Une architecture comportementale pourrait tre :

library ieee;
use ieee.std_logic_arith.all;
architecture beh of CNA is
quantity V_Sortie across I_Sortie through sortie to ELECTRICAL_REF;
begin
V_Sortie ==
tension_reference *(2.0**N-1.0)
*real(conv_integer(unsigned(entree)))/2.0**(2*N);
break on entree;
end;

On voit quici, le modle recopie le comportement dun rseau R/2R et on calcule simplement
la tension de sortie en lui appliquant la formule vue 11.3.1.1 ci-dessus. La seule diffrence
est que limpdance de sortie de ce modle comportemental est nulle, ce modle reprsente
donc un systme actif amplificateur oprationnel, utilisant un rseau R/2R.

11.3.2 Convertisseur Analogique-Digital

Un tel convertisseur demande classiquement un convertisseur digital/analogique, un


comparateur et un systme digital proposant des valeurs sur la base du comparateur. Ce
systme peut tre trs lent et rustique (un compteur qui sincrmente jusqu trouver la valeur
par dpassement du seuil) ou un peu plus sophistiqu (essais par interpolations successives).

Analogique
-111-
crire & Comprendre VHDL & AMS J Rouillard 2008

Horloge
Validation
Logique proposant des
valeurs Sortie

Convertisseur Valide
Digital/analogique Registre de sortie
Entre analogique

Comparateur

Figure 49 Convertisseur Analogique/Digital

Le convertisseur D/A est de la forme dcrite plus haut, par exemple un R/2R.

11.3.2.1 Comparateur

Le comparateur prend deux valeurs analogiques en entre et soumet 1 ou 0 sur son unique
sortie logique selon la comparaison des deux valeurs.
Lattribut ABOVE va faire tout le travail, comme il rend un boolen et que nous avons besoin
dun STD_LOGIC nous utilisons une affectation de signal conditionnelle.

library ieee;
use ieee.std_logic_1164.all;
use disciplines.electrical_systems.all ;
entity comp is
port (terminal A,B: electrical; resultat: out std_logic);
end;

architecture beh of comp is


quantity IA across A;
quantity IB across B;
begin
resultat <= '1' when IA'ABOVE(IB) else '0';
end;

11.3.2.2 Proposer des valeurs (modle purement digital)

Nous allons utiliser ici une solution rapide pour proposer des valeurs au comparateur, en
procdant par essais et dcisions sur chaque bit individuellement en commenant par le bit de
poids fort. Par exemple, supposons une valuation sur 4 bits et que la valeur analogique
dterminer soit entre la 10me position 1001 et la 11me position 1010 (sur 16, en
commenant 0)

On part de 0000
 On propose 0000, le rsultat de la comparaison aprs CN/A est 0 (trop petit)
 On change le premier bit
 On propose 1000. Le rsultat de la comparaison est 0 (trop petit) ; on laisse.
Le premier bit est fix, passons au second :
 1000 est trop petit, on propose 1100, le rsultat du comparateur est 1 (trop grand)
Analogique
-112-
crire & Comprendre VHDL & AMS J Rouillard 2008

 On revient 1000.
Le second bit est fix, passons au troisime :
 1000 est trop petit, on propose 1010, le rsultat est 1 (trop grand)
 On revient 1000.
Le troisime bit est fix, passons au quatrime :
 1000 est trop petit, on propose 1001.
 Le rsultat de la comparaison est 0 (trop petit) ; on laisse.

Le rsultat est donc 1001


Pour des raisons de simplicit, nous ne grerons pas dindicateurs de dpassement.

Lentit aura en entre une horloge, dont nous utiliserons les deux fronts : lun pour proposer
la nouvelle valeur au convertisseur N /A, lautre pour lire le rsultat de la comparaison. Il y a
deux ports sortants de N bits, lun pour proposer la valeur dessai au convertisseur, lautre
pour fournir en sortie une valeur stable entre deux valuations. Une entre venant du
comparateur, et une sortie indiquant que la sortie est valide.

library ieee;
use ieee.std_logic_1164.all;
entity proposeur is
generic (N:integer:=16);
port ( horloge:in std_logic;
reset: in std_logic;
resultat_comparaison: in std_logic;
valide : out std_logic ;
proposition, sortie: out std_logic_vector(N-1 downto 0));
end entity proposeur;

Larchitecture est organise autour dun processus quon rend sensible sur lhorloge et sur le
reset.
library ieee;
use ieee.std_logic_1164.all;
architecture simple of proposeur is

begin
process(horloge, reset)
variable registre: std_logic_vector(N-1 downto 0);
variable I:integer:=N-1; -- pour indexer les bits
begin
if reset='1' then -- zone de reset
registre:=(others=>'0');
proposition<=registre;
sortie<=registre;
valide<='0';
I :=N-1 ;
elsif horloge'event then -- zone active par lhorloge
-- on suppose que la valeur propose ici est toujours trop petite
-- et que le bit courant vaut 0 (voir algorithme dtaill plus haut)
valide<='1'; -- la sortie est ici stable et valide
-- (cf ci-dessous)
if horloge='1' then -- front montant
registre(I):='1'; -- on passe 1 le bit courant
else -- front descendant
if resultat_comparaison='1' then
-- si la valeur est devenue trop grande,
-- on revient en arrire.

Analogique
-113-
crire & Comprendre VHDL & AMS J Rouillard 2008

registre(I):='0';
end if;
I := I-1;
end if;
proposition<=registre;
if I=0 then
sortie<=registre;
registre:=(others=>0);
valide<='0'; -- !!! la sortie sera stable et valide au passage 1
-- de ce signal (car attention au fait que les
-- signaux ne changent que sur un wait, sortie sera
-- propose au prochain wait et sa stabilit
-- demandera encore un demi-cycle dhorloge, cf supra)
I:=N-1;
end if;
end if; -- reset/horloge
end process;
end simple;

11.3.2.3 Assemblage du convertisseur : modle structurel mixe analogique/digital

Pour assembler notre CAN, nous allons utiliser une architecture structurelle.

Lentit sera calque sur le schma du paragraphe 11.3.2:


library ieee;
use ieee.std_logic_1164.all;
use disciplines.electrical_systems.all ;
entity CAN is
generic(N:positive);
port (horloge : in std_logic;
reset: in std_logic;
terminal entree : electrical;
sortie: out std_logic_vector(N-1 downto 0);
valide: out std_logic);
end CAN;

Larchitecture va appeler nos trois blocs que sont le proposeur, le comparateur et le CAN:
architecture struct of CAN is

component proposeur
generic (N:integer);
port ( horloge:in std_logic;
reset: in std_logic;
resultat_comparaison: in std_logic;
valide : out std_logic ;
proposition, sortie: out std_logic_vector(N-1 downto 0));
end component;

Analogique
-114-
crire & Comprendre VHDL & AMS J Rouillard 2008

component comp
port (terminal A,B: electrical; resultat: out std_logic);
end component;

component CNA
generic (N : positive;
tension_reference: voltage ) ;
port (signal entree : std_logic_vector( N-1 downto 0) ;
terminal sortie : electrical ) ;
end component;

terminal local: electrical;

signal local_prop: std_logic_vector(N-1 downto 0);

signal result_comp: std_logic;

begin

inst_CNA: CNA generic map(N, 5.0) port map (local_prop, local);


inst_comp : comp port map (entree , local, result_comp) ;
inst_proposeur: proposeur generic map(N)
port map(horloge,
reset,
result_comp,
valide,
local_prop,sortie );
end ;

Au test, nous pourrons observer la convergence de la valeur analogique essaye (aprs


conversion CNA) vers la valeur de rfrence comme ci-dessous :

Figure 50 Convergence de la conversion A/D par essais successifs

Analogique
-115-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
12 Rfrences 5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
7 COMPORTEMENTAL
12.1 Standard et utiles 8 SYNCHRONE
9 ASYNCHRONE
10 SWITCH
11 ANALOGIQUE
12
13 INDEX
14 TABLE DES FIGURES
15 BIBLIOGRAPHIE

12.1.1 STD.STANDARD
En italique les lignes spcifiques AMS.
package standard is
type boolean is (false,true);
type bit is ('0', '1');

type character is (
nul, soh, stx, etx, eot, enq, ack, bel, bs, ht, lf, vt, ff, cr, so, si,
dle, dc1, dc2, dc3, dc4, nak, syn, etb, can, em, sub, esc, fsp, gsp, rsp, usp,
' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/',
'0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?',
'@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O',
'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_',
'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', del,
c128, c129, c143,
c144, c145, c159,
' ', '', '', '', '', '', '', '', '', '', '', '', '', '-', '', '',
'', '', '', '', '', '', '', '', '', '', '', '', '', '', '', '',
'', '', '', '', '', '', '', '', '', '', '', '', '', '', '', '',
'', '', '', '', '', '', '', '', '', '', '', '', '', '', '', '',
'', '', '', '', '', '', '', '', '', '', '', '', '', '', '', '',
'', '', '', '', '', '', '', '', '', '', '', '', '', '', '', ''
);

type severity_level is (note, warning, error, failure);

type integer is range -2147483648 to 2147483647;


type real is range -1.0E308 to 1.0E308;
type time is range -2147483647 to 2147483647
units
fs;
ps = 1000 fs;
ns = 1000 ps;
us = 1000 ns;
ms = 1000 us;
sec = 1000 ms;
min = 60 sec;
hr = 60 min;
end units;
type DOMAIN_TYPE is (QUIESCENT_DOMAIN,
TIME_DOMAIN,
FREQUENCY_DOMAIN);
signal DOMAIN: DOMAIN_TYPE:=QUIESCENT_DOMAIN;
subtype delay_length is time range 0 fs to time'high;
impure function now return delay_length;

Paquetages VITAL
-117-
crire & Comprendre VHDL & AMS J Rouillard 2008

impure function now return real;


-- deviendra pure dans la prochaine rvision
function frequency return real;
subtype natural is integer range 0 to integer'high;
subtype positive is integer range 1 to integer'high;
type real_vector is array(natural range <>) of real;
type string is array (positive range <>) of character;
type bit_vector is array (natural range <>) of bit;
type file_open_kind is (read_mode, write_mode, append_mode);
type file_open_status is (open_ok,
status_error,
name_error,
mode_error);
attribute foreign : string;
end standard;

Paquetages VITAL
-118-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.1.2 STD.TEXTIO
package TEXTIO is
type LINE is access string;
type TEXT is file of string;
type SIDE is (right, left);
subtype WIDTH is natural;
file input : TEXT open read_mode is "STD_INPUT";
file output : TEXT open write_mode is "STD_OUTPUT";
procedure READLINE(file f: TEXT; L: out LINE);
procedure READ(L:inout LINE; VALUE: out bit; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out bit);
procedure READ(L:inout LINE; VALUE: out bit_vector;
GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out bit_vector);
procedure READ(L:inout LINE; VALUE: out BOOLEAN; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out character; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out character);
procedure READ(L:inout LINE; VALUE: out integer; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out integer);
procedure READ(L:inout LINE; VALUE: out real; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out real);
procedure READ(L:inout LINE; VALUE: out string; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out string);
procedure READ(L:inout LINE; VALUE: out time; GOOD : out BOOLEAN);
procedure READ(L:inout LINE; VALUE: out time);
procedure WRITELINE(file f : TEXT; L : inout LINE);
procedure WRITE(L : inout LINE; VALUE : in bit;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0);
procedure WRITE(L : inout LINE; VALUE : in bit_vector;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0);
procedure WRITE(L : inout LINE; VALUE : in BOOLEAN;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0);
procedure WRITE(L : inout LINE; VALUE : in character;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0);
procedure WRITE(L : inout LINE; VALUE : in integer;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0);
procedure WRITE(L : inout LINE; VALUE : in real;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0;
DIGITS: in NATURAL := 0);
procedure WRITE(L : inout LINE; VALUE : in string;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0);
procedure WRITE(L : inout LINE; VALUE : in time;
JUSTIFIED: in SIDE := right;
FIELD: in WIDTH := 0;
UNIT: in TIME := ns);
end;

Paquetages VITAL
-119-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.1.3 STD_LOGIC_TEXTIO
Une copie de TEXTIO mais le type BIT y est remplac par le type STD_LOGIC. Paquetage
non standard.
use STD.textio.all;
library IEEE;
use IEEE.std_logic_1164.all;
package STD_LOGIC_TEXTIO is

-- Read and Write procedures for STD_ULOGIC and STD_ULOGIC_VECTOR


procedure READ(L:inout LINE; VALUE:out STD_ULOGIC);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC;
GOOD: out BOOLEAN);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;
GOOD: out BOOLEAN);
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR;
GOOD: out BOOLEAN);
procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
--
-- Read and Write procedures for Hex and Octal values.
-- The values appear in the file as a series of characters
-- between 0-F (Hex), or 0-7 (Octal) respectively.
--
-- Hex
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;
GOOD: out BOOLEAN);
procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR;
GOOD: out BOOLEAN);
procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
-- Octal
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;
GOOD: out BOOLEAN);
procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR;
GOOD: out BOOLEAN);
procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
end STD_LOGIC_TEXTIO;

Paquetages VITAL
-120-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.2 IEEE
12.2.1 IEEE.STD_LOGIC_1164
PACKAGE std_logic_1164 IS
-------------------------------------------------------------------
-- logic state system (unresolved)
-------------------------------------------------------------------
TYPE std_ulogic IS ( 'U', -- Uninitialized
'X', -- Forcing Unknown
'0', -- Forcing 0
'1', -- Forcing 1
'Z', -- High Impedance
'W', -- Weak Unknown
'L', -- Weak 0
'H', -- Weak 1
'-' -- Don't care
);
-------------------------------------------------------------------
-- unconstrained array of std_ulogic for use with
-- the resolution function
-------------------------------------------------------------------
TYPE std_ulogic_vector IS ARRAY ( NATURAL RANGE <> ) OF std_ulogic;
-------------------------------------------------------------------
-- resolution function
-------------------------------------------------------------------
FUNCTION resolved ( s : std_ulogic_vector ) RETURN std_ulogic;
-------------------------------------------------------------------
-- *** industry standard logic type ***
-------------------------------------------------------------------
SUBTYPE std_logic IS resolved std_ulogic;
-------------------------------------------------------------------
-- unconstrained array of std_logic for use in declaring signal arrays
-------------------------------------------------------------------
TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;
-------------------------------------------------------------------
-- common subtypes
-------------------------------------------------------------------
SUBTYPE X01 IS resolved std_ulogic RANGE 'X' TO '1';
-- ('X','0','1')
SUBTYPE X01Z IS resolved std_ulogic RANGE 'X' TO 'Z';
-- ('X','0','1','Z')
SUBTYPE UX01 IS resolved std_ulogic RANGE 'U' TO '1';
-- ('U','X','0','1')
SUBTYPE UX01Z IS resolved std_ulogic RANGE 'U' TO 'Z';
-- ('U','X','0','1','Z')
-------------------------------------------------------------------
-- overloaded logical operators
-------------------------------------------------------------------
FUNCTION "and" ( l : std_ulogic; r : std_ulogic ) RETURN UX01;
FUNCTION "nand" ( l : std_ulogic; r : std_ulogic ) RETURN UX01;
FUNCTION "or" ( l : std_ulogic; r : std_ulogic ) RETURN UX01;
FUNCTION "nor" ( l : std_ulogic; r : std_ulogic ) RETURN UX01;
FUNCTION "xor" ( l : std_ulogic; r : std_ulogic ) RETURN UX01;
FUNCTION "xnor" ( l : std_ulogic; r : std_ulogic ) return ux01;
FUNCTION "not" ( l : std_ulogic ) RETURN UX01;
-------------------------------------------------------------------
-- vectorized overloaded logical operators
-------------------------------------------------------------------
FUNCTION "and" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "and" ( l, r : std_ulogic_vector ) RETURN std_ulogic_vector;

Paquetages VITAL
-121-
crire & Comprendre VHDL & AMS J Rouillard 2008

FUNCTION "nand" ( l, r : std_logic_vector ) RETURN std_logic_vector;


FUNCTION "nand" ( l, r : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION "or" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "or" ( l, r : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION "nor" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "nor" ( l, r : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION "xor" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "xor" ( l, r : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION "xnor" ( l, r : std_logic_vector ) return std_logic_vector;
FUNCTION "xnor" ( l, r : std_ulogic_vector ) return std_ulogic_vector;
FUNCTION "not" ( l : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "not" ( l : std_ulogic_vector ) RETURN std_ulogic_vector;
-------------------------------------------------------------------
-- conversion functions
-------------------------------------------------------------------
FUNCTION To_bit ( s : std_ulogic; xmap : BIT := '0') RETURN BIT;
FUNCTION To_bitvector ( s : std_logic_vector ; xmap : BIT := '0')
RETURN BIT_VECTOR;
FUNCTION To_bitvector ( s : std_ulogic_vector; xmap : BIT := '0')
RETURN BIT_VECTOR;
FUNCTION To_StdULogic ( b : BIT ) RETURN std_ulogic;
FUNCTION To_StdLogicVector ( b : BIT_VECTOR) RETURN std_logic_vector;
FUNCTION To_StdLogicVector ( s : std_ulogic_vector )
RETURN std_logic_vector;
FUNCTION To_StdULogicVector ( b : BIT_VECTOR) RETURN std_ulogic_vector;
FUNCTION To_StdULogicVector ( s : std_logic_vector)
RETURN std_ulogic_vector;
-------------------------------------------------------------------
-- strength strippers and type convertors
-------------------------------------------------------------------
FUNCTION To_X01 ( s : std_logic_vector ) RETURN std_logic_vector;
FUNCTION To_X01 ( s : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION To_X01 ( s : std_ulogic ) RETURN X01;
FUNCTION To_X01 ( b : BIT_VECTOR ) RETURN std_logic_vector;
FUNCTION To_X01 ( b : BIT_VECTOR ) RETURN std_ulogic_vector;
FUNCTION To_X01 ( b : BIT ) RETURN X01;
FUNCTION To_X01Z ( s : std_logic_vector ) RETURN std_logic_vector;
FUNCTION To_X01Z ( s : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION To_X01Z ( s : std_ulogic ) RETURN X01Z;
FUNCTION To_X01Z ( b : BIT_VECTOR ) RETURN std_logic_vector;
FUNCTION To_X01Z ( b : BIT_VECTOR ) RETURN std_ulogic_vector;
FUNCTION To_X01Z ( b : BIT ) RETURN X01Z;
FUNCTION To_UX01 ( s : std_logic_vector ) RETURN std_logic_vector;
FUNCTION To_UX01 ( s : std_ulogic_vector ) RETURN std_ulogic_vector;
FUNCTION To_UX01 ( s : std_ulogic ) RETURN UX01;
FUNCTION To_UX01 ( b : BIT_VECTOR ) RETURN std_logic_vector;
FUNCTION To_UX01 ( b : BIT_VECTOR ) RETURN std_ulogic_vector;
FUNCTION To_UX01 ( b : BIT ) RETURN UX01;
-------------------------------------------------------------------
-- edge detection
-------------------------------------------------------------------
FUNCTION rising_edge (SIGNAL s : std_ulogic) RETURN BOOLEAN;
FUNCTION falling_edge (SIGNAL s : std_ulogic) RETURN BOOLEAN;
-------------------------------------------------------------------
-- object contains an unknown
-------------------------------------------------------------------
FUNCTION Is_X ( s : std_ulogic_vector ) RETURN BOOLEAN;
FUNCTION Is_X ( s : std_logic_vector ) RETURN BOOLEAN;
FUNCTION Is_X ( s : std_ulogic) RETURN BOOLEAN;
END std_logic_1164;

Paquetages VITAL
-122-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.2.2 IEEE.STD_LOGIC_ARITH
library IEEE;
use IEEE.std_logic_1164.all;
package std_logic_arith is
type UNSIGNED is array (NATURAL range <>) of STD_LOGIC;
type SIGNED is array (NATURAL range <>) of STD_LOGIC;
subtype SMALL_INT is INTEGER range 0 to 1;
function "+"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "+"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: INTEGER) return UNSIGNED;
function "+"(L: INTEGER; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: INTEGER) return SIGNED;
function "+"(L: INTEGER; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: STD_ULOGIC) return SIGNED;
function "+"(L: STD_ULOGIC; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "+"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "+"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "+"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "-"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: INTEGER) return UNSIGNED;
function "-"(L: INTEGER; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: INTEGER) return SIGNED;
function "-"(L: INTEGER; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: STD_ULOGIC) return SIGNED;
function "-"(L: STD_ULOGIC; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "-"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "-"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "-"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED) return SIGNED;
function "-"(L: SIGNED) return SIGNED;

Paquetages VITAL
-123-
crire & Comprendre VHDL & AMS J Rouillard 2008

function "ABS"(L: SIGNED) return SIGNED;


function "+"(L: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED) return STD_LOGIC_VECTOR;
function "ABS"(L: SIGNED) return STD_LOGIC_VECTOR;
function "*"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "*"(L: SIGNED; R: SIGNED) return SIGNED;
function "*"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "*"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "*"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "*"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "*"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "*"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "<"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: SIGNED) return BOOLEAN;
function "<"(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "<"(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "<"(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: INTEGER) return BOOLEAN;
function "<"(L: INTEGER; R: SIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "<="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "<="(L: INTEGER; R: SIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: SIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function ">"(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: INTEGER) return BOOLEAN;
function ">"(L: INTEGER; R: SIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: SIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function ">="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: INTEGER) return BOOLEAN;
function ">="(L: INTEGER; R: SIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "="(L: INTEGER; R: SIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "/="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "/="(L: INTEGER; R: SIGNED) return BOOLEAN;

Paquetages VITAL
-124-
crire & Comprendre VHDL & AMS J Rouillard 2008

function SHL(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED;


function SHL(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED;
function SHR(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED;
function SHR(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED;
function CONV_INTEGER(ARG: INTEGER) return INTEGER;
function CONV_INTEGER(ARG: UNSIGNED) return INTEGER;
function CONV_INTEGER(ARG: SIGNED) return INTEGER;
function CONV_INTEGER(ARG: STD_ULOGIC) return SMALL_INT;
function CONV_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: UNSIGNED; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: SIGNED; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return UNSIGNED;
function CONV_SIGNED(ARG: INTEGER; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: UNSIGNED; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: SIGNED; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return SIGNED;
function CONV_STD_LOGIC_VECTOR(ARG: INTEGER; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: UNSIGNED; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: SIGNED; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: STD_ULOGIC; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
-- zero extend STD_LOGIC_VECTOR (ARG) to SIZE, SIZE < 0 is same as SIZE = 0
-- returns STD_LOGIC_VECTOR(SIZE-1 downto 0)
function EXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
-- sign extend STD_LOGIC_VECTOR (ARG) to SIZE, SIZE < 0 is same as SIZE = 0
-- return STD_LOGIC_VECTOR(SIZE-1 downto 0)
function SXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return
STD_LOGIC_VECTOR;
end Std_logic_arith ;

Paquetages VITAL
-125-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.2.3 IEEE.MATH_REAL
package MATH_real is

constant MATH_E: real:= 2.71828_18284_59045_23536; -- Value of e


constant MATH_1_OVER_E: real:= 0.36787_94411_71442_32160; -- Value of 1/e
constant MATH_PI: real:= 3.14159_26535_89793_23846; -- Value of pi
constant MATH_2_PI: real := 6.28318_53071_79586_47693; -- Value of 2*pi
constant MATH_1_OVER_PI: real := 0.31830_98861_83790_67154;
constant MATH_PI_OVER_2: real := 1.57079_63267_94896_61923;
constant MATH_PI_OVER_3: real := 1.04719_75511_96597_74615;
constant MATH_PI_OVER_4: real := 0.78539_81633_97448_30962;
constant MATH_3_PI_OVER_2: real := 4.71238_89803_84689_85769;
constant MATH_LOG_OF_2: real := 0.69314_71805_59945_30942;
constant MATH_LOG_OF_10: real := 2.30258_50929_94045_68402;
constant MATH_LOG2_OF_E: real := 1.44269_50408_88963_4074;
constant MATH_LOG10_OF_E: real 0.43429_44819_03251_82765;
constant MATH_SQRT_2: real := := 1.41421_35623_73095_04880;
constant MATH_1_OVER_SQRT_2: real := 0.70710_67811_86547_52440;
constant MATH_SQRT_PI: real := 1.77245_38509_05516_02730;
constant MATH_DEG_TO_RAD: real:= 0.01745_32925_19943_29577;
constant MATH_RAD_TO_DEG: real:= 57.29577_95130_82320_87680;
function SIGN (X: in real) return real;
function CEIL (X: in real) return real;
function FLOOR (X: in real) return real;
function ROUND (X: in real) return real;
function TRUNC (X: in real) return real;
function "MOD" (X, Y: in real) return real;
function REALMAX (X, Y : in real) return real;
function REALMIN (X, Y : in real) return real;
procedure UNIFORM (
variable SEED1, SEED2: inout positive;
variable X: out real);
function SQRT (X: in real) return real;
function CBRT (X: in real) return real;
function "**" (X: in integer; Y: in real) return real;
function "**" (X: in real; Y: in real) return real;
function EXP (X: in real) return real;
function LOG (X: in real) return real;
function LOG2 (X: in real) return real;
function LOG10 (X: in real) return real;
function LOG (X: in real; BASE: in real) return real;
function SIN (X: in real) return real;
function COS ( X: in real) return real;
function TAN (X: in real) return real;
function ARCSIN (X: in real) return real;
function ARCCOS (X: in real) return real;
function ARCTAN (Y : in real) return real;
function ARCTAN (Y : in real; X: in real) return real;
function SINH (X: in real) return real;
function COSH (X: in real) return real;
function TANH (X: in real) return real;
function ARCSINH (X: in real) return real;
function ARCCOSH (X: in real) return real;
function ARCTANH (X: in real) return real;
end MATH_REAL;

Paquetages VITAL
-126-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.3 IEEE-VITAL
12.3.1 BNF Vital
o VITAL_control_generic_declaration ::= [ constant ] identifier_list : [ in ]
type_mark [ index_constraint ] [ := static_expression ] ;
o VITAL_design_file ::=VITAL_design_unit { VITAL_design_unit }
o VITAL_design_unit ::= context_clause library_unit | context_clause
VITAL_library_unit
o VITAL_entity_declarative_part ::= VITAL_Level0_attribute_specification
o VITAL_entity_generic_clause ::= generic ( VITAL_entity_interface_list ) ;
o VITAL_entity_header ::= [ VITAL_entity_generic_clause ] [
VITAL_entity_port_clause ]
o VITAL_entity_interface_declaration ::= interface_constant_declaration |
VITAL_timing_generic_declaration | VITAL_control_generic_declaration
o | VITAL_entity_port_declaration
o VITAL_entity_interface_list ::= VITAL_entity_interface_declaration { ;
VITAL_entity_interface_declaration }
o VITAL_entity_port_clause ::= port ( VITAL_entity_interface_list ) ;
o VITAL_entity_port_declaration ::= [ signal ] identifier_list : [ mode ]
type_mark [ index_constraint ] [ := static_expression ] ;
o VITAL_functionality_section ::= { VITAL_Variable_assignment_statement |
procedure_call_statement }
o VITAL_internal_signal_declaration ::= signal identifier_list : type_mark [
index_constraint ] [ := expression ] ;
o VITAL_Level_0_architecture_body ::= architecture identifier of entity_name is
VITAL_Level_0_ architecture_declarative_part begin architecture_statement_part
end [architecture] [ architecture_simple_name ] ;
o VITAL_Level_0_architecture_declarative_part ::=
VITAL_Level0_attribute_specification { block_declarative_item }
o VITAL_Level_0_entity_declaration ::= entity identifier is VITAL_entity_header
VITAL_entity_declarative_part end [entity] [ entity_simple_name ] ;
o VITAL_Level_1_architecture_body ::= architecture identifier of entity_name is
VITAL_Level_1_architecture_declarative_part begin
VITAL_Level_1_architecture_statement_part end [architecture] [
architecture_simple_name ] ;
o VITAL_Level_1_architecture_declarative_part ::=
VITAL_Level1_attribute_specification { VITAL_Level_1_block_declarative_item }
o VITAL_Level_1_architecture_statement_part ::=
VITAL_Level_1_concurrent_statement { VITAL_Level_1_concurrent_statement }
o VITAL_Level_1_block_declarative_item ::= constant_declaration |
alias_declaration
o | attribute_declaration | attribute_specification
o VITAL_internal_signal_declaration
o VITAL_Level_1_ concurrent_statement ::= VITAL_wire_delay_block_statement |
VITAL_negative_constraint_block_statement | VITAL_process_statement |
VITAL_primitive_concurrent_procedure_call
o VITAL_Level1_Memory_architecture_body ::= architecture identifier of
entity_name is VITAL_Level1_Memory_architecture_declarative_part begin
VITAL_Level1_Memory_architecture_statement_part end [architecture] [
architecture_simple_name ] ;
o VITAL_Level1_Memory_architecture_declarative_part ::=
VITAL_Level1_Memory_attribute_specification {
VITAL_Level1_Memory_block_declarative_item }
o VITAL_Level1_Memory_architecture_statement_part ::=
VITAL_Level1_Memory_concurrent_statement {
VITAL_Level1_Memory_concurrent_statement }
o VITAL_Level1_Memory_block_declarative_item ::= constant_declaration |
alias_declaration | attribute_declaration | attribute_specification |
VITAL_memory_internal_signal_declaration
o VITAL_Level1_Memory_concurrent_statement ::= VITAL_wire_delay_block_statement |
VITAL_negative_constraint_block_statement | VITAL_memory_process_statement
o | VITAL_memory_output_drive_block_statement
o VITAL_Level0_attribute_specification ::= attribute_specification
o VITAL_Level1_attribute_specification ::= attribute_specification
o VITAL_Level1_Memory_attribute_specification ::= attribute_specification

Paquetages VITAL
-127-
crire & Comprendre VHDL & AMS J Rouillard 2008

o VITAL_library_unit ::= VITAL_Level_0_entity_declaration |


VITAL_Level_0_architecture_body | VITAL_Level_1_architecture_body |
VITAL_Level_1_memory_architecture_body
o VITAL_memory_functionality_section ::= { VITAL_Variable_assignment_statement |
VITAL_memory_procedure_call_statement }
o VITAL_memory_internal_signal_declaration ::= signal identifier_list : type_mark
[ index_constraint ] [ := expression ] ;
o VITAL_memory_process_declarative_item ::= constant_declaration |
alias_declaration | attribute_declaration | attribute_specification |
VITAL_Variable_declaration | VITAL_memory_Variable_declaration
o VITAL_memory_process_declarative_part ::= {
VITAL_memory_process_declarative_item }
o VITAL_memory_process_statement ::= process_label : process ( sensitivity_list )
VITAL_memory_process_declarative_part begin VITAL_memory_process_statement_part
end process [ process_label ] ;
o VITAL_memory_process_statement_part ::= [ VITAL_memory_timing_check_section ] [
VITAL_memory_functionality_section ] [ VITAL_memory_path_delay_section ]
o VITAL_memory_timing_check_condition ::= generic_simple_name
o VITAL_memory_timing_check_section ::= if VITAL_memory_timing_check_condition
then { VITAL_memory_timing_check_statement } end if ;
o VITAL_memory_timing_check_statement ::= procedure_call_statement
o VITAL_memory_Variable_declaration ::= Variable identifier_list : type_mark [
index_constraint ] [ := expression ] ;
o VITAL_negative_constraint_block_statement ::= block_label : block begin
VITAL_negative_constraint_block_statement_part end block [ block_label ] ;
o VITAL_negative_constraint_block_statement_part ::= {
VITAL_negative_constraint_concurrent_procedure_call |
VITAL_negative_constraint_generate_statement _part}
o VITAL_negative_constraint_concurrent_procedure_call ::=
concurrent_procedure_call
o VITAL_negative_constraint_generate_statement _part ::=
VITAL_negative_constraint_generate_statement {
VITAL_negative_constraint_generate_statement}
o VITAL_negative_constraint_generate_parameter_specification ::= identifier in
range_attribute_name
o VITAL_negative_constraint_generate_statement ::= generate_label : for
VITAL_negative_constraint_generate_parameter_specification generate {
VITAL_negative_constraint_concurrent_procedure_call } end generate
{generate_label }
o VITAL_output_drive_block_statement ::= block_label : block begin
VITAL_output_drive_block_statement_part end block [ block_label ] ;
o VITAL_output_drive_block_statement_part ::= {
VITAL_primitive_concurrent_procedure_call |
concurrent_signal_assignment_statement }
o VITAL_primitive_concurrent_procedure_call ::=
VITAL_primitive_concurrent_procedure_call
o VITAL_process_declarative_item ::= constant_declaration | alias_declaration |
attribute_declaration | attribute_specification | VITAL_Variable_declaration
o VITAL_process_declarative_part ::= { VITAL_process_declarative_item }
o VITAL_process_statement ::= [ process_label : ] process ( sensitivity_list )
VITAL_process_declarative_part begin VITAL_process_statement_part end process [
process_label ] ;
o VITAL_process_statement_part ::= [ VITAL_timing_check_section ] [
VITAL_functionality_section ] [ VITAL_path_delay_section ]
o VITAL_target ::= unrestricted_Variable_name | memory_unrestricted_Variable_name
o VITAL_timing_check_condition ::= generic_simple_name
o VITAL_timing_check_section ::= if VITAL_timing_check_condition then {
VITAL_timing_check_statement } end if ;
o VITAL_timing_check_statement ::= procedure_call_statement
o VITAL_timing_generic_declaration ::= [ constant ] identifier_list : [ in ]
type_mark [ index_constraint ] [ := static_expression ] ;
o VITAL_Variable_assignment_statement ::= VITAL_target := expression ;
o VITAL_Variable_declaration ::= Variable identifier_list : type_mark [
index_constraint ] [ := expression ] ;
o VITAL_wire_delay_block_statement ::= block_label : block begin
VITAL_wire_delay_block_statement_part end block [ block_label ] ;

Paquetages VITAL
-128-
crire & Comprendre VHDL & AMS J Rouillard 2008

o VITAL_wire_delay_block_statement_part ::= {
VITAL_wire_delay_concurrent_procedure_call | VITAL_wire_delay_generate_statement
}
o VITAL_wire_delay_concurrent_procedure_call ::= concurrent_procedure_call
o VITAL_wire_delay_generate_parameter_specification ::= identifier in
range_attribute_name
o VITAL_wire_delay_generate_statement ::= generate_label : for
VITAL_wire_delay_generate_parameter_specification generate {
VITAL_wire_delay_concurrent_procedure_call } end generate [ generate_label ] ;

Paquetages VITAL
-129-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.3.2 VITAL_TIMING
LIBRARY IEEE;
USE IEEE.Std_Logic_1164.ALL;
PACKAGE VITAL_Timing IS
TYPE VitalTransitionType IS ( tr01, tr10, tr0z, trz1, tr1z, trz0,
tr0X, trx1, tr1x, trx0, trxz, trzx);
SUBTYPE VitalDelayType IS TIME;
TYPE VitalDelayType01 IS ARRAY (VitalTransitionType RANGE tr01 to tr10)
OF TIME;
TYPE VitalDelayType01Z IS ARRAY (VitalTransitionType RANGE tr01 to trz0)
OF TIME;
TYPE VitalDelayType01ZX IS ARRAY (VitalTransitionType RANGE tr01 to trzx)
OF TIME;
TYPE VitalDelayArrayType IS ARRAY (NATURAL RANGE <>) OF VitalDelayType;
TYPE VitalDelayArrayType01 IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01;
TYPE VitalDelayArrayType01Z IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01Z;
TYPE VitalDelayArrayType01ZX IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01ZX;
CONSTANT VitalZeroDelay : VitalDelayType := 0 ns;
CONSTANT VitalZeroDelay01 : VitalDelayType01 := ( 0 ns, 0 ns );
CONSTANT VitalZeroDelay01Z : VitalDelayType01Z := ( OTHERS => 0 ns );
CONSTANT VitalZeroDelay01ZX : VitalDelayType01ZX := ( OTHERS => 0 ns );
ATTRIBUTE VITAL_Level0 : BOOLEAN;
ATTRIBUTE VITAL_Level1 : BOOLEAN;
SUBTYPE std_logic_vector2 IS std_logic_vector(1 DOWNTO 0);
SUBTYPE std_logic_vector3 IS std_logic_vector(2 DOWNTO 0);
SUBTYPE std_logic_vector4 IS std_logic_vector(3 DOWNTO 0);
SUBTYPE std_logic_vector8 IS std_logic_vector(7 DOWNTO 0);
TYPE VitalOutputMapType IS ARRAY ( std_ulogic ) OF std_ulogic;
TYPE VitalResultMapType IS ARRAY ( UX01 ) OF std_ulogic;
TYPE VitalResultZMapType IS ARRAY ( UX01Z ) OF std_ulogic;
CONSTANT VitalDefaultOutputMap : VitalOutputMapType
:= "UX01ZWLH-";
CONSTANT VitalDefaultResultMap : VitalResultMapType
:= ( 'U', 'X', '0', '1' );
CONSTANT VitalDefaultResultZMap : VitalResultZMapType
:= ( 'U', 'X', '0', '1', 'Z' );
TYPE VitalTimeArrayT IS ARRAY (INTEGER RANGE <>) OF TIME;
TYPE VitalTimeArrayPT IS ACCESS VitalTimeArrayT;
TYPE VitalBoolArrayT IS ARRAY (INTEGER RANGE <>) OF BOOLEAN;
TYPE VitalBoolArrayPT IS ACCESS VitalBoolArrayT;
TYPE VitalLogicArrayPT IS ACCESS std_logic_vector;
TYPE VitalTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
FUNCTION VitalTimingDataInit RETURN VitalTimingDataType;
TYPE VitalPeriodDataType IS RECORD
Last : X01;
Rise : TIME;
Fall : TIME;
NotFirstFlag : BOOLEAN;
END RECORD;
CONSTANT VitalPeriodDataInit : VitalPeriodDataType
:= ('X', 0 ns, 0 ns, FALSE );
TYPE VitalGlitchKindType IS (OnEvent, OnDetect, VitalInertial, VitalTransport);

Paquetages VITAL
-130-
crire & Comprendre VHDL & AMS J Rouillard 2008

TYPE VitalGlitchDataType IS
RECORD
SchedTime : TIME;
GlitchTime : TIME;
SchedValue : std_ulogic;
LastValue : std_ulogic;
END RECORD;
TYPE VitalGlitchDataArrayType IS ARRAY (NATURAL RANGE <>)
OF VitalGlitchDataType;
TYPE VitalPathType IS RECORD
InputChangeTime : TIME; -- timestamp for path input signal
PathDelay : VitalDelayType; -- delay for this path
PathCondition : BOOLEAN; -- path sensitize condition
END RECORD;
TYPE VitalPath01Type IS RECORD
InputChangeTime : TIME; -- timestamp for path input signal
PathDelay : VitalDelayType01; -- delay for this path
PathCondition : BOOLEAN; -- path sensitize condition
END RECORD;
TYPE VitalPath01ZType IS RECORD
InputChangeTime : TIME; -- timestamp for path input signal
PathDelay : VitalDelayType01Z;-- delay for this path
PathCondition : BOOLEAN; -- path sensitize condition
END RECORD;
-- For representing multiple paths to an output
TYPE VitalPathArrayType IS ARRAY (NATURAL RANGE <> ) OF VitalPathType;
TYPE VitalPathArray01Type IS ARRAY (NATURAL RANGE <> ) OF VitalPath01Type;
TYPE VitalPathArray01ZType IS ARRAY (NATURAL RANGE <> ) OF VitalPath01ZType;
TYPE VitalTableSymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S' -- steady value
);
SUBTYPE VitalEdgeSymbolType IS VitalTableSymbolType RANGE '/' TO '*';
TYPE VitalSkewExpectedT
TYPE VitalSkewDataType IS RECORD
ExpectedType : VitalSkewExpectedType;
Signal1Old1 : TIME;
Signal2Old1 : TIME;
Signal1Old2 : TIME;
Signal2Old2 : TIME;
END RECORD;
CONSTANT VitalSkewDataInit : VitalSkewDataType := (none,0 ns,0 ns,0 ns,0 ns );
FUNCTION VitalExtendToFillDelay (
CONSTANT Delay : IN VitalDelayType
) RETURN VitalDelayType01Z;
FUNCTION VitalExtendToFillDelay (
CONSTANT Delay : IN VitalDelayType01

Paquetages VITAL
-131-
crire & Comprendre VHDL & AMS J Rouillard 2008

) RETURN VitalDelayType01Z;
FUNCTION VitalExtendToFillDelay (
CONSTANT Delay : IN VitalDelayType01Z
) RETURN VitalDelayType01Z;
FUNCTION VitalCalcDelay (
CONSTANT NewVal : IN std_ulogic := 'X';
CONSTANT OldVal : IN std_ulogic := 'X';
CONSTANT Delay : IN VitalDelayType
) RETURN TIME;
FUNCTION VitalCalcDelay (
CONSTANT NewVal : IN std_ulogic := 'X';
CONSTANT OldVal : IN std_ulogic := 'X';
CONSTANT Delay : IN VitalDelayType01
) RETURN TIME;
FUNCTION VitalCalcDelay (
CONSTANT NewVal : IN std_ulogic := 'X';
CONSTANT OldVal : IN std_ulogic := 'X';
CONSTANT Delay : IN VitalDelayType01Z
) RETURN TIME;
PROCEDURE VitalPathDelay (
SIGNAL OutSignal : OUT std_logic;
VARIABLE GlitchData : INOUT VitalGlitchDataType;
CONSTANT OutSignalName : IN string;
CONSTANT OutTemp : IN std_logic;
CONSTANT Paths : IN VitalPathArrayType;
CONSTANT DefaultDelay : IN VitalDelayType := VitalZeroDelay;
CONSTANT Mode : IN VitalGlitchKindType := OnEvent;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT NegPreemptOn : IN BOOLEAN := FALSE;
CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE );
PROCEDURE VitalPathDelay01 (
SIGNAL OutSignal : OUT std_logic;
VARIABLE GlitchData : INOUT VitalGlitchDataType;
CONSTANT OutSignalName : IN string;
CONSTANT OutTemp : IN std_logic;
CONSTANT Paths : IN VitalPathArray01Type;
CONSTANT DefaultDelay : IN VitalDelayType01 :=
VitalZeroDelay01;
CONSTANT Mode : IN VitalGlitchKindType := OnEvent;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT NegPreemptOn : IN BOOLEAN := FALSE;
CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE;
CONSTANT RejectFastPath : IN BOOLEAN := FALSE );
PROCEDURE VitalPathDelay01Z (
SIGNAL OutSignal : OUT std_logic;
VARIABLE GlitchData : INOUT VitalGlitchDataType;
CONSTANT OutSignalName : IN string;
CONSTANT OutTemp : IN std_logic;
CONSTANT Paths : IN VitalPathArray01ZType;
CONSTANT DefaultDelay : IN VitalDelayType01Z :=
VitalZeroDelay01Z;
CONSTANT Mode : IN VitalGlitchKindType := OnEvent;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT OutputMap : IN VitalOutputMapType :=
VitalDefaultOutputMap;
CONSTANT NegPreemptOn : IN BOOLEAN := FALSE;
CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE;
CONSTANT RejectFastPath : IN BOOLEAN := FALSE
PROCEDURE VitalWireDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT twire : IN VitalDelayType);

Paquetages VITAL
-132-
crire & Comprendre VHDL & AMS J Rouillard 2008

PROCEDURE VitalWireDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT twire : IN VitalDelayType01);
PROCEDURE VitalWireDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT twire : IN VitalDelayType01Z );
PROCEDURE VitalSignalDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT dly : IN TIME);
PROCEDURE VitalSetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN TIME := 0 ns;
CONSTANT SetupLow : IN TIME := 0 ns;
CONSTANT HoldHigh : IN TIME := 0 ns;
CONSTANT HoldLow : IN TIME := 0 ns;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE );
PROCEDURE VitalSetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN TIME := 0 ns;
CONSTANT SetupLow : IN TIME := 0 ns;
CONSTANT HoldHigh : IN TIME := 0 ns;
CONSTANT HoldLow : IN TIME := 0 ns;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE );
PROCEDURE VitalRecoveryRemovalCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT Recovery : IN TIME := 0 ns;

Paquetages VITAL
-133-
crire & Comprendre VHDL & AMS J Rouillard 2008

CONSTANT Removal : IN TIME := 0 ns;


CONSTANT ActiveLow : IN BOOLEAN := TRUE;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableRecOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableRecOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableRemOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableRemOnTest : IN BOOLEAN := TRUE);
PROCEDURE VitalPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
CONSTANT Period : IN TIME := 0 ns;
CONSTANT PulseWidthHigh : IN TIME := 0 ns;
CONSTANT PulseWidthLow : IN TIME := 0 ns;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING);
PROCEDURE VitalInPhaseSkewCheck (
VARIABLE Violation : OUT X01;
VARIABLE SkewData : INOUT VitalSkewDataType;
SIGNAL Signal1 : IN std_ulogic;
CONSTANT Signal1Name : IN STRING := "";
CONSTANT Signal1Delay : IN TIME := 0 ns;
SIGNAL Signal2 : IN std_ulogic;
CONSTANT Signal2Name : IN STRING := "";
CONSTANT Signal2Delay : IN TIME := 0 ns;
CONSTANT SkewS1S2RiseRise : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1RiseRise : IN TIME := TIME'HIGH;
CONSTANT SkewS1S2FallFall : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1FallFall : IN TIME := TIME'HIGH;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT HeaderMsg : IN STRING := "";
SIGNAL Trigger : INOUT std_ulogic );
PROCEDURE VitalOutPhaseSkewCheck (
VARIABLE Violation : OUT X01;
VARIABLE SkewData : INOUT VitalSkewDataType;
SIGNAL Signal1 : IN std_ulogic;
CONSTANT Signal1Name : IN STRING := "";
CONSTANT Signal1Delay : IN TIME := 0 ns;
SIGNAL Signal2 : IN std_ulogic;
CONSTANT Signal2Name : IN STRING := "";
CONSTANT Signal2Delay : IN TIME := 0 ns;
CONSTANT SkewS1S2RiseFall : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1RiseFall : IN TIME := TIME'HIGH;
CONSTANT SkewS1S2FallRise : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1FallRise : IN TIME := TIME'HIGH;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT HeaderMsg : IN STRING := "";
SIGNAL Trigger : INOUT std_ulogic);
END VITAL_Timing;

Paquetages VITAL
-134-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.3.3 VITAL_Primitives
LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;
LIBRARY vital2000;USE vital2000.VITAL_Timing.ALL;
PACKAGE VITAL_Primitives IS
SUBTYPE VitalTruthSymbolType IS VitalTableSymbolType RANGE 'X' TO 'Z';
SUBTYPE VitalStateSymbolType IS VitalTableSymbolType RANGE '/' TO 'S';
TYPE VitalTruthTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalTruthSymbolType;
TYPE VitalStateTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalStateSymbolType;
CONSTANT VitalDefDelay01 : VitalDelayType01;
CONSTANT VitalDefDelay01Z : VitalDelayType01Z
FUNCTION VitalAND (
CONSTANT Data : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalOR (
CONSTANT Data : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXOR (
CONSTANT Data : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNAND (
CONSTANT Data : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNOR (
CONSTANT Data : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXNOR (
CONSTANT Data : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
) RETURN std_ulogic;
PROCEDURE VitalAND (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType:= VitalDefaultResultMap );
PROCEDURE VitalOR (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType:= VitalDefaultResultMap );
PROCEDURE VitalXOR (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType:=VitalDefaultResultMap );
PROCEDURE VitalNAND (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType:= VitalDefaultResultMap );
PROCEDURE VitalNOR (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap
);
PROCEDURE VitalXNOR (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;

Paquetages VITAL
-135-
crire & Comprendre VHDL & AMS J Rouillard 2008

CONSTANT tpd_data_q : IN VitalDelayArrayType01;


CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
FUNCTION VitalAND2 (
CONSTANT a, b : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalOR2 (
CONSTANT a, b : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXOR2 (
CONSTANT a, b : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNAND2 (
CONSTANT a, b : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNOR2 (
CONSTANT a, b : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXNOR2 (
CONSTANT a, b : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalAND3 (
CONSTANT a, b, c : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalOR3 (
CONSTANT a, b, c : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXOR3 (
CONSTANT a, b, c : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNAND3 (
CONSTANT a, b, c : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNOR3 (
CONSTANT a, b, c : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXNOR3 (
CONSTANT a, b, c : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalAND4 (
CONSTANT a, b, c, d : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;

Paquetages VITAL
-136-
crire & Comprendre VHDL & AMS J Rouillard 2008

FUNCTION VitalOR4 (
CONSTANT a, b, c, d : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXOR4 (
CONSTANT a, b, c, d : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNAND4 (
CONSTANT a, b, c, d : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalNOR4 (
CONSTANT a, b, c, d : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalXNOR4 (
CONSTANT a, b, c, d : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
PROCEDURE VitalAND2 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalOR2 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalXOR2 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalNAND2 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalNOR2 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalXNOR2 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalAND3 (

Paquetages VITAL
-137-
crire & Comprendre VHDL & AMS J Rouillard 2008

SIGNAL q : OUT std_ulogic;


SIGNAL a, b, c : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalOR3 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalXOR3 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalNAND3 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalNOR3 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalXNOR3 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalAND4 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c, d : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalOR4 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c, d : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalXOR4 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c, d : IN std_ulogic;

Paquetages VITAL
-138-
crire & Comprendre VHDL & AMS J Rouillard 2008

CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;


CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalNAND4 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c, d : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalNOR4 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c, d : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalXNOR4 (
SIGNAL q : OUT std_ulogic;
SIGNAL a, b, c, d : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
FUNCTION VitalBUF (
CONSTANT Data : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalBUFIF0 (
CONSTANT Data, Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap
) RETURN std_ulogic;
FUNCTION VitalBUFIF1 (
CONSTANT Data, Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap
) RETURN std_ulogic;
FUNCTION VitalIDENT (
CONSTANT Data : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap
) RETURN std_ulogic;
PROCEDURE VitalBUF (
SIGNAL q : OUT std_ulogic;
SIGNAL a : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalBUFIF0 (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_ulogic;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap);
PROCEDURE VitalBUFIF1 (

Paquetages VITAL
-139-
crire & Comprendre VHDL & AMS J Rouillard 2008

SIGNAL q : OUT std_ulogic;


SIGNAL Data : IN std_ulogic;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap);
PROCEDURE VitalIDENT (
SIGNAL q : OUT std_ulogic;
SIGNAL a : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01Z := VitalDefDelay01Z;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap );
FUNCTION VitalINV (
CONSTANT Data : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalINVIF0 (
CONSTANT Data, Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap
) RETURN std_ulogic;
FUNCTION VitalINVIF1 (
CONSTANT Data, Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap
) RETURN std_ulogic;
PROCEDURE VitalINV (
SIGNAL q : OUT std_ulogic;
SIGNAL a : IN std_ulogic;
CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalINVIF0 (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_ulogic;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap);
PROCEDURE VitalINVIF1 (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_ulogic;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z;
CONSTANT ResultMap : IN VitalResultZMapType
:= VitalDefaultResultZMap);
FUNCTION VitalMUX (
CONSTANT Data : IN std_logic_vector;
CONSTANT dSelect : IN std_logic_vector;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalMUX2 (
CONSTANT Data1, Data0 : IN std_ulogic;
CONSTANT dSelect : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
FUNCTION VitalMUX4 (
CONSTANT Data : IN std_logic_vector4;
CONSTANT dSelect : IN std_logic_vector2;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;

Paquetages VITAL
-140-
crire & Comprendre VHDL & AMS J Rouillard 2008

FUNCTION VitalMUX8 (
CONSTANT Data : IN std_logic_vector8;
CONSTANT dSelect : IN std_logic_vector3;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_ulogic;
PROCEDURE VitalMUX (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector;
SIGNAL dSel : IN std_logic_vector;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT tpd_dsel_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalMUX2 (
SIGNAL q : OUT std_ulogic;
SIGNAL d1, d0 : IN std_ulogic;
SIGNAL dSel : IN std_ulogic;
CONSTANT tpd_d1_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_d0_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_dsel_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalMUX4 (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector4;
SIGNAL dSel : IN std_logic_vector2;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT tpd_dsel_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalMUX8 (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector8;
SIGNAL dSel : IN std_logic_vector3;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT tpd_dsel_q : IN VitalDelayArrayType01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
FUNCTION VitalDECODER (
CONSTANT Data : IN std_logic_vector;
CONSTANT Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_logic_vector;
FUNCTION VitalDECODER2 (
CONSTANT Data : IN std_ulogic;
CONSTANT Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_logic_vector2;
FUNCTION VitalDECODER4 (
CONSTANT Data : IN std_logic_vector2;
CONSTANT Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_logic_vector4;
FUNCTION VitalDECODER8 (
CONSTANT Data : IN std_logic_vector3;
CONSTANT Enable : IN std_ulogic;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap
) RETURN std_logic_vector8;
PROCEDURE VitalDECODER (
SIGNAL q : OUT std_logic_vector;
SIGNAL Data : IN std_logic_vector;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;

Paquetages VITAL
-141-
crire & Comprendre VHDL & AMS J Rouillard 2008

CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01;


CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalDECODER2 (
SIGNAL q : OUT std_logic_vector2;
SIGNAL Data : IN std_ulogic;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalDECODER4 (
SIGNAL q : OUT std_logic_vector4;
SIGNAL Data : IN std_logic_vector2;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
PROCEDURE VitalDECODER8 (
SIGNAL q : OUT std_logic_vector8;
SIGNAL Data : IN std_logic_vector3;
SIGNAL Enable : IN std_ulogic;
CONSTANT tpd_data_q : IN VitalDelayArrayType01;
CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01;
CONSTANT ResultMap : IN VitalResultMapType
:= VitalDefaultResultMap );
FUNCTION VitalTruthTable (
CONSTANT TruthTable : IN VitalTruthTableType;
CONSTANT DataIn : IN std_logic_vector
) RETURN std_logic_vector;
FUNCTION VitalTruthTable (
CONSTANT TruthTable : IN VitalTruthTableType;
CONSTANT DataIn : IN std_logic_vector
) RETURN std_logic;
PROCEDURE VitalTruthTable (
SIGNAL Result : OUT std_logic_vector;
CONSTANT TruthTable : IN VitalTruthTableType;
SIGNAL DataIn : IN std_logic_vector );
PROCEDURE VitalTruthTable (
SIGNAL Result : OUT std_logic;
CONSTANT TruthTable : IN VitalTruthTableType;
SIGNAL DataIn : IN std_logic_vector);
PROCEDURE VitalStateTable (
VARIABLE Result : INOUT std_logic_vector;
VARIABLE PreviousDataIn : INOUT std_logic_vector;
CONSTANT StateTable : IN VitalStateTableType;
CONSTANT DataIn : IN std_logic_vector;
CONSTANT NumStates : IN NATURAL );
PROCEDURE VitalStateTable (
VARIABLE Result : INOUT std_logic;
VARIABLE PreviousDataIn : INOUT std_logic_vector;
CONSTANT StateTable : IN VitalStateTableType;
CONSTANT DataIn : IN std_logic_vector );
PROCEDURE VitalStateTable (
SIGNAL Result : INOUT std_logic_vector;
CONSTANT StateTable : IN VitalStateTableType;
SIGNAL DataIn : IN std_logic_vector;
CONSTANT NumStates : IN NATURAL );
PROCEDURE VitalStateTable (
SIGNAL Result : INOUT std_logic;
CONSTANT StateTable : IN VitalStateTableType;
SIGNAL DataIn : IN std_logic_vector);
PROCEDURE VitalResolve (
SIGNAL q : OUT std_ulogic;
SIGNAL Data : IN std_logic_vector); --IR236 4/2/98
END VITAL_Primitives;

Paquetages VITAL
-142-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.3.4 VITAL_MEMORY
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;
LIBRARY vital2000 USE vital2000.Vital_Timing.ALL;USE
vital2000.Vital_Primitives.ALL;
LIBRARY STD; USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
ATTRIBUTE VITAL_Level1_Memory : BOOLEAN;
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
TYPE VitalPortStateType IS (UNDEF, READ, WRITE, CORRUPT, HIGHZ);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE);
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword);
PROCEDURE VitalMemoryInitPathDelay (

Paquetages VITAL
-143-
crire & Comprendre VHDL & AMS J Rouillard 2008

VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;


VARIABLE OutputData : IN STD_ULOGIC);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;

Paquetages VITAL
-144-
crire & Comprendre VHDL & AMS J Rouillard 2008

SIGNAL InputSignal : IN STD_ULOGIC;


CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt);
PROCEDURE VitalMemoryAddPathDelay (

Paquetages VITAL
-145-
crire & Comprendre VHDL & AMS J Rouillard 2008

VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;


SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt);
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;

Paquetages VITAL
-146-
crire & Comprendre VHDL & AMS J Rouillard 2008

SIGNAL InputSignal : IN STD_LOGIC_VECTOR;


CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt);
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType);
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType);
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType);
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;

Paquetages VITAL
-147-
crire & Comprendre VHDL & AMS J Rouillard 2008

CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;


CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE );
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;

Paquetages VITAL
-148-
crire & Comprendre VHDL & AMS J Rouillard 2008

CONSTANT SetupLow : IN VitalDelayArrayType;


CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE );
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE );
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;

Paquetages VITAL
-149-
crire & Comprendre VHDL & AMS J Rouillard 2008

VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;


SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType);
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')

'D', -- Union of 'f' and 'v' (falling edge to or from 'X')

'*', -- Union of 'R' and 'F' (any edge)


'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance

Paquetages VITAL
-150-
crire & Comprendre VHDL & AMS J Rouillard 2008

'S', -- steady value

'g', -- Good address (no transition)


'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;

TYPE VitalMemoryViolationSymbolType IS ('X', '0', '-' );


TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (UNDEF, READ, WRITE, RDNWR);
TYPE VitalCrossPortModeType IS ( CpRead, WriteContention, WrContOnly,
ReadWriteContention, CpReadAndWriteContention, CpReadAndReadContention);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE) RETURN VitalMemoryDataType;
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;

Paquetages VITAL
-151-
crire & Comprendre VHDL & AMS J Rouillard 2008

VARIABLE PrevAddressBus : INOUT std_logic_vector;


VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING);
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;

Paquetages VITAL
-152-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.4 Analogique
12.4.1 [AMS]IEEE.FUNDAMENTAL_CONSTANTS
library IEEE; use IEEE.MATH_REAL.all;
package FUNDAMENTAL_CONSTANTS is
-- some declarations
attribute SYMBOL : STRING;
attribute UNIT : STRING;
-- PHYSICAL CONSTANTS
-- electron charge <COULOMB>
-- NIST value: 1.602 176 462e-19 coulomb
-- uncertainty: 0.000 000 063e-19
constant PHYS_Q : REAL := 1.602_176_462e-19;
-- permittivity of vacuum <FARADS/METER>
-- NIST value: 8.854 187 817e-12 farads/meter
-- uncertainty: exact
constant PHYS_EPS0 : REAL := 8.854_187_817e-12;
-- permeability of vacuum <HENRIES/METER>
-- NIST value: 4e-7*pi henries/meter
-- uncertainty: exact
constant PHYS_MU0 : REAL := 4.0e-7 * MATH_PI;
-- Boltzmann's constant <JOULES/KELVIN>
-- NIST value: 1.380 6503e-23 joules/kelvin
-- uncertainty: 0.000 0024e-23:
constant PHYS_K : REAL := 1.380_6503e-23;
-- Acceleration due to gravity <METERS/SECOND_SQUARED>
-- NIST value: 9.806 65 meters/square second
-- uncertainty: exact
constant PHYS_GRAVITY : REAL := 9.806_65;
-- Conversion between Kelvin and degree Celsius
-- NIST value: 273.15
-- uncertainty: exact
constant PHYS_CTOK : REAL := 273.15;
-- object declarations
-- common scaling factors
constant YOCTO : REAL := 1.0e-24;
constant ZEPTO : REAL := 1.0e-21;
constant ATTO : REAL := 1.0e-18;
constant FEMTO : REAL := 1.0e-15;
constant PICO : REAL := 1.0e-12;
constant NANO : REAL := 1.0e-9;
constant MICRO : REAL := 1.0e-6;
constant MILLI : REAL := 1.0e-3;
constant CENTI : REAL := 1.0e-2;
constant DECI : REAL := 1.0e-1;
constant DEKA : REAL := 1.0e+1;
constant HECTO : REAL := 1.0e+2;
constant KILO : REAL := 1.0e+3;
constant MEGA : REAL := 1.0e+6;
constant GIGA : REAL := 1.0e+9;
constant TERA : REAL := 1.0e+12;
constant PETA : REAL := 1.0e+15;
constant EXA : REAL := 1.0e+18;
constant ZETTA : REAL := 1.0e+21;
constant YOTTA : REAL := 1.0e+24;
alias DECA is DEKA;
end package FUNDAMENTAL_CONSTANTS;

Paquetages VITAL
-153-
crire & Comprendre VHDL & AMS J Rouillard 2008

12.4.2 [AMS] DISCIPLINES.ELECTRICAL_SYSTEMS

library IEEE;
use IEEE.FUNDAMENTAL_CONSTANTS.all;
package ELECTRICAL_SYSTEMS is
subtype VOLTAGE is REAL tolerance "DEFAULT_VOLTAGE";
subtype CURRENT is REAL tolerance "DEFAULT_CURRENT";
subtype CHARGE is REAL tolerance "DEFAULT_CHARGE";
subtype RESISTANCE is REAL tolerance "DEFAULT_RESISTANCE";
subtype CAPACITANCE is REAL tolerance "DEFAULT_CAPACITANCE";
subtype MMF is REAL tolerance "DEFAULT_MMF";
subtype FLUX is REAL tolerance "DEFAULT_FLUX";
subtype INDUCTANCE is REAL tolerance "DEFAULT_INDUCTANCE";
-- attribute declarations
-- Use of UNIT to designate units
attribute UNIT of VOLTAGE : subtype is "Volt";
attribute UNIT of CURRENT : subtype is "Ampere";
attribute UNIT of CHARGE : subtype is "Coulomb";
attribute UNIT of RESISTANCE : subtype is "Ohm";
attribute UNIT of CAPACITANCE : subtype is "Farad";

attribute UNIT of MMF : subtype is "Ampere-turns";


attribute UNIT of FLUX : subtype is "Weber";
attribute UNIT of INDUCTANCE : subtype is "Henry";
-- Use of SYMBOL to designate abbreviation of units
attribute SYMBOL of VOLTAGE : subtype is "V";
attribute SYMBOL of CURRENT : subtype is "A";
attribute SYMBOL of CHARGE : subtype is "C";
attribute SYMBOL of RESISTANCE : subtype is "Ohm";
attribute SYMBOL of CAPACITANCE : subtype is "F";
attribute SYMBOL of MMF : subtype is "A-t";
attribute SYMBOL of FLUX : subtype is "W";
attribute SYMBOL of INDUCTANCE : subtype is "H";
-- nature declarations
nature ELECTRICAL is VOLTAGE across
CURRENT through
ELECTRICAL_REF reference;
nature ELECTRICAL_VECTOR is array (NATURAL range <>) of ELECTRICAL;
-- vector subtypes for array types
nature MAGNETIC is MMF across
FLUX through
MAGNETIC_REF reference;
nature MAGNETIC_VECTOR is array (NATURAL range <>) of MAGNETIC;
-- vector subtype declarations
subtype VOLTAGE_VECTOR is ELECTRICAL_VECTOR'across;
subtype CURRENT_VECTOR is ELECTRICAL_VECTOR'through;
subtype CHARGE_VECTOR is REAL_VECTOR tolerance "DEFAULT_CHARGE";
subtype RESISTANCE_VECTOR is REAL_VECTOR tolerance "DEFAULT_RESISTANCE";
subtype MMF_VECTOR is MAGNETIC_VECTOR'across;
subtype FLUX_VECTOR is MAGNETIC_VECTOR'through;
subtype INDUCTANCE_VECTOR is REAL_VECTOR tolerance "DEFAULT_INDUCTANCE";
-- attributes of vector subtypes
-- Use of UNIT to designate units
attribute UNIT of VOLTAGE_VECTOR : subtype is "Volt";
attribute UNIT of CURRENT_VECTOR : subtype is "Ampere";
attribute UNIT of CHARGE_VECTOR : subtype is "Coulomb";
attribute UNIT of RESISTANCE_VECTOR : subtype is "Ohm";
attribute UNIT of CAPACITANCE_VECTOR : subtype is "Farad";

Paquetages VITAL
-154-
crire & Comprendre VHDL & AMS J Rouillard 2008

attribute UNIT of MMF_VECTOR : subtype is "Ampere-turns";


attribute UNIT of FLUX_VECTOR : subtype is "Weber";
attribute UNIT of INDUCTANCE_VECTOR : subtype is "Henry";
-- Use of SYMBOL to designate abbreviation of units
attribute SYMBOL of VOLTAGE_VECTOR : subtype is "V";
attribute SYMBOL of CURRENT_VECTOR : subtype is "A";
attribute SYMBOL of CHARGE_VECTOR : subtype is "C";
attribute SYMBOL of RESISTANCE_VECTOR : subtype is "Ohm";
attribute SYMBOL of CAPACITANCE_VECTOR : subtype is "F";
attribute SYMBOL of MMF_VECTOR : subtype is "A-t";
attribute SYMBOL of FLUX_VECTOR : subtype is "W";
attribute SYMBOL of INDUCTANCE_VECTOR : subtype is "H";
alias GROUND is ELECTRICAL_REF;
end package ELECTRICAL_SYSTEMS;

Paquetages VITAL
-155-
crire & Comprendre VHDL & AMS J Rouillard 2008

1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
7 COMPORTEMENTAL
8 SYNCHRONE
9 ASYNCHRONE
10 SWITCH
13 Index 11 ANALOGIQUE
12 RFRENCES
13
14 TABLE DES FIGURES
15 BIBLIOGRAPHIE

A D
Acclrateurs hardware 40 D (bascule) 79
Affectation conditionnelle 87 Data-flow 87
Affectation slecte 88 Dclaration dentit 17
Affectation simple 87 Dclaration de configuration 19
Agrgat (rom ) 60 Dclaration de paquetage 19
Alatoires (gnrateur de squences pseudo-) 83 Dfaut (valeur par, ports) 33
Amplificateur oprationnel idal 107 Diode 106
Analogique 102 Disciplines 28
Appel concurrent de procdure 89 Disciplines.electrical_systems 28
Arguments (de sous-programmes) 24 Disciplines.energy_systems 28
Asynchrone 87 Disciplines.fluidic_systems 28
Disciplines.mechanical_systems 28
Disciplines.radiant_systems 28
B Disciplines.thermal_systems 28
Domain 104
Drapeau hdlc 55
Bascule d 79
Drapeau hdlc 69
Bascule jk 81
Bascule rs 80
Bascules 79
Bibliographie 163 E
Bibliothques 27
Bloc 20 Electrical_systems 28
Blocs gards 39 Encodeur de priorit 87
Energy_systems 28
Environnement 27
C Ethernet 49

Capacit 103
Changer le type (d'un port) 34 F
Chose compilable en tant que telle 17, 18, 19
Cls 7 Fichier (rom ) 60
Codage 77 Flot-de-donnes 87
Combinatoire synchronis 81 Fluidic_systems 28
Comparateur 112 Fonction 25
Comportemental 57 Fonction de rsolution 50
Comportemental synthtisable 68 Forage (des ports) 33
Conversion parallle srie 68 Fundamental_constants 28
Convertisseur analogique-digital 111
Convertisseur digital/analogique 109
Convertisseur digital-analogique 111 G
Corps darchitecture 18
Corps de paquetage 19
Gards (blocs) 39
Gnrateur de squences pseudo-alatoires 83
Gnration 35
Generic map 20
Gnricit 29

Index
-157-
crire & Comprendre VHDL & AMS J Rouillard 2008

Gnriques 35
Gnriques et gnration 35
N
Grande ram 58
Nrz 55, 90

H
O
Handshake 89
Hdlc 69 Open 33
Hirarchie 29 Ordre des ports 32
Hirarchie rcursive 36 Ou exclusif (en switch) 97

I P
Ieee 27 Parallle srie 68
Ieee.fundamental_constants 28 Partage (variable) 51
Ieee.material_constants 28 Port map 20
Ieee.math_complex 28 Ports 31
Ieee.math_real 28, 126 Ports (ordre) 32
Ieee.std_logic_1164 27, 121 Priorit (encodeur) 87
Ieee.std_logic_arith 28, 123 Procedural 22
Ieee.std_logic_signed 28 Procdure 24
Ieee.std_logic_textio 28 Procdure (appel concurrent) 89
Ieee.std_logic_unsigned 28 Processus 21
Insertion de zros 55 Protocole 49, 89
Instructions dclarations locales 20 Protocole 52
Interrupteur 106 Pseudo-alatoire 51
Pseudo-alatoires (gnrateur de squences) 83

J
R
Jk (bascule) 81
Radiant_systems 28
Ram 57
Ram (trs grande) 58
L Reconstitution dun signal cod nrz 90
Rcursivit (hirarchique) 36
Laisser ouvert (un port) 33 Rseau r/2r 109
Logique deux tats 13 Rsistance 102
Logique neuf tats 13 Rsistance thermique 103
Logique quarante six tats 13 Rsolution (fonction de) 50
Logique quatre tats 13 Return 25
Logique six tats 13 Rom 59
Rom agrgat 60
Rom fichier 60
M Rs (bascule) 80

Machine de mealy 74
Machine de mealy synchronise 76 S
Machine de medvedev 74
Machine de moore 72 Slecte (affectation) 88
Machines d'tats 72 Self 104
Material_constants 28 Squences pseudo-alatoires (gnrateur de) 83
Math_complex 28 Source de courant 105
Math_real 28, 126 Source de tension 105
Mealy (machine de) 74 Sous-programmes 23
Mealy synchronise (machine de) 76 Standard 27, 117
Mechanical_systems 28 Std 27
Medvedev (machine de) 74 Std.standard 27, 117
Modle mcanique 108 Std.textio 119
Modlisation mixte 109 Std_logic_ 1164 14
Moniteur 23 Std_logic_1164 27, 121
Moore (machine de) 72 Std_logic_arith 28, 123
Multiplexeur 88 Std_logic_signed 28

Index
-158-
crire & Comprendre VHDL & AMS J Rouillard 2008

Std_logic_textio 28
Std_logic_unsigned 28
V
Structure 29
Switch 95 Variables partages 51
Synchrone 79 Vhdl 1076-1987 10
Synchronis (combinatoire) 81 Vhdl 1076-1991 10
Synthtisable 68 Vhdl 1076-1993 10
Systme 49 Vhdl 1076-2000 11
Vhdl 1076-2002 11
Vhdl 1076-2008 11
Vhdl 7.2 10
T Vhdl-ams 1076.1-1999 11
Vhdl-ams 1076.1-2007 11
Tac-tac 108 Vital 117
Textio 119
Thermal_systems 28
Transmetteurs 49
Trs grande ram 58
W
Type protg 23
Work 27

U
Units de compilation 17

Index
-159-
crire & Comprendre VHDL & AMS J Rouillard 2008
1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
14 Tables des figures 7 COMPORTEMENTAL
8 SYNCHRONE
9 ASYNCHRONE
10 SWITCH
11 ANALOGIQUE
12 RFRENCES
13 INDEX
14
15 BIBLIOGRAPHIE

LE SIGN-OFF ............................................................................................................................................................................... 9
CONTENU D'UNE ENTIT ............................................................................................................................................................. 17
CONTENU D'UNE ARCHITECTURE ................................................................................................................................................ 18
CONTENU D'UNE DCLARATION DE PAQUETAGE.......................................................................................................................... 19
CONTENU D'UN CORPS DE PAQUETAGE........................................................................................................................................ 19
CONTENU D'UNE DCLARATION DE CONFIGURATION ................................................................................................................... 20
CONTENU D'UN BLOC ................................................................................................................................................................. 21
CONTENU D'UN PROCESSUS ........................................................................................................................................................ 22
CONTENU D'UN PROCEDURAL ..................................................................................................................................................... 22
CONTENU D'UN TYPE PROTG ................................................................................................................................................... 23
CONTENU D'UNE PROCDURE ..................................................................................................................................................... 24
CONTENU D'UNE FONCTION ........................................................................................................................................................ 25
L'INSTANCE DU COMPOSANT ET SA CONFIGURATION AVEC UNE ENTIT DEMANDENT DEUX PORT MAP ET DEUX GENERIC MAP
....................................................................................................................................................................................... 29
CONNEXION EN CHANGEANT LA NUMROTATION DES PORTS ....................................................................................................... 32
UN ADDITIONNEUR N BITS ......................................................................................................................................................... 35
INSTANCES RCURSIVES ............................................................................................................................................................. 36
SCHMA D'UN MODLE VITAL.................................................................................................................................................. 40
UN RSEAU CSMA.................................................................................................................................................................... 49
EXEMPLE DE FICHIER AU FORMAT INTEL .................................................................................................................................. 62
SRIALISATEUR ......................................................................................................................................................................... 68
INSERTION ET DESTRUCTION DE ZROS ....................................................................................................................................... 69
MACHINE DE MOORE DEUX TATS .......................................................................................................................................... 72
MACHINE DE MOORE ................................................................................................................................................................. 73
CHRONOGRAMMES DE LA MACHINE DE MOORE .......................................................................................................................... 74
MACHINE DE MEALY DEUX TATS .......................................................................................................................................... 74
MACHINE DE MEALY ................................................................................................................................................................. 75
CHRONOGRAMMES DE LA MACHINE DE MEALY .......................................................................................................................... 76
ALAS SUR LA MACHINE DE MEALY ........................................................................................................................................... 76
MACHINE DE MEALY SYNCHRONISE ........................................................................................................................................ 76
BASCULE D ............................................................................................................................................................................... 79
LATCH ....................................................................................................................................................................................... 80
BASCULE RS ............................................................................................................................................................................. 80
BASCULE JK.............................................................................................................................................................................. 81
CHRONOGRAMMES D'UN COMPTEUR ASYNCHRONE IDAL ........................................................................................................... 82
CHRONOGRAMMES D'UN COMPTEUR ASYNCHRONE REL............................................................................................................. 82
CHRONOGRAMMES APRS SYNCHRONISATION ............................................................................................................................ 83
SCHMA BLOC D'UN GNRATEUR DE SQUENCE PSEUDO-ALATOIRE ......................................................................................... 83
CHRONOGRAMMES D'UN BLOC GARD AVEC DEUX AFFECTATIONS GARDES ............................................................................... 86
ENCODEUR DE PRIORIT ............................................................................................................................................................. 87
MULTIPLEXEUR ......................................................................................................................................................................... 88
HANDSHAKE .............................................................................................................................................................................. 89
CODAGE NRZ ........................................................................................................................................................................... 90
RECONSTITUTION DE LHORLOGE ............................................................................................................................................... 92
DCODAGE NRZ ....................................................................................................................................................................... 92
UNE PORTE NAND EN MOS...................................................................................................................................................... 95
UNE PORTE XOR EN MOS......................................................................................................................................................... 97
CHRONOGRAMMES DE L'ABSCISSE DES BOULES D'UN "TAC-TAC".............................................................................................. 109
RSEAU R/2R.......................................................................................................................................................................... 109
CONVERTISSEUR ANALOGIQUE/DIGITAL .................................................................................................................................. 112
CONVERGENCE DE LA CONVERSION A/D PAR ESSAIS SUCCESSIFS .............................................................................................. 115

Table des Figures


-161-
1 CLS DE CE MANUEL
2 QUOI, O, ZONES DCLARATIVES, ZONES DINSTRUCTIONS
15 Bibliographie 3 ENVIRONNEMENT, BIBLIOTHQUES
4 HIRARCHIE ET STRUCTURE
5 MODLISATION DE BIBLIOTHQUES - VITAL
6 SYSTME
7 COMPORTEMENTAL
8 SYNCHRONE
9 ASYNCHRONE
10 SWITCH
11 ANALOGIQUE
12 RFRENCES
13 INDEX
14 TABLE DES FIGURES
15
[AIR] VHDL: Langage, Modlisation, Synthse
Roland Airiau, Jean-Michel Berg, Vincent Olive, Jacques Rouillard,
Presses Polytechniques Universitaires Romandes
ISBN 2-88074-361-3

[ASH1] The VHDL Cookbook (anglais), une bonne et brve introduction VHDL'87
Peter Ashenden
http://tams-www.informatik.uni-hamburg.de/vhdl/
doc/cookbook/VHDL-Cookbook.pdf

[ASH2] VHDL-2008, Just the new stuff


Peter Ashenden
Morgan Kauffman
ISBN 978-0-12-374249-0

[BER] VHDL Designers Reference (anglais)


Jean-Michel Berg, Alain Fonkoua, Serge Maginot, Jacques Rouillard
Kluwer Academic Publishers
ISBN 0-7923-1756-4

[CHR] Analog & Mixed-Signal extensions to VHDL (anglais)


Ernst Christen, Kenneth Bakalar, in CIEM 5 Current Issues in Electronic Modeling
Analog & Mixed-Signal Hardware Description Languages,
edited by Alain Vachoux, Jean-Michel Berg, Oz Levia, Jacques Rouillard
Kluwer Academic Publishers
ISBN 0-7923-9875-0

[COE] The VHDL Handbook


David R. Coelho
Kluwer Academic Publishers
ISBN 0-7923-9031-8

[HAM] Les archives VHDL de l'universit de Hambourg


http://tams-www.informatik.uni-hamburg.de/research/vlsi/vhdl/

[HER] VHDL-AMS Applications et enjeux industriels


Yannick Herv, Dunod
ISBN 9-782100-0058884
crire & Comprendre VHDL & AMS J Rouillard 2008
[IEEE] Publications IEEE : Standards (anglais)
o [IE1] 1076-2002 IEEE Standard VHDL Language Reference Manual
Publication IEEE
E-ISBN: 0-7381-3248-9
ISBN: 0-7381-3247-0
o [IE2] 1076.1-2007 IEEE Standard VHDL Analog and Mixed-Signal Extensions
Publication IEEE
E-ISBN: 0-7381-5628-0
ISBN: 0-7381-5627-2
o [IE3] 1076.4-2000 IEEE standard for VITAL ASIC modeling specification
Publication IEEE
E-ISBN: 0-7381-2692-4
ISBN: 0-7381-2691-0
o [IE4] 1497 IEEE Standard Delay Format (SDF) for the electronic design process
Publication IEEE
E-ISBN: 0-7381-3075-3
ISBN: 0-7381-3074-5
o [IE5] 1029.1-1998 IEEE Standard for Vhdl Waveform and Vector Exchange to Support
Design and Test Verification (WAVES) Language Reference Manual
Publication IEEE
ISBN: 0-7381-1445-6
E-ISBN: 0-7381-1446-4

[MUN] ASIC and FPGA verification: a guide to component modeling (anglais)


Une excellente introduction VITAL
Richard Munden, Morgan Kaufmann Publishers
ISBN: 0-12-510581-9
Version pdf accessible: http://www.muco17.com/fpga/FPGA.pdf

[ROU1] Lire & Comprendre VHDL


Jacques Rouillard, Lulu.com
ISBN 978-1-4092-2787-8
Version pdf accessible : http://www.rouillard.org/lire-vhdl-et-ams.pdf

[ROU2] Aide Mmoire VHDL, une version pdf de celui qui est la fin de ce manuel.
Jacques Rouillard
http://www.rouillard.org/memovhdl.pdf

[TUT] L'excellent tutorial sur VHDL-AMS sur le site de rfrence [HAM] (anglais):
Ernst Christen, Kenneth Bakalar, Allen M. Dewey, Eduard Moser
http://tams-www.informatik.uni-hamburg.de/vhdl/doc/P1076.1/tutdac99.pdf

[VAC] VHDL(-AMS) Essentiel


Alain Vachoux
http://lsmwww.epfl.ch/Education/former/ .
.. 2002-2003/modelmix03/Documents/VHDLAMS_instant.pdf

Bibliographie
-164-
Cet aide-mmoire peut tre dcoup ou photocopi, et agraf. Une version
lectronique pdf se trouve sur http://rouillard.org/memovhdl.pdf

J Rouillard 2008
Aide-mmoire VHDL
Jacques Rouillard 2007- 2008
Les types
Types numriques : integer, real, on se sert essentiellement des types prdfinis.
Types numrs Attributs sur types scalaires ou tableaux
type enum1 is (un, deux); T'HIGH borne max ou THIGH(dimension)
T'LOW borne min - idem
type enum2 is ('0', '1');
T'LEFT borne de gauche -- idem
type enum3 is ('B', bla, bli );
T'RIGHT borne de droite
Types structurs T'RANGE = XLEFT [down]to XRIGHT
Tableaux T'REVERSE_RANGE XRIGHT [down]to XLEFT
o type tableau is array (1 to 10) of integer; enum1POS(un) = 0
o type tableau is array (character) of bit; enum2VAL(1) = 1
o type tableau is array (10 downto 0, bit) of float;
Enregistrements
o type enreg is record a: integer; b: character; end record;
Types physiques: utilis pratiquement seulement avec TIME qui est prdfini.
Types accs La surcharge sur types numrs (voir aussi les sous-
type pointeur is access enreg; programmes page 5):
Types fichiers type enum1 is (un, deux);signal X1:enum1;
type enum2 is (bla, un); signal X2:enum2;
type text is file of string;

[AMS] Natures: voir page 6. X1 <= un; X2 <= un; --mais pas X1<=X2 !
Les objets enum1pos(un) vaut 0 et enum2pos(un) = 1
Constantes : smantique triviale : constant C : integer := 3 ;
Fichiers : smantique triviale sauf quon ne devrait ni les ouvrir (cela peut tre fait la
dclaration) ni les fermer (pour interdire la communication inter-process) : file F : text ;
Variables : dclares et utilises seulement dans les process et les sous-programmes (sauf
variables partages, ne pas utiliser hors conception niveau systme). Ont la mme smantique
que dans un langage de programmation. Peuvent tre de nimporte quel type. variable V :
le_type ;
Signaux : dclars dans toute zone concurrente (architecture, dclaration de paquetage) et utiliss
partout, y compris dans les process. Peuvent tre de tout type sauf accs et fichier. Peuvent tre
des port sils servent communiquer entre entits.
S<=valeur1; signal S : le_type ; ou port ( P : in le_type )
Leur smantique est diffrente de la variable : laffectation de signal (S <= valeur) na pas deffet
S<=valeur2; observable instantanment. La valeur est enfile dans un driver (il y a un driver par signal et par
process ou process quivalent) et prvue pour apparatre dans le futur, au plus tt un delta (un
cycle de simulation) plus tard. Quand la mise jour se fait, tous les drivers proposant une valeur
pour ce signal l cette
S'EVENT , boolean, S a chang de valeur
date l sont rsolus 14 S'ACTIVE boolean, S a t affect
et cest cette rsolution S'LAST_EVENT time, date du dernier vnement
qui devient la valeur S'LAST_ACTIVE time, date dernire affectation
15 S'LAST_VALUE avant dernire valeur En italique : rendent
observable . Voir le
X<=S; S'DELAYED(t) copie de S dcale des signaux.
cycle de simulation, page S'STABLE(t) boolean, S a t stable pendant t
5 S'QUIET(t) boolean, pas t affect pendant t
[AMS] terminaux: voir S'TRANSACTION boolean, bascule chaque affectation
page 6.
[AMS] quantits: voir page 6.

14
Cest l que les signaux forts lemportent sur les faibles ou que les conflits sont dtects. Voir le paquetage
STD_LOGIC_1164, section paquetages standards.
15
Avec pour consquence quon nobserve jamais dans le mme cycle la valeur quon vient de proposer.
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Blocs de base/Logique combinatoire/Dataflow : le circuit peut se reprsenter comme Sorties =


fonction (Entres), et il ny a pas de boucle entre entres et sorties.

library IEEE ;use IEEE.std_logic_1164.all ;


entity decodeur is
port (E1,E2 : in std_logic ; S1,S2,S3,S4 : out std_logic ) ;
end decodeur;
Affectation conditionnelle :
S <= expression1 when condition1
architecture dataflow of decodeur is else expression2 when condition2
begin
S1 <= not E1 and not E2; .. else expression3 ; [ou unaffected]
S2 <= E1 and not E2; Affectation slecte :
S3 <= not E1 and E2; with slecteur select s<= expr1 when valeur1 ,
S4 <= E1 and E2; expr2 when valeur2 ,
end; . ;

Pour la simulation: chaque instruction a une liste de sensibilit (la


E2
liste des signaux qui sont dans sa partie droite). Si lun deux change,
linstruction est value et son rsultat est dpos sur le signal affect.
S2 <= E1 and not E2; Inversement : pas de changement, pas dvaluation. Cest cette
conomie qui fait lefficacit de la simulation event-driven (peu de
signaux changent un instant donn dans un circuit). Dans cet exemple
simple, toutes les sorties sont sensibles sur toutes les
entres, mais ce nest pas toujours le cas.
E
Pour la synthse : loutil va chercher faire une logique 2
quivalente celle qui est dcrite, en utilisant des
lments de bibliothque. Dans un cas trivial comme E
celui-ci, on peut penser que loutil utilisera une brique 1
dcodeur 2 vers 4 . Le rsultat de synthse donnera une
architecture structurelle dont les sorties porteront les
dlais fournis par la bibliothque, ici sous une forme S S S S
outrageusement simplifie : 4 3 2 1

library lib4212;use lib4212.delaypkg.all;


architecture structure of decodeur is
component decodeur
port (E1,E2 : in std_logic ; S1,S2,S3,S4 : out
std_logic ) ;
end component;
signal Ss1,Sz2,Ss3,Ss4: std_logic;
for dec: decodeur use entity lib4212.deco2to4(bla666);
begin
dec :decodeur port map (Ee1,Ee2,Ss1,Ss2,Ss3,Ss4) ;
S1 <= Ss1 after delaynor;
S2 <= Ss2 after delayinv+delaynor;-- ici on pourrait raffiner et distinguer
S3 <= Ss3 after delayinv+delaynor;-- suivant les valeurs de E1 et E2.
S4 <= Ss4 after delayinv+delaynor;
end structure;
La simulation de ce bloc devra donner, aux dlais prs, les mmes chronogrammes que ceux de la
spcification (ici dataflow.) Si lon est mcontent du rsultat obtenu, il faut revenir la spcification sil y
a matire optimisation, et/ou revenir la synthse en changeant des paramtres, par exemple la
bibliothque utilise. Voir cycle de conception page 9.
Une norme (Vital) permet aux fournisseurs de bibliothque de fournir sous une forme standard ce genre
de dlais pour les rsultats de synthse. Voir Vital page 8.

-2 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Structure : on reprsente le circuit comme une interconnexion de blocs de bibliothque.


La bibliothque contient les lments ncessaires :
entity XorGate is port (E1,E2: in std_logic;S: out std_logic); end XorGate;
entity NotGate is port (E : in std_logic; S :out std_logic); end NotGate;

Les architectures peuvent tre en source ou en binaire, cela na pas dimportance pour ce qui est de
lutilisation. Faisons un XNOR (avec le NOT et le XOR).

library IEEE ;use IEEE.std_logic_1164.all ;


entity XnorGate is
port (E1,E2 : in std_logic ; S :out std_logic);
end XnorGate;

Lentit appelante contient plusieurs zones : la dclaration de composants (les supports destins recevoir
les entits), la configuration (qui dit quelle entit va sur quel composant) et les instances (l o les
composants sont effectivement poss et connects.) On a besoin dun signal interne pour connecter les
deux botes.
library ressources_lib ;
architecture structure of XnorGate is
signal interne: std_logic; -- un signal pour connecter les deux portes.
-- dclaration des composants
component XorComp port(E1,E2:in std_logic;S: out std_logic);end component;
component NotComp port (E : in std_logic;S :out std_logic); end component;
-- configurations
for all: XorComp use entity ressources_lib.XorGate;16
for all: NotComp use entity ressources_lib.NotGate;
begin
-- instances
XnorInstance: XorComp port map (E1, E2, interne);
NotInstance : NotComp port map (interne, S) ;
end;

Pour la simulation: chaque changement E2


sur un signal provoque lactivation du
bloc concern, et ceci de faon transitive XorComp port map (E1, E2, interne);
sil y a de nouveau changement de valeur.
Chaque bloc se simule en fonction de sa NotComp port map (interne,S );
propre description dans la bibliothque
appele. Si un dlai est spcifi dans une
affectation, les glitchs infrieurs ce dlai sont gomms dans le mode normal (pas transport).

Pour la synthse : on peut supposer que les blocs de la


bibliothque appele sont dj synthtiss ou synthtisables et
que la synthse de lassemblage se borne une recopie de la
structure et de la netlist. Bien entendu, des optimisations sont
possibles travers la hirarchie mais il ne faut pas trop compter
dessus sur des outils simples.

16
La configuration peut tre simple comme ici (simplement renvoyer une entit existante) ou encore spcifier de quelle
architecture on parle sil y en a plusieurs, et enfin dire explicitement quel port du composant correspond quel port de lentit.
Une forme plus complte (hors gnricit) est :
for ref-des-composants: nom-du-composant
use entity ressources_lib.entit(architecture)
port map (port-du-composant => port-de-lentit,)16
ref-des-composants peut tre le nom du label, une liste, ou others, ou all.
-3 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Squentiel synchrone, machines dtat : il sagit ici de faire de la logique tat. Un tat peut
tre reprsent comme un lment de type numr. Par exemple si on veut dterminer si une entre
contient la squence 001 :
type type_etat is (debut,
il_y_a_eu_un_0,
il_y_a_eu_deux_0, bingo) ;
signal etat : type_etat :=
debut ;

On implmente la machine dtats


comme un process (ou deux
suivant les styles, ce qui permet
disoler le combinatoire et le
synchrone) contenant un case dont
chaque branche est active par une
valeur de ltat, prend les actions ncessaires -dont le changement dtat. On suppose ici que marqueur
est un signal qui marque la reconnaissance de la squence. Il est mis 0 sur tous les tats sauf le dernier.

comb: process(etat,entree)
begin
case etat is
when debut => marqueur<=0 ;
if entre=0 then etat_suivant<= il_y_a_eu_un_0;end if ;
when il_y_a_eu_un_0=> marqueur<=0 ;
if entree =0 then etat_suivant<=l_y_a_eu_deux_0; sync: process(ck, reset)
else etat_suivant <= debut ; end if ; begin
when il_y_a_eu_deux_0=> marqueur<=0 ; if reset=1 then
if entree =1 then etat_suivant <= bingo ; etat<=debut;
marqueur<=1 (si Mealy) ; end if ; elsif ckevent and ck=1
when bingo => marqueur<=1; then
if entree = 0 then etat<=etat_suivant;
etat_suivant <= end if;
il_y_a_eu_un_0; end process ;
else etat_suivant <=
debut; end if;
end case; CLK
end process;
entree
Pour la Simulation:
chaque front dhorloge, Debut un 0 debut un 0 deux 0 bingo debut un 0 tat
lentre est lue par la branche
correspondante du case; le marqueur
marqueur est mis 1 ou 0, et
ltat suivant est calcul.
Pour la Synthse : ici une synthse la main et en VHDL, sans aucune optimisation. Ltat va tre
cod (ici sur 2 bits, le codage est optimisable). 00=dbut, 01= etc.

etat <= "00" when reset=1 else etat_suivant when


clkevent and clk=1;
marqueur<=1 when etat="11" else 0;
with etat & entree select
etat_suivant <= "01" when "000", "01" when "010",
"11" when "101", "01" when "110", "00" when "111",
unaffected when others ;

On voit quon calcule deux bits en fonction


de trois par une table. Cela fera un PLA.

-4 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Comportemental : VHDL est ici un langage de programmation. Linstruction


hte est le process. Un nouvel objet est la variable, au sens de C qui ne peut se
dclarer que dans un process ou un sous-programme : variable V : le_type ;
Le process est une instruction qui a sa place dans larchitecture. Il peut avoir une
liste de sensibilit qui sera strictement quivalente un wait on sur la mme liste
plac la fin. process (S1,S2,..) begin squence end process ;
process begin squence wait on S1,S2; end process;
-{} = zro fois ou plus. [] = zro fois ou une.
Instructions : squence= squence dinstructions
o V := valeur ; S<= valeur ;
Dun type
o if condition then squence {elsif squence} [else squence] end if ;
discret o
ou case sel is {when valeur=>squence}[when others =>squence] end case;
tableau ode [label:] loop squence end loop; Sortir dune boucle:
discrets [label:] for I in 1 to 10 loop squence end loop; exit [label][when condition];
(bit, entier)
[label:] while condition loop squence end loop; (pas de label = la plus interne)
ou vecteur
o
de discrets wait; wait on liste_de_signaux; wait until condition; wait for 10 ns;
o
(bit_vector) procedure(liste darguments) ; X = fonction(liste darguments) ;
o Dans les sous programmes seulement : return [valeur] ; La fonction doit sortir par un
o Dclaration et dfinition de sous-programme : return. La procdure peut.
o procedure P (arg1 : [in][out]type1 ; )[is begin squence end];
o function F (arg1 : [in]type1 ; ) return type3 [is idem.
Surcharge : les sous-programmes peuvent partager le mme nom (avec les lments de types
Pour la simulation numrs). Ils sont distingus par le contexte (essentiellement nombre et type des arguments)
Tous les process . lancer sont lancs dans un ordre quelconque (et inobservable)
Les valeurs affectes aux signaux sont conserves dans des drivers. Les variables par contre sont
affectes immdiatement.
Tous les process doivent finir par tomber sur un wait (sinon erreur).
Alors et seulement, les valeurs sont sorties des drivers S <= '1' ;
pour calculer les valeurs effectives des signaux, cf if S='1 ' then
page 165. Cest cela qui permet dmuler la - pas forcment vrai (pas de wait entre)
Le cycle
concurrence et qui fait que lordre dexcution est
inobservable.
Si la valeur dun signal change (vnement), et si un process est sensible sur ce signal, ce process
devient candidat pour le prochain cycle au mme temps de simulation.
Quand il ny a plus dvnement un temps donn, le temps avance : soit au prochain temps
marqu par wait for, soit au prochain changement de signal marqu par une clause after, soit
[analogique] au prochain vnement qui sera ventuellement cr par le noyau analogique.
Directement au plus proche de ces temps [digital] ou par pas [analogique]. Et on recommence.
Pour la synthse : celle-ci est extrmement dpendante des outils. Quelques rgles usuelles :
Beaucoup de constructions sont par essence non synthtisables : fichiers, accs, procdures
rcursivesLa premire passe du synthtiseur sert surtout corriger le VHDL pour le rendre
synthtisable.
Il ne faut pas chercher synthtiser des blocs rguliers (RAM, etc.) ; il faut appeler des blocs
existants ou compter sur le synthtiseur pour dcouvrir un PLA.
Un signal affect dans toutes les branches dun if ou dun case sera construit avec de la logique
combinatoire. Sil en manque une ou plusieurs, il y aura un registre puisquil faut sauver la valeur
dun cycle lautre.
Il est souvent de bon got de rendre le process sensible tous les signaux qui y sont lus (par un
wait explicite ou implicite). On distinguera alors les choses faire sur niveau par un test de la
valeur (if reset=1) et les choses faire sur vnement par un test sur les attributs event et
stable.(if clkevent and clk=1).
Ne pas initialiser les objets lors de leur dclaration : le faire dans une tape reset .
Il faut lire la doc de loutil de synthse. Puis il faut la relire.

-5 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Simultan : VHDL-AMS permet Principaux attributs usuels:


d'crire des quations diffrentielles et S'RAMP S'SLEW rendent des rampes dfinies
par temps ou par pente.
un solveur s'arrange pour qu'elles Q'DOT : derive / temps
soient ( peu prs) vrifies certains Q'INTEG: intgrale depuis 0
points du temps. Q'DELAYED(T): Q dcal de T
discipline: les domaines physiques T'REFERENCE : quantit across entre T et sa
que l'on simule. Ils sont dfinis dans rfrence
T'CONTRIBUTION: quantit through somme des
des paquetages de la bibliothque quantit through incidentes T
DISCIPLINES. Q'ABOVE(E): signal TRUE si Q > E
nature: un ensemble de concepts
obissant l'quivalent des lois de Kirchhoff pour le domaine T1 T2
lectrique, servent de "types" pour
Q1 Q2
subtype voltage is real; les terminaux. Contiennent un connexion
subtype current is real; champ across, un champ through Q3
nature electrical is
et une reference. Dans le cas du Si toutes quantits through:
voltage across
current through domaine lectrique, il s'agit de Q1+Q2+Q3 =0
masse reference; reprsenter la tension, le courant et
la masse. Se dclare l o on dclare les types.
terminal: un objet appartenant une nature. Dans le domaine lectrique, c'est une quipotentielle, il
n'a pas de valeur . Les lois de Kirchhoff disent qu'entre deux terminaux, il y a une quantit across
et une seule (la tension) et que la somme de l'ensemble des quantits
Si toutes quantits across:
Q1 = Q2 through qui partent et arrivent sur une quipotentielle (un terminal ou
T1 Q1 des terminaux interconnects) est algbriquement nulle. Un terminal se
T2
dclare aux mmes endroits que les signaux.
terminal T1: electrical;
Q2 Il peut tre un port de composant et d'entit.
quantity: Les quantits peuvent tre libres, sources ou attaches:
quantity Q: real;
1. libres l'quivalent d'une variable au sens mathmatique du terme.
Le solveur va "essayer" de rendre vraies les quations en ajustant les valeurs des quantits
2. sources de bruit ou de spectre dans le domaine frquentiel.
3. through ou across , attaches un quantity SRC: real spectrum exp-magn, exp-phase
terminal. quantity NSE: real noise exp-puissance;

Les quantits se dclarent aux mmes endroits quantity V across I1,I2 through T1 to T2;
-- V est la tension entre T1 et T2
que les signaux. Elles peuvent tre des ports de -- I1&I2 deux branches de courant parallles.
composants et d'entits.
Les instructions simultanes: se mettent en zone concurrente (comme les process)
quation simple: [label:] expression == expression; -- doit contenir au moins une quantit.
Instruction conditionnelle: [label:] if condition use {instructions simultanes}
{elsif condition use {instructions simultanes}}
[else {instructions simultanes}]end use [label];
Instruction slective: [label:] case slecteur use
{when choixx =>{instructions simultanes}}
end case [label];
Procdural: [label:] procedural begin
{instructions squentielles sauf wait et break
les quantits y sont vues comme des variables}
end procedural [label];
break on instruction concurrente avec quivalence
break for Q1 use Q2 => expression
squentielle, indispensable pour dire au noyau qu'une on S when condition;
discontinuit ncessite un recalcul des conditions "initiales".
Condition de solvabilit: La somme des quantits libres, des quantits de mode out et des quantits
through d'une unit doit tre gale au nombre d'quations (ou quations quivalentes). Ceci est une
condition ncessaire, mais ne garantit videmment pas la convergence.
-6 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Gestion de projet : VHDL a des bibliothques, permet des configurations, gre


plusieurs architectures par entit, permet la gnricit et la gnration de code.

o Les units de compilation (design units) sont les portions de texte que lon peut
compiler et stocker en bibliothque. Les entits entity- (spcifications), les
architectures (implmentations), dclarations de paquetages package- (jeu de
ressources partageables) et implmentation de paquetage, les configurations
(isolement de toute linformation de configuration dun modle).
o Clause with : les bibliothques sont, pour le programmeur, des noms. Le lien
avec le systme de fichiers nest pas dans le langage. On appelle une bibliothque

par la clause with lib ; placer en tte dunit (avant les mots entity,
architecture, etc.). Ds quune bibliothque est rfrence, tous les noms qui sont
dedans sont visibles par la notation pointe : lib.entite ou lib.pack.objet.
o Clause use : on peut factoriser un prfixe trop encombrant crire, sous rserve
que cela ne cre pas dambiguts. La clause use prefixe.all ; peut tre mise
nimporte o dans une zone dclarative. En dessous, les objets dj visibles (et
seulement ceux-l) par la notation prefixe.objet deviennent visibles directement
(sauf conflit). use prefixe.objet ; moins utile, ne rend directement visible que
lobjet.
o Bibliothques par dfaut et standardises : il y a deux
bibliothques par dfaut (STD, WORK) et beaucoup de bibliothques trs utiles et
standardises : ex. IEEE qui contient IEEE.STD_LOGIC_1164. Voir page 8.
Chaque unit est prfixe doffice et par dfaut avec : with STD ; use
STD.STANDARD.all ; with WORK ; Pour VHDL-AMS, la bibliothque
DISCIPLINES contient tous les paquetages des diffrents domaines physiques:
electrical, mecanical, thermal, etc.
o Chaque entit peut avoir plusieurs architectures. Cest pourquoi celles-ci ont
un nom. Quand on veut simuler ou synthtiser, il faut dire quelle couple
entit/architecture ; au niveau de loutil (simulateur par ex.) cela dpend de
linterface. Dans le texte lui-mme, lors des configurations, la notation est : entite(archi).
o Les configurations : cest le moyen, dans une description structurelle, de dire chaque niveau de
hirarchie quelle couple entit/architecture on instancie. On utilise pour cela une
clause for X : composant use entity ent(arch) qui permet de passer
des arguments gnriques ou une association des ports (voir description
structurelle.) Une unit de configuration, compilable telle quelle, permet de
rassembler toutes les clauses de configuration dun modle en un seul fichier.
o La gnricit : paramtrise un modle. On lannonce lors des dclarations
dentit ou de composant : entity E is generic (X:integer) ;port();
end;. On indique sa valeur avant la simulation ou synthse, par un generic
map. for X :composant use entity ent(arch)generic map(3)
o La gnration : permet de crer du code rptitif ou
conditionnel, par programme. Cest du code qui sera
excut avant la simulation. Uniquement en zone concurrente (pas dans un
process).
if condition generate (instructions) end generate ;--pas de else.
for I in 1 to 10 generate (instructions) end generate;
Dans ce dernier cas, lindice I peut tre utilis dans les instructions pour indexer
des tableaux et construire des circuits complexes ; les bornes (ici 1 et 10) peuvent
venir dun argument gnrique. Attention ! on a vite fait davoir tellement de
combinaisons possibles que le circuit effectivement construit a de bonnes
chances de navoir jamais t test.

-7 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Les paquetages standard : lenvironnement de base permettant de compiler est dans un jeu de
paquetages dont la visibilit est assure par dfaut (pas besoin de clause with).
STD.STANDARD : tous les types indispensables, comme INTEGER, BIT etc.
STD.TEXTIO : contient un jeu de primitives assez rustique, permettant de faire des entres sorties
textuelles sur fichier. Il faut lire ligne ligne des chanes de caractres (READLINE), puis on exploite
cette chane par dautres primitives de traitement de chane appeles READ. noter un
STD_LOGIC_TEXTIO qui nest pas standard mais bien commode.
Les paquetages IEEE : pour rendre VHDL plus utilisable, un jeu de paquetages ont t
standardiss. Ils sont distribus avec toutes les implmentations et sont compris par les outils de synthse.
Lorganisme normalisateur est IEEE ; certains paquetages dusage courant ne sont toutefois pas
standardiss bien qutant souvent placs dans la bibliothque IEEE..
IEEE.STD_LOGIC_1164 : cest le plus important de tous : bien des concepteurs ne se servent
jamais du type BIT dfini dans STD.STANDARD. Il fournit un type logique 9 valeurs : 'U' 'X'
'0' '1' 'Z' 'W' 'L' 'H' ' -' U pour uninitialized, valeur par dfaut dpose au dbut des simulations et
permettant de reprer les signaux qui nont pas t initialiss au bout dun temps raisonnable. Deux
systmes logiques (fort : 01X et faible :LHW low, high, weak conflict) permettent de grer les
forces : en cas de conflit entre un faible et un fort, cest le fort qui gagne, voir signaux page
165. On peut ainsi modliser des systmes drain ouvert par exemple. 0/L et
1/H ont le sens logique usuel. X/W signifient conflit . Z est la haute UX01ZWLH-
U UUUUUUUUU
impdance, pour un bus que personne ne prend par exemple. Le '-' veut dire X UXXXXXXXX
0 UX0X0000X
dont care et ne sert quen synthse pour permettre de ne pas sur-spcifier 1 UXX11111X
Z UX01ZWLHX
et laisser le synthtiseur optimiser (inversement, les valeurs UXW ne servent W UX01WWWWX
L UX01LWLWX
quau simulateur, on ne va pas spcifier un conflit). H UX01HWWHX
- UXXXXXXXX
IEEE.STD_LOGIC_ARITH : permet de faire de larithmtique sur
std_logic_vector Pour cela il fournit deux types signed et unsigned qui ont la mme dfinition
que std_logic_vector : array (natural range <>) of std_logic . Suivant la dfinition
des compatibilits de types en VHDL, tout std_logic_vector peut tre converti explicitement en
signed ou en unsigned. Les oprateurs arithmtiques sont ensuite dfinis dessus (et entre eux). On
peut ainsi crire des expressions comme signed (V1) + unsigned (V2). Attention ce que ceci
sera synthtis (les outils reconnaissent les paquetages standard) et que laddition nest pas gratuite.
Un paquetage NUMERIC_BIT, moins utilis, fait la mme chose sur bit_vector. Si on ne veut faire
que de larithmtique signe ou non-signe, deux autres paquetages non standardiss existent aussi
qui nobligent pas changer le type avant les opration : STD_LOGIC_SIGNED et
STD_LOGIC_UNSIGNED. On ne peut utiliser directement (avec une clause use) quun des deux
la fois.
IEEE.MATH_REAL et MATH_COMPLEX : permettent davoir les fonctions mathmatiques sur
entiers et rels.
IEEE.VITAL : (Vhdl Initiative Towards Asic Libraries). Cest un ensemble de paquetages
VITAL_TIMING,VITAL_PRIMITIVES et autres- permettant de dcrire les fonctions logiques de
bibliothques avec des primitives standard, en repoussant lextrieur du modle les questions
temporelles. Ainsi le mme modle peut tre simul logiquement et valid sans les dlais, puis
synthtis de telle sorte que les dlais obtenus par la synthse puissent tre rinjects dans le modle
initial sans avoir lditer. Un format (SDF) permet cette rtro-annotation qui peut aussi tre faite par
configuration.
Les paquetages DISCIPLINES : pour VHDL-AMS, dfinissent les natures, constantes et attributs
des diffrents domaines physiques: electrical, mechanical, thermal, etc. Ils utilisent deux paquetages de
ressources: IEEE.FUNDAMENTAL_CONSTANTS et IEEE.MATERIAL_CONSTANTS.

Autres ressources : voir surtout http://tams-www.informatik.uni-hamburg.de/research/vlsi/vhdl/

-8 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Gnralits : la raison dtre et les principales spcificits de VHDL.


Complexit : Les systmes, mme grand public, peuvent comprendre des centaines de millions de
transistors interconnects ; leur complexit est du mme ordre que le dessin de la carte dun pays avec
toutes les pices de tous les immeubles, toutes les voies daccs jusquaux couloirs et ascenseurs. Il faut
avoir des descriptions formelles, avec rutilisation de code ancien ou externe. VHDL a un systme de
bibliothques. Il faut avoir le moyen de passer des contrats sur des spcifications et de faire les recettes
de ces contrats. VHDL est construit de faon ce quun modle soit simul de faon identique quelle que
soit la plate-forme.
Maintenance : beaucoup de systmes complexes sont obsoltes par construction : les systmes
stratgiques militaires par exemple. Il est important que les documents informatiques associs ces
systmes ne prissent pas avec les outils de CAO qui les utilisent. VHDL est un standard indpendant des
outils. Et dorigine militaire, accessoirement.
Re-conception : la technologie voluant, il est frquent de vouloir reconstruire un systme. Par exemple
intgrer un circuit base de composants en un circuit intgr. Pour cela il est indispensable de pouvoir
sparer les spcifications fonctionnelles de la description logique, et de les faire cohabiter. VHDL fournit
les multiples architectures et les configurations, une architecture pouvant contenir la spcification de haut
niveau et une autre la description en portes.
Le processus de conception : La plupart des circuits sont conus par un cycle criture-validation par
simulation- synthse- validation du rsultat- retour au dbut jusqu satisfaction. Comme moyens
dactions, on peut modifier soit les spcifications sil y a matire, soit les rglages du synthtiseur, soit les
bibliothques utilises. Cest ainsi quon peut partir dune spcification de haut niveau et la raffiner
jusqu obtenir une synthse correcte.

Spec: S <= (A nand B ) or C; Bibliothque


fondeur
Simulation

optimiser synthse changer

rgler

Pas ok

Tmp1 <= (A nand B ) after 3 ns;


Tmp2<=not Tmp1 after 3 ns;
Tmp3 <= not C after 3 ns;
Simu ok S<= Tmp2 nand Tmp3 after 3 ns;

Fondeur

-9 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Difficults:
Lassociation : chaque fois quil faut passer des arguments rels des arguments formels. Si la
dclaration a la forme :
port (A,B,C : STD_LOGIC) ; -- (mme chose avec les arguments de sous-programmes)
Lassociation peut se faire
par position : port map (X,Y,Z) X va sur A, Y sur B et Z sur C
par nom : port map (A=>X, C=>Z, B=>Y) o lordre na pas dimportance.
mixte : port map (X, C=>Z, B=>Y) passer lassociation par nom est irrversible.
On peut associer des lments de tableaux ou de record :
port (A : STD_LOGIC_VECTOR(2 downto 0)) donnera
port map (A(0)=>X, A(1)=>Y, A(2)=>Z)
ou port map (A(1 downto 0)=>T,A(2)=>Z) si T est un vecteur de 2 bits.
On peut aussi, mais cest du vice car tout cela concours la rsolution de la surcharge, changer le type
et/ou appeler une fonction lors dune association entre ports et signal.
ex : port map(Fonc(A) => TypeDeA(X)) o les changement de type et appels de fonctions sur les
arguments rel/formel sont pertinents suivant la direction des ports (in/out/inout). Ici cas inout, il y a les
deux qui sont appeles selon le sens du flot. En mode in, seul lappel de gauche serait pertinent.
Enfin dans le cas des ports, on peut laisser un port ouvert (associ open). Certains outils admettent
quon associe un port une constante (0 pour mise la masse) mais ce nest pas standard : mieux vaut
associer un signal quon affectera avec la constante.
Dans le cas des sous-programmes, si un argument est du genre signal, on ne peut lui passer que des
signaux. Sil est du genre variable, on peut lui passer des variables ou des signaux dont seule la valeur
passera au sous-programme qui le verra comme variable.
La spcification de configuration : for all :composant use work.entity E(A) ;
On est souvent surpris de voir que la configuration ne marche plus lintrieur des blocs generate.
Pour de trs bonnes raisons impossibles expliquer rapidement, la porte de cette spcification ne
descend pas dans les blocs hirarchiques, il faut la rpter en utilisant ou bien la zone dclarative du
generate (versions rcentes de VHDL) ou linstruction block ici illustre:
for all :composant use work.entity E(A) ;
begin block ad hoc pour avoir une zone
X: composant port map -- sera bien configur dclarative et pouvoir rpter la
G :for I in 1 to 10 generate configuration. Y sera configur alors que
B:block sans le block il ne laurait pas t.
for all :composant use work.entity E(A); Si limplmentation autorise la zone
begin dclarative dans le generate, on peut
Y: composant port map
end block;
enlever les deux lignes o apparat le mot
end generate; block.
Contrle de la sensibilit: lcriture nave conduit souvent un gaspillage de temps lors de la simulation
alors que le fonctionnement est correct :
registre <= bus when csevent and cs=1 ; sera activ pour rien, sauf optimisations, chaque fois
que bus change et mme si cs ne passe 1 quune fois par sicle!
Solution : le process sensible seulement sur cs:
process(cs) begin if cs=1 then registre <= bus ; end if ; end process ;
Un driver par signal et par process: si un signal est affect dans un process, mme au bout dun quart
dheure, mme dans un test qui est toujours faux, ce process cre une contribution pour ce signal ds le
temps zro de la simulation avec la valeur par dfaut (U pour STD_LOGIC). Par ailleurs, quand un
process contribue pour un signal, cette contribution est fournie tant que le process ne tombe pas sur une
nouvelle affectation. Cela est vrai pour linitialisation. Il est donc prudent dinitialiser au dbut du process
(par exemple Z) tous les signaux affects ici et l dans un process complexe
VHDL-AMS: ds que l'on suppute qu'il y aura une discontinuit sur une quantit ou sa drive, il faut
faire un vnement cette occasion (attribut ABOVE par exemple) et un break sur cet vnement. Sinon
le simulateur, qui travaille par pas, va dpasser la discontinuit. Le break l'oblige revenir au pas
prcdent et recalculer une solution pour le point de la discontinuit qu'il peut interpoler, puis
recalculer des conditions "initiales".
-10 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Exemples de code en vrac : (portions significatives du code seulement.)


Bascule RS, dataflow
Bascule D, comportemental Q <= R or not QB;
process(cs) QB <= S or not Q;
begin
if cs=1 then Q <= D ; end if;
end process;
Ligne retard avec gnration de 8 bascules D, structurel
component BASD port (CS,D,Q :std_logic_vector)
end component ; -- configuration faire.
signal inter : std_logic_vector(6 downto 0 );
begin
premier : BASD port map (cs, entree, inter(0) ) ;
dernier : BASD port map (cs, inter(6),sortie);
Waveform : for i in 6 downto 1 generate
S <= 0, elmt : BASD port map (cs, inter(i-1),inter(i));
1 after 10 ns, end generate;
0 after 20 ns,
1 after 100 ns, Horloge (attention linitialiser !)
0 after 200 ns; clk <= not clk after 10 ns ;

Bascule JK, dataflow (formule: Q+1 = J.Q + K.Q)


Q<=(J and (not Q)) or (not K) and Q) when clkevent and clk=1;

Ram, comportemental: ram est une variable, vecteur de std_logic_vector


process(cs) begin
if cs=1 then
if rw=1 then ram(adresse convertie en integer):=data;
else data<=ram(adresse convertie en integer) ;
else data<=(others=>Z) ;
end if; Calcul par table de vrit (comportemental)
end process; process (entree) begin
case entree is
when "000" => sortie <= "111"
when "001" => sortie <= "101";
etc.
when others => null ;
end process;

Assertions sur conditions statiques (n) et dynamiques dans une entit


entity E is
generic( n :integer);
port(clk,e : bit ;s :out bit);
begin
assert n>0 and n<10 report n hors limites severity fatal;
assert not clkstable(10 ns) report clk trop lente;
assert slast_active elast_active > 3 ns report.
end;
Bloc gard
B: block(clkevent and clk=1)
begin
S<= guarded X;--quivalent X when clkevent etc
Q <= S and T; -- pas gard
R <= guarded V;-- voir S
end block;

-11 -
VHDL en 12 pages - Jacques Rouillard 2007- 2008

Lire un fichier: use std.textio.all; puis dans un process:


file data_file:text open read_mode is c:\bla.txt;
variable L:line;
begin
while not endfile(data_file) loop
readline(data_file,L);
Les deux faons dinstancier -- ici L.all est une string. On peut sen servir.
component comp read(L, x);-- suivant le type de x, lit x dans L
port() -- voir dans TEXTIO lexistant.
end component ;
for all : comp use entity work.E(A) ;
begin
instance_composant : comp port map ()

instance_directe : use entity work.E(A) port map()

AMS: Gnrateur de tension


library Disciplines;
use Disciplines.electrical_system.all;
entity GeneTension is
generic (valeur: REAL);
port (terminal plus, moins: electrical);
end;
architecture A of GeneTension is
quantity v across i through plus to moins;
-- mention de i ncessaire pour la condition de solvabilit
begin
v == valeur;
end; AMS: Comparateur de tension, sortie signal
library Disciplines;
use Disciplines.electrical_system.all;
entity Comparateur is
generic (limite: REAL);
port (terminal T1, ref: electrical;
signal sortie: out BOOLEAN);
end;
architecture A of Comparateur is
quantity tension across T1 to ref;
begin
sortie <= tensionabove(limite);
end;

AMS: Limiteur de tension, avec break pour marquer les discontinuits


library Disciplines;
use Disciplines.electrical_system.all;
entity limiteur is
generic (limite: REAL);
port (terminal entre_plus, entre_moins, sortie_plus, sortie_moins: electrical);
end;
architecture A of limiteur is
quantity tension_entree across entre_plus to entre_moins;
quantity tension_sortie across courant_sortie through sortie_plus to sortie_moins;
begin
if tension_entreeAbove(limite) use
tension_sortie == limite;
elsif not tension_entreeAbove(-limite) use Solvabilit : une quation active la fois,
tension_sortie == - limite; donc il faut une quantit libre ou de mode out.
else ou, ici, through mme si elle nest pas dans
tension_sortie == tension_entree; le code (elle est dans les quations de
end use;
conservation implicites)
break on tension_entreeAbove(limite), tension_entreeAbove(-limite);
end;

-12 -

Das könnte Ihnen auch gefallen