Sie sind auf Seite 1von 15

INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


CARRERA: INGENIERA BIOMDICA

MATERIA: ELECTRNICA DIGITAL GRUPO: 5DM

NOMBRE MAESTRO: MARGARITA ALVAREZ CERVERA

NM. PRCTICA: 5 FECHA DE ENTREGA: 31/05/2017

NOMBRE DE LA PRCTICA: CARACTERIZACIN Y USO DE BLOQUES


COMBINACIONALES

INTEGRANTES DEL EQUIPO


FABIO ISRAEL ARJONA VALDEZ
CAMARA NAVARRO JUANICE AMAYRANI
VILLANUEVA CEBALLOS PEDRO RUBEN

1
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA

REPORTE DE LA PRCTICA

INTRODUCCIN

En el desarrollo de este trabajo se implementan nuevos mtodos para hallar las


ecuaciones booleanas de un circuito, estos son; implementacin de funciones
booleanas utilizando decoder e implementacin de funciones booleanas utilizando
multiplexor.
A lo largo del documento se observar el mtodo utilizado para resolver cada
inciso, as como los diagramas, simulaciones y el armado del circuito.

OBJETIVOS DE LA PRCTICA

1. Describir el funcionamiento y operar un circuito combinacional.


2. Usar un multiplexor para implementar una funcin booleana.
3. Disear utilizando circuitos MSI.

MARCO TERICO

CODIFICADOR Y DECODIFICADOR (Decoder/Coder)


Un codificador es un circuito combinatorio que tiene la funcin principal de
convertir de binario a cualquier otro cdigo, cuenta con un nmero determinado
de entradas, de las cuales slo una tiene el estado lgico 1, y se genera un
cdigo de varios bits que depende de cul sea la entrada excitada.

Un decodificador es un circuito combinacional que convierte


la informacin binaria de n lneas de entradas a un mximo

2
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


de 2n lneas nicas de salida. Dicho de otra manera, un decodificador realiza la
funcin opuesta a la de codificar, es decir, convierte un cdigo binario de varias
entradas en salidas exclusivas.

IMPLEMENTACION DE FUNCIONES BOOLEANAS CON DECODER.


1. Expresar la funcin booleana como suma de minitrminos
2. Escoger variables menos significativas como entradas para el
decodificador.
3. Realizar un mapa similar al de Karnaugth poniendo como encabezado de
cada columna la combinacin de variables de entrada seleccionadas. Cada
rengln se identifica mediante las combinaciones variables no escogidas
como entradas. Se tendrn tantas columnas como salidas del decoder.
4. Identificar cada casillero del mapa con el minitrmino correspondiente
mediante cdigo binario normal.
5. Agrupar solo verticalmente los 1 adyacentes; en potencias de 2.
6. Las variables restantes se combinan mediante compuertas AND con las
salidas y se unen mediante una compuerta OR de mltiples entradas.
7. Si una columna contiene solo 1 la salida correspondiente se conecta
directamente a la compuerta OR.
Si una tiene solo 0 la salida no se conecta.

MULTIPLEXORES Y DEMULTIPLEXORES
(mux/demux)

Un multiplexor (MUX) es un circuito combinacional


que selecciona una entrada y la transfiere a la salida.
La seleccin de la entrada, o dato, se realiza segn un
conjunto de valores de las variables de control. Poseen, por tanto, n entradas de
seleccin, para 2^n entrada de datos, proporcionando, generalmente, dos salidas:

3
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


una para el dato directo y otra para el dato negado.

Los multiplexores son circuitos combinacionales con varias entradas y una salida
de datos, y estn dotados de entradas de control capaces de seleccionar una, y
slo una, de las entradas de datos para permitir su transmisin desde la entrada
seleccionada a la salida que es nica.
Los demultiplexores son circuitos que realizan una
funcin contraria a la de los multiplexores, es decir,
tienen una nica entrada de datos que, mediante unas
entradas de control, se pone en comunicacin con una
de entre varias salidas de datos. La salida concreta
seleccionada depende de la combinacin de valores
lgicos presentada en las entradas de control.

IMPLEMENTACION DE FUNCIONES BOOLEANAS CON MUX


1. Expresar la funcin booleana como suma de minitrminos.
2. Utilizar variables menos significativas como variables de seleccin.
3. Las variables ms significativas se combinan mediante compuertas con las
lneas de entrada del mux.
4. Crear una tabla de verdad semejante a un mapa de Karnought, poniendo
en las columnas las variables elegidas como seleccin y en las filas, las
sobrantes. Se tendrn tantas columnas como entradas en el mux.
5. Identificar cada casillero con el minitrmino correspondiente utilizando
cdigo binario normal para las columnas y reflejado para las filas.
6. Agrupar solo los 1 verticales, si una columna contiene solo 1 se conectara
a 5V, si contiene solo 0, se conectara a tierra.

MATERIAL UTILIZADO

4
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


CIRCUITO 1
10 resistencias de 220
1 74LS42
10 leds
Jumpers
CIRCUITO 2
Mux mecanismos de deteccin
1 resistencia de 220
1 Mux 74151
Jumpers
1 led
Mux alarma
1 Resistencia de 220
1 Mux 74151
Jumpers
1 Led
Protoboard

Multmetro

Cable UTP

Fuente de 5

DESARROLLO EXPERIMENTAL

5
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


CIRCUITO 1
a) Obtener las ecuaciones booleanas de cada salida del circuito 74LS42.
Lo primero que se realizo fue obtener la tabla de verdad segn la datasheet del
74LS42, imagen 1.0.

A0 A1 A2 A3 F0 F1 F2 F3 F4 F5 F6 F7 F8 F9
0 0 0 0 0 1 1 1 1 1 1 1 1 1
0 0 0 1 1 0 1 1 1 1 1 1 1 1
0 0 1 0 1 1 0 1 1 1 1 1 1 1
0 0 1 1 1 1 1 0 1 1 1 1 1 1
0 1 0 0 1 1 1 1 0 1 1 1 1 1
0 1 0 1 1 1 1 1 1 0 1 1 1 1
0 1 1 0 1 1 1 1 1 1 0 1 1 1
0 1 1 1 1 1 1 1 1 1 1 0 1 1
1 0 0 0 1 1 1 1 1 1 1 1 0 1
1 0 0 1 1 1 1 1 1 1 1 1 1 0
1 0 1 0 1 1 1 1 1 1 1 1 1 1
1 0 1 1 1 1 1 1 1 1 1 1 1 1
1 1 0 0 1 1 1 1 1 1 1 1 1 1
1 1 0 1 1 1 1 1 1 1 1 1 1 1
1 1 1 0 1 1 1 1 1 1 1 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1 1
Las funciones obtenidas fueron:
F0= A+B+C+D F5= A+B+C+D
F1= A+B+C+D F6= A+B+C+D
F2= A+B+C+D F7= A+B+C+D
F3= A+B+C+D F8= A+B+C+D
F4= A+B+C+D F9= A+B+C+D

Posterior mente se hizo el clculo de las ecuaciones correspondientes y se dibuj


lo que vendra siendo un pre-diseo del circuito el cual se hizo a mano. Lo
podemos observar en la imagen:

6
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA

Obtenidas las funciones booleanas, se emple la simulacin para comprobar que


cumpliera la tabla de verdad y las funciones, imagen:

7
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA

Una vez realizada la simulacin, se procedi al armado del circuito. Cuando todas
las entradas son (0) los leds que encienden son; imagen:

Cuando se coloca el nmero 2 en binario los leds que encienden son; imagen:

8
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA

Cuando se coloca el nmero 8 en binario los leds que encienden son; imagen

ANEXOS

9
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA

PREGUNTAS

JUANICE AMAYRANI CAMRA NAVARRO

10
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


A.- Qu significa el hecho que el circuito 74LS42 trabaje en modo activo
bajo? Este decodificador acepta cuatro entradas BCD altas activas y proporciona
diez salidas bajas mutuamente activas.
Las salidas bajas activas facilitan el direccionamiento de otras unidades MSI con
entradas de baja habilitadas.
El diseo lgico de este circuito nos asegura que todas las salidas son altas
cuando los cdigos binarios mayores de nueve reas se aplican a las entradas.

B.- Para implementar una funcin F (a, b, c, d, e) Qu tipo de multiplexor


necesitamos? Qu nmero de circuitos integrados TTL existen para eso?
Utilizara dos multiplexores de 4x16, pero cmo nos aceptara 4 entradas cada
mux, sera necesario hacer una combinacin, es decir; conectar el otro mux para
tomar en cuenta la variable faltante. Esto lo permiten los TTL 74159 y 74154

C.- Explica las funciones de la entrada STROBE del 44LS151 Qu podemos


darle? Es una entrada que habilita o inhabilita (enable o storbe) el circuito, es
decir; es la forma sencilla de controlar el multiplexor. Cuando en el circuito la
tenemos habilitada en bajo funciona normalmente el mux (las entradas de
seleccin), en cambio s lo inhabilitamos obtenemos el negado.

D.- Define brevemente que es un decodificador y que es un multiplexor,


explicando las diferencias entre ambos y mencionando dos aplicaciones
para cada uno.
Decodificador: Dispositivo digital que tiene n entradas y salidas, realiza la

funcin opuesta a la de codificar, es decir, convierte un cdigo binario a cualquier


otro cdigo (sistema). Por ejemplo; todos los teclados utilizados en los aparatos
electrnicos (computadoras, calculadoras, celulares) y todos los dispositivos de
entrada con interfaz (como las impresoras).

11
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


Multiplexor: Dispositivo digital que tiene entradas, n selecciones y una sola

salida. Estn dotados de entradas de control capaces de seleccionar una, y slo


una, de las entradas de datos para permitir su transmisin desde la entrada
seleccionada hacia dicha salida.
Una de sus aplicaciones podra ser en los radios; ya que este aparato recibe
muchas seales y con un seleccionador (perilla) permitimos la transmisin de una
sola seal a la vez. Otra de las aplicaciones seran los televisores antiguos que
funcionan con el mismo principio al recibir la antena parablica n cantidad de
seales y por medio del control (seleccin) permitimos la transmisin de una
seal. Comunicar con gran variedad de dispositivos externos denominados
perifricos, es decir puertos de entrada y salida. Ej.: Impresoras, Modem.
Pedro Rubn Villanueva Ceballos
A) Qu significa el hecho de que el circuito 74LS42 trabaje en modo activo
bajo?

el hecho que trabaje en activo nos indica que en su salida sale un 0 por lo cual
cuando demos un nmero este saldr pero como si estuviera apagado, pero
realmente es una de las funciones o la forma que se debera ver segn el
decodificador.

B) Para implementar una funcin F(a, b, c, d, e) Qu tipo de multiplexor


necesitamos? qu nmero de circuitos integrados TTL existen para esto?
Justifica tu respuesta.

una forma de implementar la funcin se podra usar cualquier multiplexor, ya que


tiene varias formas de 4x3 de 8x3, uno mismo elige el nmero de entradas y el
nmero de entradas que seleccionan que entrada utilizar que quieras manejar en
tu circuito

Lo mencionado anteriormente es necesario buscar un multiplexor que se


adjunte a nuestras necesidades. por ejemplo se podra usar el mismo que
utilizamos para la prctica el 74LS151 lo cual es necesario realizar su operacin
y la simulacin para verificar.

12
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


C) Explica las funciones de la entrada STROBE del 74LS151 qu usos
podemos Darle?

En diferentes circuitos es que se ponan antes o despus de la entrada y a veces


tiraba 0 o 1 segn sea, pero en si no encontramos informacin acerca de para
que se utilizan exactamente

D) Define brevemente que es un decodificador y que es un multiplexor,


explicando las diferencias entre ambos y mencionando al menos dos
aplicaciones para cada uno

El decodificador es un circuito integrado que convierte de binario a decimal y un


multiplexor es un circuito combinacional de varias entradas, pero de una salida.
La diferencia ms grande es vendra siendo el nmero de salidas mientras que el
decodificador tiene varias el multiplexor solo 1.

CONCLUSIONES Y OBSERVACIONES

JUANICE AMAYRANI CAMARA NAVARRO: Con el desarrollo de esta prctica

13
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA


aprend a describir la forma de operacin y el funcionamiento de un circuito
combinacional, tambin aprend a utilizar los multiplexores y los decodificadores
teniendo como base las ecuaciones booleanas de un circuito. De igual manera
comprend y apliqu el diseo de estos circuitos.

Pedro Rubn Villanueva Ceballos: En conclusin pude observar y comprender


ms en los decodificadores y que no solo funcionan en los display de 7
segmentos y que se podr encontrar una gran variedad que manejan varias
cifras de igual forma, igual logre observar que existen diferentes decodificadores
como el de nodo y ctodo comn. Lo cual que me llamo la atencin la parte del
multiplexor porque no podas hacerlo de una forma cualquiera.

COMENTARIOS

REFERENCIAS BIBLIOGRFICAS

[1] Fundamentos de Sistemas Digitales, 9na edicin, Thomas L. Floyd, Editorial


Pearson Educacin S.A, Madrid 2006.

[2] http://www.uv.es/marinjl/electro/digital2.html
Hora y fecha de consulta: sbado 29/abril/17 a las 14:14 horas.

[3] http://unicrom.com/niveles-logicos-alto-bajo-0-1-low-high/
Hora y fecha de consulta: sbado 29/abril/17 a las 16:20 horas.

VALORACION DEL PROFESOR

14
INSTITUTO TECNOLGICO DE MRIDA

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA

15

Das könnte Ihnen auch gefallen