Sie sind auf Seite 1von 6

30-06-2017

Procesador De
4Bits
En cdigo VHDL.

Diego Alejandro Rey Acua


16003629
UNIVERSIDAD DE LOS LLANOS 1
FACULTAD DE INGENIERIA Y CIENCIAS BASICAS
ELECTRONICA BASICA.

INTRODUCCION

Los procesadores programables realizan operaciones complejas por medio de una secuencia
de operaciones complejas por medio de una secuencia de operaciones elementales
denominada conjunto de instrucciones IS: Istruction Set que codificadas normalmente
por medio de comandos que se agrupan en programas para implementar cualquier
algoritmo.
El proceso de diseo del procesador programable represento un grado de dificultad media
debido a la complejidad de interacciones entre hardware en conjunto al software necesario
para el diseo del procesador en cuestin.
Con el fin de simplificar la complejidad del diseo de procesadores, se cambia el mtodo de
conceptos de jerarqua y abstraccin. La jerarqua est caracterizada por su arquitectura y
organizacin. En el cual la arquitectura puede ser tomada como la accesibilidad del
interfaz.
UNIVERSIDAD DE LOS LLANOS 2
FACULTAD DE INGENIERIA Y CIENCIAS BASICAS
ELECTRONICA BASICA.

1. OBJETIVOS

Por medio de cdigo VHDL implementar un Procesador de 4 bits que realice dos
operaciones aritmticas y dos operaciones lgicas.

Demostrar funcionamiento del procesador de 4 bits

La implementacin del procesador consta de 3 componentes bsicos que son un reloj, un


contador y la ALU (Unidad Arimetica-Logica).

2. REQUISITOS GENERALES
Para cumplir los objetivos de este informe fue indispensable:
Tener un conocimiento previo de circuitos bsicos de uso general en electrnica, con
dominio suficiente para DISEAR e IMPLEMENTAR proyectos de pequea complejidad;
incluidas las herramientas metodolgicas de modularizacin en el planteamiento de
soluciones a pequeos sistemas.

Utilizar eficaz y responsablemente el tiempo programado para COMPLEMENTAR y EJECUTAR


las prcticas propuestas
UNIVERSIDAD DE LOS LLANOS 3
FACULTAD DE INGENIERIA Y CIENCIAS BASICAS
ELECTRONICA BASICA.

3. DESARROLLO
Las operaciones aritmticas a realizar son SUMA y RESTA, y las operaciones lgicas son
AND y OR.

En la ALU se definir que operaciones a realizar, que anteriormente se han mencionado.


Cada valor va a corresponder a nuestro Selector de Operacin que en este caso es el
contador.

Selector Operacin

000 SUMA

001 RESTA

100 AND

101 OR

Tambin se define los registros que corresponde a los valores a operar.

Re1 A 3-0

Reg2 B 3-0

Reg3 RE 3-0

Teniendo en cuenta que cada registro ser de 4 Bits, evaluados de derecha a izquierda de manera
ascendente en sus valores.
UNIVERSIDAD DE LOS LLANOS 4
FACULTAD DE INGENIERIA Y CIENCIAS BASICAS
ELECTRONICA BASICA.

Determinamos en las dems combinaciones sean nulas y completamos as la alu del


procesador.

Ahora es turno del contador que para este procesador se utiliz un contador a modo de
seleccionador, lo que har ser elegir las operaciones a realizar entre los nmeros. Nuestro
contador ser de 2 bits.
UNIVERSIDAD DE LOS LLANOS 5
FACULTAD DE INGENIERIA Y CIENCIAS BASICAS
ELECTRONICA BASICA.

Ahora proseguiremos a comenzar el reloj que en este caso va a trabajar a una frecuencia de
1 Hz para poder observar en los leds las diferentes respuestas de las operaciones.

Con esto estara completado el procesador de manera analtica puesta desde un punto
terico, Ahora procedemos a ver el esquema realizado por el software y comprobamos el
resultado.

Por el esquema podemos deducir que las entradas y salidas estn en respectivo orden y el
funcionamiento es ptimo, por tanto, solo queda simular y montar el proyecto finalizado.

Das könnte Ihnen auch gefallen