Sie sind auf Seite 1von 160

!

,/( )- #(/-.,3

*/'* "())%
|~ |y| }{x
.~ x|x{ x| y|
#x | ||z|

!,/( )- 'xx~|| -


* | $|| 0|

% |~y
.| 
~{}z
*/'* "())%

~ !,/( )- 'xx~|| -  ~ |||{

~ x x{ |xx |x| |z  x|x ( x }  x|x


x y| |{z|{ x } y x |x  | | }
!,/( )- 'xx~|| -

zx|
 |xxy| zx| x y|| x| || | xzzxz } | z| }  x|x
|| !,/( )- 'xx~|| - x y| |xy| } x || {|z
{|z z{|x z||x x~ } | | } |xz| x } |
z| } | x|x
|{
.| x}xz~ { xz| |x {|x{  | z|
|x ~ |xy x{ ||~ z .||}|
!{} x {|||{ | * x{y z x | x| {|x
 x z{|x | {|~ x{ |
1| x| |xyx|{ x x{y } |~|| x{ |zzx  
{|~ x{ xx } x{ | zx~ x| x
{| x~| } |zzx |zz | .| * x{y zx ||
y| |x{ } | |{ | | x |zz z

.| x{y {{|{ zx| z {|x  {}}|| x| |


{|~~ |

.~ zx| | x| x ~||x ||x } {}}|| |


x{ z| "|| | x {|zy| z |zx x{ | {|x~
 z { | | |{ x|x x | x {}}|| | }
z x| |||{ || .| x |~| z|z
 |x{~ | |}xz| x| |||{ zx|  x| {|x
 | {xz x{ | } | x }xz z{| yx
|x } | |  }| |z|x x{ |
|}xz| y |x } {}}|| x{| |{ || x| {|x 
zx|  x| {|zy| | }| zz| z x ||~ z x x
x | {xa x{ |

1| z|| | x x| | } .| x{y x{ { |}


{x 

-|~| |z | ||| 'xx~|

x -~xx{ x { (||


.xy| } |

x| |~ } x{  -|z  &{ 


 0z { 
 ((|x { 
-|z  * zz   .| xz } z { |
|}xz| } x z|}~x 
 .| z|}~x   -||z~ | ~ } x {
 * z|   x}||| 
 xxz|z } | z|}~x   xzx |x| 
 ' z |{z x{  | x{|{ ||z } {|| x{
| |  z { 
 #|| | xx }z| 
 x~ | x{x }z|   -|z  'x|x 
 -~|x~|   1x z 
 'x~|   .| } z 
 &~z|{ x{ z|z|{   '|x x{ |x x 
 |xz 
-|z  .| }   *xz 
 -x{x{   ,yy| 
 -zx|   x~ 
 "||zx |x|{ 
 -xx 
 1x|x| 
 #|y|  x| #xx x{ |}xz|
 ||  |x{~ 
 *| {xz|| 
-|z  * xx 
-|z  '|zxzx x} |x   (| xx 
 .| |zxzx x} |xa  ~ xx|xz|| 
z| x{ }z   *| } ~| xx 
 xxz|{ x{ yxxz|{ x} |x   &x } | x{ yx 
 .| } |zxzx x} |x   -{ || & 
 -|x }xz| x|x zyx 
 xz x}}|z~ | |x |}xz|  -|z  * |}xz| 
 "{xz | 
-|z  '   |zzx | 
 -x{x{   &{ || 
 ' x 
 0x~| 
 ||z z|| 
 ' |z 
x| -| {xz  x| &}| zz| z zxzx 

-|z  -| zxxz|z  -|z  &}| zz| z |x 


 -~| |xz|   #x z zx| z| z 
 |{ x{ | |   #xx x{ z~ z  
 y{ w y 
-|z  * z|z|{ || x{ xx|   )|x~ z   
 * xx|   |x z | 
 * z|z|{ ||   'x|xz| x{ |x z  
 | z } {z  
 |z~ x{ {x z  

x| *|}xz| x{|


}  -|z  &}| zz| z zxzx
\ x |x| 
-|z  {~ |}xz| 
 .| z 
 x z 
 '{}~ || {x||  |{ 
 -||{ z   (x x{ 
 x } x{| |{   / z| xy| 
 )|x |}z|z } | |   -#|| x{ !|| xxy| 
 x| ,|x| | z  0x || x{ {| } x| x
| | |{z|{ y  {}}|| ||x| 
 )z| 
-|z  -||{z|{   x~| xz || {| zx~|
 x || z  | {x|| 
 x ||x| z  ! (| 
 x {}}||x || x " (~x } |x{ |
zzx~ |  y|{ x| |z 
 z|x|{ {}}||x # *| ~x } z|x x|  
|| z  $ *|{zx | 
% * x{x{ 
-|z  {xx~| } ||{ z  & 0z } {}}|| { x x }z
} { ||x| 
-|z  {xx~| }  |~x|{
}||z z|| 
 *|}xz| z| } ||{z|{ #{| 

 -||{z|{ {}}|| | 

-|z  ||z z|| 


 xz }z x{ zxxz|z 
 | } | }||z z|| 
 -|zx z{ |~x{~ }||z
z|| 
x|  |~ } x{

-|z  * zz

 .| z|}~x
 * z|
 xxz|z } | z|}~x
 ' z |{z x{ |
|
 #|| | xx }z|
 x~ | x{x }z|
 -~|x~|
 'x~|
 &~z|{ x{ z|z|{

-|z  .| }

 -x{x{
 -zx|
 "||zx |x|{
 -xx
 1x|x|
 #|y|
 ||
 *| {xz||
-|z 
* zz

 .| z|}~x

# | z | *x ||{ | z|}~x
x{ {x  { } | |{ x{
| { .| z|}~x y x |
z| &{ |{ | || y x{ y |x
} | z|}~x }z| }~ x{ | || }
| ||
.| zz }x ||| y x{ |
x{ ~ ||{ x| y| z|z |
~  .| {a } ~ |
{|z x xz  .| z|}~x
{| x |x{ { } x{ zx |x y| |{
 zx~ x {xx~| | 

( | x| x x }~|  z  |


{a } ~ |  .| | } |
|x{ | { | z|| } | x~ || }
|| }~ x{ | || . zz
~| x ~ |}}z|z x{ xy| } x{~ |
{ * z x| x{| | { z
,x{x } '|{ } x }
x x|x|  x| }|{  x || x
zz|{ ||zx x{ x y|z ~| z|{ ~  }}|| { } z|}~x

{| |  || |z 

#} x || {}}||z| zz | | | |
z|}~x ~ { zx x
~ {| | {|~

"
 zx | } }~|  | z|}~x zx 57

y| zx|~|{ {}}|| ~ ,x{x } 

|{ } x{ xx }  ,x{x }
'x~| x{x
x{ |{ } x| | z

}
| |{ .||}| | zz|x| ||

| } | }~ x~|
-~|x~| x{x
}
"|| | y|} || | | {xz||
|z 

'|{ }

.| {}}|| {|x{ | z|}~x a
|}xz| ||zx  |~x{ |x{ } x{ x }

xx ~||  | {|x{ } |zzx








|x x| x }| } | |x  x |
+ 5 7

} | ~|   | {}}|| | ~  x{ |x{ } {}}|| | } z|}~x


 |~x{ } x{ ||

 * z| 
.l0
H
.0

|}| | {~ x }| | { }

zz x{ | | || |

yxz zxxz|z } |}xz| z| .|
eehbhdmbx
|}xz| } x z|}~x  y x |

} |}xz| z| .| |}xz| z| } x

z|}~x x|  }~|  "|x{ |

z |}}z|z x{ (*-" x|  x x }z
$.l g0

# !#&
} | } .j*0
l

#nvdqbnmrtloshnm


(x z| {xx y| z| |

x .||}| | | z | *

!#&

x| z |{ | {xx y| x |

z| | | ~~ | \ || }~|  ~  .zx |}xz| z| } x z|}~x


 "|x{ | z |}z|z x{ (*-"
.| x| ~| } | |}}z|z x| z z| x|  x x }z } |
| x K  K*
+
# | |  |~x|{ x{ y
|~x|{ }||z z|| |~ zx|{
|| |z  | | z z| x{ | * ' * "
Kz| z| y | x{ |  #  zx| =
| *x| x x y| x| xzz
H' H*
# ~||x z| x| {|~|{ xzz{~ #-)
~  .| z| } | z x{ |}z|z
|  z |z}| | |xz| } | x z| | x } | \ | * x{ K*
z|

t +  
t "  
t *  
.l0
t  


1x } x y|} ||x } | {}}||
|}xz| z|

"|x{ | +"z|

.| +"z|  | |x{ z | xy|



|} x x ~| } "|x{ |x|{ || $.l g0
~   zx +"z| } x z|}~x 
{ z 5&7 x | || 57 | ~ |x{ x{ ~ |
x|{ .| x{xx~| } ~ | 57 x | |x{
} |x|| } x a |x{ x | +"z|
x}}|z|{ y | | } { | x x{|
|| |z  } | }x


-|z 
* zz

}}z|z | Kz|

.| |}}z|z | |x y||| | |{ |


x{ | |{ x } | # | { } 
| |}}z|z K* | |x y||| | | z
| {|| | x| *" x{ | |
| x} * 

*" U  ~  +  "
K   H
.0
* *


||

U | {| } | { ~

~ | xzz||x } ~x 

+ | }  x{ " | |x{ 

x| x  x{  + |x|{  x{ " 

| {xz | zx y| zxzx|{ x 
$.l g0

~  .| |}z|z z| } x zx z|}~x


*"    +  " 517

 x|x } | |}}z|z z| | |}}z|z {||{


| { } |  .||}| x
||z x  z } | } ||| x{ ||
x | ~ | |}}z| } x|x
 #
.j*0

*| z | *z|



.| |x y||| | | z } |
$.l g0
x{ | }  }~|  .| *z| } ~  .| | z z| } x zx
z|}~x x | | }~|  || z|}~x
| * x| z|x| | | } z|x|

(*-"z| (| *| -z "|x{ !#&


.l0

.| (*-"x| } x | xy|



|| || |z  x x y| || x |

z {| } | x{ zxx
$.l g0
.| (*-"x| |x|{ 57 x{ {||{ |
~  .| (*-"z| } x zx z|}~x
} | | } z|x| | (*-"x| z|x|
x | }~|  | }x zz|~
zxx x{ (*-" ~ |z 

 xxz|z } |
z|}~x
.| z|}~x x ||x zxxz|z x{
 |z | || | x |
&x|  zx| | ~| x | ~
{|z } | {}}|| |

t .| y| } x~|
||{~ | y| } || |  x
z|}~x zx y| || x ~|x~| x
x~| 

t .| } | x}
-~|x~| x{ x~| z|  x
|zx x} .|| x| x
{|~x|{ x |zx  |
}x ~ |z 

t -~|z {y|z ||
||{~ | zz } | || x zx
y| }|{  || x ~|z || x {y|
z || | }x ~ |z 

t ~ } x~|
.| x~| zx y| xx~|{ {}}|| x
|| x{ xx| || }~| 

t z } | zx~
1| {~ y||| | } zx~ 0|
zx~ x{ | zx| zx~  ~{| x|
| }x ~ |z 
~  .  xx|z|{ ||


-|z 
* zz

 ' z |{z x{ | |

{z

"x

-~|x~| 'x~|

&~z|{ |z|{ |z|{

{z  .| { {|z | || #| x{ | x| x


? x~| -|| |z 
#|  .| { {|z ~ | | .| z | x{ | {zx~|
| x| xz|{ | | x| x{ zx y| |{ {|z | ~ |
-zx|  *  x xx {{|{ ~ -|| |z 
"x  *  x x x}
0|zx  *  x |zx x}
-~|x~|  *  x ~| || -|| |z 
'x~|  *  ||x ||z|{ x~| -|| |z 
&~z|{  * z|z|{ | y |x } x }|y| z~ .| x{
| x| |xx| y|x~ zz -|| |z 
|z|{   z|z|{ | y |x } x ~{ z~ -|| |z 

#|

"x "x  0|zx

-zx|
-~|x~| 'x~|
-~|x~|

&~z|{ &~z|{ |z|{ |z|{


-|z 
* zz

 #|| | xx }z|

 z|}~x ~||x| || x || }z|


y xx x{ x~ x } |  x }z|
* x x| x{| x{ || }z|
#} xx x{ x{x }z| x| z|yxxz|{ |
 | }z| x| y| x| z{|x |
||z~ | {~ | } | x~x zxz
y|x~ |  # }|{  ~|z ~  -~|z
|| x~| xx }z| x zz }~|  x{ ||

 .|| }z| x| yxxz|{ | } | }~


x ~  -x{x{ 
~|z ||
t '|zxzx y |x }  y|x~ .|| |
} y|x~ x| |zx {|~|{ xyy | xx
}z| } | ||

t  |x } yxxz~ | | || ~  xxz~ | xx }z|


x ~|x~| z|}~x
|| }~| 
 yxxz~ |

t  |x } | |~x } x |x ~
|{ | yxz } | || || }~| 
~  xxz~ | xx }z|
t xz xz } | yxz } | || || x ~|x~| z|}~x
}~|   |x~ ~x x {zx~| {| x{
yxxz~ |

t .| xx xz | zx y| x{|{ y ||


~ {y|z || || }~| 

~  xxz~ | xx }z|


x ~|x~| z|}~x 
yx{| | yxz } | ||

~  xxz~ | xx
}z| x {y|z
|| xx~||

 x~ | x{x }z| ~  -~|z ,x{x }z|


||
,x{x }z| x| x | } | xz || |
zx~ .||}| xx {|}|z x zz x{ |x{
|}||z| y||| | || x{ | zx~ .|
x~{| x{ | {|z } | x{x }z| {||{
| } x| x{ | |x{

1| {|~~ | zx~ } |  y|


z | {xz x{x }z| . zx~ |
x|  |~ | ~|| zx~ x{ |
~  -~|| zx~ y|| zx~
{y|| zx~  zx | } }~| 
y zx~ x| x|{ x x | .| {}}||z| y||| ,x{x }z|

|  x | {y|| x x ~{| x|

.| ~|| zxxz||{ y x |z


|| | | x | |}}z|z  0| zx~

z |x{ | x{x x{  x | 


| || x{ | || |~x x{
z|| x x{x }z| || y||
zx~

 ++
 zx | } }~|  | {y|| zx~
~  ,x{x }z| } ~| x{ {y|| zx~
{|| x zx x{x |xz }z| x x zxxz

,| zx| }~|  x| |{ x~|


x{ x| | x| yxz }z x | zx~ .|
{ |{ } | || | | x{ x | x|
| | x } x| |{z|{ x{ | {xz ~  0|zx x~|
|| x}|{ xz || |zx| } | |  |
zx| zx~
| zx| zx~a zzx {|~ x{x }z| x|
|| ,| zx|

 -~|x~|

!||x ~|x~| x| |{ xzx


z { || x x |x{ } | x 
(x ~|x~| |x| | |x }
 

-~|x~| x| zxxz||{ y {~ x
|x{ |x| | } || }~|  .| ~|x~|
z| y x |zx x{ x x {|~ ||
~  "x ~|x~| ~  0|zx ~|x~|
}~|  x{  |{z z|z|{ | z|z|{


-|z 
* zz

 'x~|
'x~| x| |{ xx || x ~
|x{ ||{|{ -||x x~| x| z|z|{ || x{
| } ~{|{ } | | } | x~| | |
} | | .| }x |x{ x x x~| zx
~  0|zx ~  "x x~|
{|| |x | } || |xz } | x~| x~| | |{z
zx {|

.| x{xx~| } x~| x | {|


~ |x{ |x| | } &| | ~|x~| 
| x~| xxxy| y x |zx x{ x ~  &~z|{
 yxz z~
x | || }~|  x{ 

~  &~z|{  xz| z~

 &~z|{ x{ z|z|{

&~z|{
&~z|{ x|  x }|y| z~ ~  |z|{ 
x z|z | x{ |  . { } ~{ z~
z~ xxxy| || x x yxz z~ x x
xz| z~

#} | z|z|{ | y x yxz z~


~  }}|| z~ |
|z|x { | | |
||{ |z| .||}| |z|x x~ | xz z~ -xz| z~
~ || }~|  | 

) | | x{ } | }|{  x xz| &~z|{



z~ y| |z| |  }|y| z~
{~ |  ~|  x |
|| }~| 

|z|{
|z|{
|z|{ zx y| zz|{ | }~ 
x | | x | || |{ ~{ z~
{|z | ||{|{ x} | x x
x{x{ x{ x ~{ x xz| z~ || }~|
 x{ 

-|z 
.| }

 -x{x{

| |xx x{x{ {|x  z|}~x 


# }xz x z| x| | x{x{ z
| | |x | x|  x{x{
x x z|  }}zx |~x x }
|x| | a {  1x } x| x z| ~  &~z|{ x{x{
} |x| } |xx x{x{ } 

t ( #(  x| |{z z|}~x


 x x x{x{ x|  x
x|{ || *( } yx

t ( #-)  x| z|}~x  x
x x{x{ z|zx  x x|{
|| *( } yx || x|{ %

.| x{x{ ||{ xy| z| | xx


{| x{ | { } | {}}||
|  | {xz x } || | | ~  x| x} x{x{
x xzz{~ | x}xz| \  |xx
x{x{ x| | } || x

* z x| {|~|{ xzz{~ x{x{ {|


| |{|  x{xx~|  |~x{ |z| x|
x x{ x|xz|

 -zx|

 zx| x  | ~
{{|{ xx x ~|   x ~| ~  &~z|{ zx|
x~| zx|  x {y|z ||
.| {y|| zz |x| | xx
}z| x{ || x ~| }| x } | y|x~
/x zx| x| x x| ~ |}}z|z
x| |x |z| x{ x| x {| |}xz| x~|

~  -zx|
 {y|z ||


-|z 
.| }

&{
 "||zx |x|{ -|x

||
# z| x | x x a x} |x{ x y|
|x|{ /x  {| y |x } x |zxzx x}
|x || }~|  .| {x{xx~| } | |zxzx
x} |x || | z| x{~
} z x{ x~~|| { z z|| |x{
|xx~| .|| y| zx | || y| |{
y ~ x {y| |zxzx x} |x |
|| y| | x ||zx |x|{ 

1| {~ y||| | } ||zx |x|{


 x|{ x{ x~|z{| 
# | }~ |z zx }{ x{{x
}x xy || 
~  x| } x x{x{  |zxzx x} |x

x|{

 zx|{ x ||zx |x|{  ' zx


| x{ |~x|{ |  x |x
|| }~|  x{  .| |{ { x|{
|| | zxy| x |xx|{ } | x
y x  zx .| zx || x x ||zx
|x|{ yx| y||| | { x{ |  |zx
x| x{| } x|x |~ xz x|
|| x zx x{ x~~|| {
~  |zx  zx|{
.| z zx|{ | |
zzx  . | } zx |{
|x~ zz y|zx| | zz {| ' zx
| x{ x|xz|}|| |x

~  zx
 zx|{

'x~|z{|
)| x~| #| x~|
# |z| |x x~|z{| x| y|z|
z|x~ x } x}|~ x~~|| x{ z
{

x
  }~|  | x~|z{|
x{| } ~ } x~| x | x~| x{
x | x~|  x~|xy| zx |xx| ||
~ .| zx || x x ||zx |x|{ yx|
y||| | { x{ | x||  x|x
} }~|  | | x~| z|z|{ |
{| x{ | | x~| z|z|{ |
x} "||y | | } | {|
x|{ | x} .| |{ { ||
x yzx } | y|x~ |  .||}|
}}z| |~ zzx } | y|x~
~  z } x~|z {|

#| x~|

)| x~|
x

~  'x~|z{| x~|


-|z 
.| }

 -xx

-xx x| x |{ | }{ y||x~|


xxz|zx x{ y|z~zx {| ||
x x | |{ { x{|{ x ~||
x| x{ x | x| |x z|x

# {| || z| ||| || {|


| x| x| x }xz| ~| y|||
 x{  J ,x . zx y| y| xz||{ y ~
}~|{ {||{x |{ x| || x x|x
} zz || }~|  .|| x|x x| x ~  -xx
zxz |}|| }xz| } x zx y| |x |{
|| | x }xz| } |||

.| x }|x| } x xx x| |x| } z|x~


x{ |x| } x|xz|

.| |x{~ x}xz| } xx x|


{|~|{ | {z || | }~ x{x{

"! \ |x "~|z | |~ !

+" \ +x}|{ "~|z |~

 \ -xx -x{x{
~ -xx |}~ {|zx|
 #{x"~|z -x{x{
,x H  J
 -|| -x{x{
,x H  J
 -|| -x{x{
,x H  J

-x{ zx~

*|z zx~

,|{ ||
~ ,~| } x|x }xz|

 1x|x|

 x|x| x |z|{  x ~ |x } x |x~|


} | xx
x{ x  |  zz | x|x|
xy| } y|y| xx 
# y|y| xx  xz~ |
{y| x x| x |{ .| xz~ |
}xzx| x|xz| |x x{ |xz|| } |
 |zx| } | zz } | 
|z|x || | zx |z| #
}xz y| z|z x{ {z|z |
xxzx } | {| } |  1x|x|
zx x y| x|{ { | z|x
|zx x xx &||  | }
xx {| |x x|xz| x{ |x |
{| ||{ |x } | zx| }
}{~ } | {  || }~| 

(x x|x| x| y| xy| x{| x~|


xz| .||}| | x| }|{  |zx || x
x| y| x{ yzx~| x{ z~~~ }}||
| } || | ~|zx| || {y|
zx| || || x{ }zx| || x{
| || ~|   | {}}|| {|~ }
|| ||

1x|x| x z|  x {  z
#* |z|{ } | }x #*zx| ~  1x|x| } { xx
~ |z  ' x{ x| x z
||{|{ x}  x {y| |zxzx x} |x |
x ||{x| zxy| || }~| 
1x|x| x| xy| |x| || ||
z {||{~ | xx | 0| -~|zx| y|zx|
|| || ||

~  #|| | } x|x|


-|z 
.| }

 #|y|

 |y| x | || | x
||{ | |{ { x{ | |
{ (x |y| x| |{ }
| x } x zx| #|y|
x| } |x| |{ | xz| { }
|x| x xz|  ~{~ xz|
xz~ z|| x{ z~ | {x
xzx ~ x zx| z x
{x x~ x{ }|~ |

* } xz| zx y| {{|{ ~
* } | z|x {| } | }| x{ } |
{ {| } | }| *  z|{ || x|
x |{ } | z|x {| } | }| y|zx| |
{| x ~ |}}z|z x{ x ~ || } |z|x
*  | || || x| x
|{ } | { {| } | }| y|zx| | zx
x{| |x z x{ xz|

~  #|y|

 ||

. | } y|| | .| y|~|{


y|| |  x y|y|  x{ |
{|| |  x {  z z|z|{
| y x ~ x} .|| x| x
|{ z|z  x| x{ ~x 
| x| x{| y| x|{ {|| x{ x
y|| x{ x|  x |{z|{ {x|| z x|
| ~| x | | || }~| 

.| y|| x| |zx {|~|{ y|


y|~|{ x { x{ x|  }|{  x
y|y|  z #* |z|{ .|
z| y x ~|x~| x{ x x~| | |
x~| | y|~ | z | x{
}|{  x | x| | |x{

.{x | {|| | x y|| | | |xz|{


y | y|~|{ | .| ~ x} } | {||
| x {xyxz z x| {}}z
x x{ zx |z| |zx| | {|| |
xz|{ | }| |{ {x
xzx  x| } | x .|
y|y| zx x{| x ~ ||x|
y|zx| | y|~|{ | { z x
z 

~  -y|y|


-|z 
.| }


 *| {xz|| ~  .zx |x y|||
} x{ |x{ } {}}|| |
 |}~x
.| | {xz|| {| x xx|  ,x
 ,|zzx~
zx } x }|{ ||{ {|| zx~| |
z||| . x | } | {xz||
| 

t ,x
t ,|zzx~

.| {}}||z| |}xz| y||| x z|}~x  


 x x x{ x |zzx~ x|{
| ~ }~|  ||{~ z } ||
x| {|x~  x x zx~| | a 
 
z||| | {}}||z| | } 

.| } } x z|}~x zx~| z{|xy .| x| zx {|~|{  | }| |xz|


| } } x x zx~| x | | | y| yx | ~| y| |}}z|z x{
} } x |zzx~ x{ zx~| x x z zxxy "|| | zx| |z|x
  || x {}}||z| y||| | z| z|x| | |xz| } |x| | |
} |zzx~ x{ x .| xzx x| x{| ~ z { { zx~
|x }xz| }xz| x~| } x x } xz| x{ { } ~ ||x|
|zzx~  - || ~ | x|
{|~|{  | x| |xz| | ~x } | *| {xz|| x| x| |x~ x
x x~| | | }  x zz| zx
.| xx } x{ ||{ |x{ || }zx
{| |xz| | | | x{ x|

-|
xx~ -|x y|xz~
|
,|zzx~
-~|xz~ -|
*~| *|
y|xz~ |
.|
*| 0x|
{xz|| '|
*
-~| |y| |y|
-z|

,x !|x
&y|
'|
z}||x
~  x}zx } | {xz|| -z|

~
.| {~ y|~ | | {xz||
}x x{ zx } | {xx~ | xx~
x| |xx~|}|| y|zx| | {xx~ }
x |x y||| | { x{ | {~

.| {xx~ }|{  | x|


\ | | z {| x{ | | {zx~| {|
} |  # z|z  x| {xx~ 
| {xx~ xzx|{ y | z|z~ { z
z|z|{ x ||zx~| .||y | z |z||
| |xz x } | ||{|{ || }~| 

# z|z  x~| {xx~ |


{xx~ zx |{ | z|z~ {
z xzx|{ y x zxx} .| zxx} |{ y
|x } x x{x{ xz  || }~| 

.| } } x {xx~ x{|{ y ||


zx~~ | | |~ x{ | }||z } |
~  ~
| #} |z|x |x~| | |x~ x|x
}||z z|| zx y| z|z|{ | x~|
{xx~  || }~| 

3| x| { } {xx~ | #  zx|


| {xx~ xzx|{ y |x } x |z|zx
{| z|z~ { ||{ y x || x
z  }~|  x{   ~ x ~ -|{ ~ |
|| {| | a {xz x|x z|x|{
x{ xzzxz |{ z{|xy 1 
zz ~| |z|x x{ | a
| |~ y|zx| | z|z { |{


{|z | {xx~ .| | |{ z


z{ x{ |z|| |x }|x|
 x{| ~ |

- ||}| | z y | z
{| x{ | {zx~| {| } |  x|{
x{x ||zx~|z{| {xx~
z {| |} x || {| 
{xx~ x| y| ~| x z |
|x{ {x~| } x{{|
 x {|


x|  |~ } x{

-|z  '|zxzx x} |x

 .| |zxzx x} |xa z|


x{ }z
 xxz|{ x{ yxxz|{ x} |x
 .| } |zxzx x} |x
 -|x }xz| x|x zyx
 xz x}}|z~ | |x |}xz|
-|z 
'|zxzx x} |x

| {{| } | |zxzx x} |x


~x|{ ~{ }x } | x{x |x~ |{
 | }}~ y x|{ }}~ y| |zx
zx x} |x {| | }~ x{xx~|

t .| || ~ x x| {xz|| x{ yx
| x}

t .| { || x x{|

t -|x }xz| {| x x x } }z x{ 
| | |

t .| x} {| {| x~x x } | |xa


z| x{  {xx~|{ y|zx| }
|x |{z|{ |x z

.| |zxzx x} |x | x } x x


|xx| | { } | x|| # }~| 
zx || x z| } |x| || | |zxzx
x} |x |{ {}}|| | } 

.| x } |zxzx x} |x x| x{|


xzz{~ | |x x{x{ ( 

|}| z~ x x} |x || x| z|x ~


||{ xy | { x{  |
|xa |xz| | {

t ||| | | } {

t ||| | || x | x} |x ||{

t ||| | ||{ x | x} |x ||{


~  *  |zxzx x} |x

t ||| | y {|

) | }~ x~| | ||  x |zxzx x}


|x  | {}}|| | } |x z { } x|x
|zxzx x} |x x| x{| } x{ z }xz x
x}}|z | |zxzx x} |xa |}xz|

 .| |zxzx x} |xa  


   
 

z| x{ }z
  
    
  
.| |zxzx x} |x x{| } x z|    

 
x x~ x x{ x xx x x{ z } | x
        
|{ }~|  ~|   || | {}}||
   
    
x x| xz|{ | |x   
 

      

t .| xx x } | |x }|{ | ~  .| |zxzx x} |xa z|


~ .| x~ x } | |x }|{ |
x} x{ x| | | |x|
-|z{x |x -xx x
-~ *x |x ,x~ x
t .| x |x }xz| x| |{ x~x |xz |
y | ~ x{ | { || ~ |x
x { } {z|{ | x ~x y||| |
-~ |x|
|x }xz| . } |xx| y|}| || |
x|| x~ | |zxzx x} |x { ~
|| }~|  -x}

t -|z{x |x || |xx~| } zz~


y||| | x|y x{ | x} -|z{x |x

~  'x z| } | *x |x
t .| ~ || | |x }xz| ~|| |zxzx |zxzx x} |x

t .| ~ |x| x | } | x} xx


0x y|~
| |x # z|z  |zxzx y| x}
|x | x}||{ {|z ~ | y|
&yzx }
&{ }z|

-~ }z|

-|x ~x

~ |x | { } x yzx~ }
y||| | |x }xz| . yzx~ } z } x ~  '|zxzx x} |x |x

{xz x{ x {{xz }

t .| {xz ||| ~||x|{ y | |{


{ z }z|{ | ~x y||| | |x }xz|

t .| {{xz yzx~ } z|x|{ y


|| ~||x|{ y | x}a x


-|z 
'|zxzx x} |x

-x }
|xx
x 
x||
 xxz|{ x{ yxxz|{ x} |x

. yx x xzz|xy| }xz| || y||| |


x |x }xz| { } |x | | x yxxz|{
x} |x x{ x yxxz|{ x} |x

-xx ,x~ xz|


|x }xz| |x }xz| |x
*||
* || &{ 0x || xxz|{ x} |x
~|   x yxxz|{ x} |x {zx~ ||
~  ) x y||| }| yzx
| }z| |xz | |x
|| x{ |{ |xx~|

/yxxz|{ x} |x
.| z| } | yzx~ } {||{ | ~|   x yxxz|{ x} |x {zx~
||{ | { ||x| | z } | { || | }z| |xz | |x
x{ | xx }z| } | |zxzx x} |x .|
{ z zx~|{ | |x ~x y|zx| }
xz x|x } |x }xz|

xz x|x } |x }xz|


"{xz }z|
t |xx } | { | x|| -~ }z|
"{xz }z|

t | {a zzx ||
 
 

~|   | x y||| }|
yzx || x{ |{ |xx~|  zx |
| x | | yzx~ } z| |
|| |x ~x |z| } x | x |xx | ~  #|xz } ~  #|xz }
z| | x|z {| } | |zxzx x} |x }z| | yxxz|{ }z| | yxxz|{
x} |x x} |x

&|xx~| {| {| | |x }xz| }| y||{


1| ~ zx x~| {| x| y z y
| |xx x | x|| {| } | |x 1|
| { |xx| | |xx | zzz -||x {}}|| }z| x| x xx xz | |x
{ | { |x | |x ~x x {| }xz| .| ~ }z| x{ | {xz }z| } |
z|x~ |x |{ { | | |x ~|| | | }z| }
.|| {| x| || z|z  | | yzx~ } | |x ~x z|xz  #
} {  | | |{ { x x |{|z z|z  ~ { || | {xz }z|
zx| zx y|z| x y| .| y| x zx y| |} x | yzx | |x ~x zx
|| |x ||z |x }xz| x{| } x{ x|x z|xz | zxz y||| | |x }xz| |zx| |
z x ~| zxy{| 1 z zxy{| - {xz }z| x| | x|x x | {
.| x |x ~x y||| || x|x x  J || x}}|z | xx xz zx y| |{z|{ y
,x | | } { ||~ | |x ~x x{ yx~ x |{z } | ||x{|{ x|x
||y | | x } {| y{~ 


.| yxxz~ x % } x |zxzx x} |x {|}|{
x | x y||| | x|x  x{ | x|x   %  
 
 
%  xxz~ x
  |x ||{ {xz ||
  xz x|x } |x }xz|

yxxz|{ x} |x | yxxz~ x x


x{ % x{ } yxxz|{ x} |x | yxxz~
x x x{ %
       


~  1|x x| } {}}|| yxxz~ x

 .| } |zxzx x} |x

1x } x y|} | } | x | } ~  )~ |x


|zxzx x} |x )~ |x y| |x x{ |
| |x  | zx{~| |x {xx~| x{
{x{xx~| }
)~ |x
)~ |x
# x )~ |x |x~ y||| | x~ x} x{ {xx~|
-xy|  { x{
| x~ |x }xz| |}}|z|{ y x )~ }~| 
~ || xzx
.| )~ y| xy| {| }|| | xx {|z
xyy xx {xz|| x x | } zx~| x{xx~|
| | x}
||x| x{ |x #z|z ~ } | z x  x ||
xx |x x | yy~ x{  |z|x | )~ x}
|x } ~ xx
|x | )~ x{ | x} )~ x| x{| }
{}}|| | } yy| x|x z x (, *' x{
%' {||{~ | |x~ z{

,yy| y| |x  }{~
| |x y| ~|| ~  ,yy| y| |x
 z }|x| } y| |x x yy| |x
y| z }z x {xz |x~ ||| {xx~| x{
y||| | x~ ~ x{ | x} {x{xx~| }
yy| y| |x

,yy| y| |x {xx~|
.| y| } x yy| y| |x || }~|  zx ( || {|
z x  | x}
y| x{| } {}}|| | } yy| x|x z x (,
*' x{ %' {||{~ | |x~ z{ -xy| } ~
{zx~ {
. {}}|| ~||z z| x| |{ } |
{|~ } yy| y| x{xx~|
( xy|  { x{
~ || xzx
t {~ y|
t ,~ y|


-|z 
'|zxzx x} |x

{xx~| x{
'|x y| |x {x{xx~| } zx{~|
# x {x |zxzx x} |x | ~ {z| |x y| |x
| z~ }z| ||{ z| | |x }xz| # x {xx~|
|x y| |x }~|  | ~ x y|| ( || {|
z x x{ |
|xz|{ y x |x y|  x x }z| | x}
'|x y| xz y x x {xz |x y||| |
-xy|  { x{
x~ ~ x{ | x} x{ x x ~ .| y| ~|| xzx
x| x y| } z~x ~| | | {||{
& yxxz~ x |x{
~ }z| |x x| x{
z|| ~| }|

x{xx~|
~  x{~| |x x| }x| } |
y| |x |zxzx x} |x x
zz | |
x~|{ z|z

x| x zz x x
| } |z||
||x| ||

x{~| |x {xx~| } |
# x zx{~| |zxzx x} |x x x } x zxz zx{~| |x

x x} ||| |x{ y| x|{  zx{~| |x t x x{ }x |z|


}}| x y||} zx|{ z|x |zxzx t .| {|~ |z |
x} |x }~|  |x }xz|

t *|x{|{ ~

t -x}| x{~

~ ~  x{~| |x

# z|x xzx y| ||{ |


|}xz| } | |zxzx x} |x y x~
}~ || }~|  ~ zx | | ~  ~ {|z| } x
~| |zxzx x} |x
||x| } | |zxzx x} |x x{ ||
{| } zz~ ~ zx y| x|{ ||
|x ||x #|x }~ {| | x
x } } | a {zx~| {| yx|{
| |x x|x #|x }~ x |{
|| }| |x ~||x } | |x |x~
xzx |x }~ {| y x }~ {
x{ |{ || y|}|| |x | x{~
{ x x| xyx| zx z~~~ {

y| |zxzx x} |x

y| |zxzx x} |x x| |{ | | }|


x } ~| |zxzx x} |x }}z| {|
|x zx|{ y { ~ || x{
||x| | {y| |zxzx x} |x x|
|{ z|z  z x~~|| x{ || { +|z {

|z | {~ . | } {y| |zxzx


x} |x | .| {y| |x x x{| xx~||
x{ | {y| |x x yxzyxz xx~|| s

*|{ { s

y| |x x{|
. | } {y| |x z } |zxzx x} ~  .x{| |x xx~||  |z {
|x |{ x{| x | y|{ | | zzx
xz|{ x |xx| |x zxy| || }~| 

.| |x | |{ | x ||{ {y| |zxzx


x} |x |{ x yxzyxz xx~||
|z|x

.| x{| |x xx~|| x y| }|{  x
|z~ { | z
+|z {

t xyy |xx~|
t | |xx~| x|
t yzx| x{ z | yx{ |x || z~ s

*|{ { s

t |z x~x {~
t xy| | yzx~ }
t || x } ||~ | zx| } xz ~  .x{| |x xx~||  |z { {|x{
|{
.| || } | |z~ { xx y| |
x | |{ { ||

.x{|  zzx
zx } |z~ { x x ||| x ||
}~|  +|z~ { } | ||x|{ x
zzx|{ y | xz x{ y ~ xz
| |x

.x{|  {|x{ |{
+|z~ { } x ||x|{ x || }~|  (
*|{
|x {x|{ } | |
s

{ s

.x{|  {x
.| |z~ { {|z ~ | |x zxy| ~  .x{| |x xx~||  |z { {x
y| z|z|{ } || {|z|{ {x || }~| 


-|z 
'|zxzx x} |x

x| || { -|x zxy| 


yx| || {

 -|x }xz| x|x zyx

1x } x {|z } | x
x|x x~ |{ |zxzx x} |x }
{x xzx .~| zxy{|~|
zxy{| z zxy{|z zxy{| x{ zxy
~| zxy{| zxyz zxy{|
*|{ {

.~| zxy{|~| zxy{|


11
|||{ ~| zxy{| z| | | } x{ |x
s
x x| yx|{ x x{ ~| zxy{| 1 x| x{
x x }| |xz y{| x| .| z|z |zzx
| z|||{ ~| zxy{| || | xyy|x|{
| ~| zxy{| 1 |{ } z||z|
~ 
xzyxz |x xx~||
yxy{|{  1 z |x x| }
| zx| yx| |x z x zx 

z|y{|y{|{ 1 x x
y| |x yxzyxz z |xz| |x ( 
. | } |x | } x{~
xyx| x~~|| || z { z -||{ y{|| 1 x | ~| z
{ || |x  {xx~| yz x |zxzx |xz| "|| |xz| z {
x} |x z x z| x ~ .| x|x x~
11 x | }~ }|x|
.| yxzyxz {y| |x z } x}
|x |{ yxzyxz x |xx| |x zxy| t || |x |x
|| }~|  .| yxzyxz {y| |x |z t 0| y | ~ x{~
| {~ || x{ | || ~ t * {~ || # zx| } {~ |
 |  ||x| z|x| ||x {|{ {|~|| |
| }| | x{ z|| {xx~| | )~
.| || | |x zxy| y|  yx ~|
x | || .| || zx y| ~||x|{ #} x z|x || x{ x z|x ||x| x|
y |z||{|{ | |x x ~||x| | (| x
{zx } |x |x~ z{ x |
t  |~ |xx| || z| 'x ~ | x zx| |x } | |x .| } |
xzx zx| ||{ | {||{ |x }xz| {x|| x{ {|~

t  |xx|  |~ x {~ 
. x 11 |x }xz| x~ | ~ |x |{
|| | y| ||z|{ x x  || x~
zx | zz {~ | }  {x

-z zxy{|z zxy{| * *
|| x x| | +  + }xz| x|x
-- x~ ~||x| | | x | 11 x~
"|| | } - |x y| ||z|{
-z zxy{|z zxy{| -- x x|x| {~ | ~ |x |{ }  {x
11 x{ |{ || ~| z |xz|
||{  + ! |}yzx~ ||{ -

.| -- x|x x~ x | }~ }|x| -||x xx } - x|x zx~ { yzx


x| xxxy| | x| .| {|~x +! x|
t 0| y| x|x |~ zx|} x{~ x - x|x z xy| } | {|{
{||x|{ x| x |{ | xy| x|x
t || |x |x
*|| x{ ||x| } + !  + ! x| x
*
t || ~{ z |xz| - +  + x{
| } + *  + *
+ !  x{ z{| ||z| } | |{ {
| "|| x |z| x|  | .| { yzx | ~x| |{z| | }z zx|
z{z z x {||x|{ x| z xxz } {~ z } {|z| xz| |
* !
| - xx + x{ +  ||x + z {xy } x |x {~ {~
|x x  {

t # ~||x || x|x x~ x| {~


! !
xy~| zxy{| zxy
|| || | +  + x|x x{ x
|{ |{ } {~ xzz } |
z zxy{| }|x|
~x| z| } | x|x
-|x  | zxy |x }xz| x| | }~
}|x|
{}}|| | x -- xx |

t | x|x |~ zx|} x{~


+  {||||{ }|~x|{ -
t 1 y { zx~ { xz|
 {|z||{ }|~x|{ -  x x x }
| t !{ z |xz|

x y| } |x  - xx x |{ x x t !{ {~ || |x {~


x{x{ |zxzx x} |x x|x *|| x{
||x| x| ~ y| | } 11 t .| |}yzx~ || } zxy x| |
|x xy| } | ||  yzx~
*
+   ||{ }|~x|{ - z{ ~ ||x|  ~||x~
| "|| z z{ zx| |x }
 xx } | {||||{ - . - xx x | zxy |x }xz| |x{~ |{z|{ |x }| .|
x~| zzx z|{ | .| {|~|| }  |x {||{ | || ||x| {
x{ | | } | |  J ,x {x|| x{ |x {|~
.| || x{ ||x| |z||{ | } 11 & ||{ |{z| | yzx y||| | |x
}xz| x x | z|x|{ |x ~ x| y||
||z|{ "||  x | zx| y|zx|
| {xz| x | |x }xz| x| | |{z|{


-|z 
'|zxzx x} |x

t '|x|~x|{ zxy  }}| |{ z t .| z|}~x ~ xz } | x~ x


|xz| y |{ |zxzx |~ .| | z z|x| {xxzx 
|x z{z x{  |{z|{ |x | ||{ } x  | { |

t 1 |{z|{ |zxzx |~ y ~| t .| |x }xz| }z


z |xz| |z ||~x|{ z y||| | |x }xz| z }
zxy  z| x {| xzx }|{ -|z \ }z |  { } x{
||~x|{ zxy x|{ } {~ \ }z {| } zxz y||| |
x| |x }xz|

t .| | } zxy- }  x| xzx x .| || } | z {||{ |x {|~
zx| |x |x } | - {||{~ | yzx~ z{ x{ |x }xz| x|x
x } | zxy x{ x| . | } |x
x x| + -zxy .| | } + *
!  
+ x zxy1 x~ zx| }x | |x . 
* !
zxy1 zxy+ zxy+ x| |z|{|{ 
}  x| |






 xz x}}|z~ | |x

|}xz|       



 ||{ | |x z|| ~ ) ~  *| z } x  


| | x~| | || | }~ }xz   
|zxzx x} |x
  
z x| x xz | |x |}xz| |~
z | x{ |xx~| .|| }xz y|
|||{ {{x "|| x | ~|  x zx |x| } | | z
x | x| z| ||x|{ x{  y| } x |zxzx x} |x .| }~|  x
z{||{ x x | }z | x |x } | |zxzx x}
|xa ||~ z

|~ z

# z| x | x | ||{|{ x| |
|x x| .| }~ }xz zy| | |
z x | | } x |zxzx x}
|x

|~ z  ||zx z|z 




 

 
}}~ y| x x |  zx | }
| |x| |xz~ x }}~ y y x |zxzx   

x} |x |x{ z{|xy| ||~ x~ ||    
}~| 
      

 
  !

      
(|
~  -}}~ y | |zxzx x} |x

.| zz| } |x }xz| x|x {|z| } |


}z x{ | }| } | |zxzx x} |x (|
~||x|{ x x | } | yzx~ z{ 
|x x{~ z { .| z
} x| {|z|x|  z|x~ ||x| . 

|x x | yzx~ z{ {|z|x| x |
  
||x| | #} | |{ { |xz| 
 

|z||{ y~ ||x| | { x } |

|x }xz| |xx| z | x }| {|z|x|
| yzx~ z{  ||{ |{z x |


 
x| |}}|z || }~| 

 
 
 

 


&|xx~|        
   

~  ,|x y||| { x~| x{ ||{

.| |{ { yzx| | |x }xz| } x


|zxzx x} |x . y|| yzx |x
| }z x{ z|x|{ |xx~| || | }}||x || y| |x|{ yx

|xx~| |x | yzx~ z{ x{   

  yxxz|{ 
/
z|x|{ }z # xzz| | x } |xx~| /  yxxz|{ 


x{ | x zz |zxzx x} |x zx /

x .| |x x |xx~| {||{ }xz z
/
x| y| x} ||zx y|zx| } | 

} |x }xz| | } { ~ x{ |z .||}| /



}~|  { y| |z||{ x x ~{||
/


. |x{ | |xx~| x| z| z|z }~| 
/
x| ~ ~ } |

-|  ,|x{ | ||   zx| yx

-|  yxxz|{ x} |x
    &|xx~| + 
-|  -||{ ~  &|xx~| x|

-|  &|xx~| x|  


x|  |~ } x{

-|z  '

 -x{x{
 ' x
 0x~|
 ||z z||
 ' |z
-|z 
'

' x| |{ x xzx x | | {
.| | } | ||zz z|x| x x
z| ||zz ||~ |zxzx ||~ * x|
|x|{ y |x } |zxzx ||~ z {|{
y ||zz 

~  |zz

 -x{x{

~  (' x{ # x{x{

(' #
.| (xx |zzx 'x}xz| zx (' .| #|xx |z|zzx  # |
| x{x{ } x {| x~| } ||zz {z z{~ x{x{ } |{ x z| x{ |
 (' x xzx|{  |{ { .| # x{x{ zx |z|{|{
( |zx .| x{x{ ||| ~||x { ||zzx xzz| x x| y|| {|||{ y |
xzz| x{ x| |{ y | x}xz| } ||zz xzx~ # z|
|| .| x{x{ zx y| }{ (' -x{x{
*yzx ( '! -| x~| x }x {|
(' x{x{

 
|z| x{ |{ } |z \  
 

 

  
 
.2 .| 2y| |}| / {|z|  
 

xy {x~| } |  {}}|| x|x .| .2     
   
{|z| zz| ||zzx |zxzx {xz x{  
 

|xz ||  | |zxzx || ~  .| 
 


y||| | x{
| x}| ||| | .2 {|z| || x || zx|~| 
z| z x x} |x x{ y|x~ { x |||  
|x x{ ~| ~x x{ { .| .2 {|z| #} | xx | x| 
| z | x| |


 {|x  ||| || } | }
 

| x|x  {x~| } | .| x}xz|


x } | ||| x{ x  {z 
zx|~| .| |z{ .2 {|z|  {|x
 | x}| x{ |x ||| x
| | x } | ~ x|x  {x~| } ~  .| | zz
| }}|| |z| x| |{ || ||zz {| | x{ |x{
} | ~ |
|| } y|z~ x z| } ~ # |
}x| x .| ||x|
zx| } ||zz  |z | { }x|} | zx}zx } }x|}
z|x|{ x}| x{  x~ x| x|{ { x{ }
||x }xz|
z|z  ~x x{ #* { ~ }
x|{ z|z  {

x|}  |z | { {|


} x }x|} { | {| x| zx|~
! || } | |  .| x ~ x{
| }x~| |z| | }x|} x x
zx ~| x |x || x|| |zx| ~  z|x|{ x}|
} | |z| | zx x{ | || | x x
zz .| ||x|
x ~| x~  | | } x || zx}zx z| y
| {| |  *x~x } | | |x x{ ||x }xz|
| x|| x { | |z| ||y
x{|{ y|zx| | | z|{ { y |x
} }x| x .| | } | }x| x {|}|{
| ( x{x{ .| }xz| ||x| } |
}x|} |z| { xx y| xzz{xz| 
| ||x| zx|

#z|x|{ x}|  |z |  |


#z|x|{ x}| | | x| zx|~ ! ||
} | |  .|| x| }x|} x{ ~  1 x~
 ~
y x{ x |x | .| zz | zz
} z x yx|{ z|x|{ |z x~x



-|z 
'

y| |z|| ||x| x{ zz|z| } x zx|~ ||


x{ xz {~ x |x x{ | x |{zxy| # {| x{ xz ||zz zx| ~ |
| zz .| ||x| zx}zx } z|x|{ z~ }x x zx|~ #* } | |
x}| x{ } y |x x{ ||x x|x  |x {x~| } | x{| } |x
}xz| x{ ||}| x y|| | &|| | | } ~ | ||x
x {~ ||x| ~{ |x y|z | ||| {|x{ }
zz .| ||x }xz| ||x| } |
(x~  |z |   |z| z {zx|{ | x|x| x{
(x~ |  x| zx|~ ! || z|{ | ~ |}xz| {~ |
} | |  .|| zx y x |x ~| z{ x|{ } |  ' } | |
x |x || x|| {| x |x x|x  |x {x~| } | x| y| #*
|| }~|  |z|{ x z|| |z|{ x~x {

 #~ *} #* zx|~ ||
. | }  #~ *} | zx|~ .| ||x| {zx|{ x zx|~ #* }
|| x{ zx|~ || | | x|x  {x~| } |

.( , - -!%( 


2*!)"
) !   .!#),2 ,%(%*&! **&%.%)(
*,).!.%)(
  )(!
!(!,&

   ) "*( "('+ (#$*) ""'+ &#$*
,!+/%,!'!(.-

*%(/ "*( "%#&%$$*) ##() $% "


%&%''!,-%)( %

 %$
."+ $.&"%) ,*#%)&((%# ($)%(#()
$ * $

$"%)+(%+) $'+ &#$* )&+(*%


*%(/ - * $$
(#%,.&"%) ,*#%)&($&()
,!--/,%-! &
  %$ )+( )*%&(,$* $())% %$*(%" $*)
"(#%*%()
)+((%+$ $*#%)&(

*%(/ "*( "&(*)()+((%+$- * "*(%$ , )


)1 !,"%&&! '
 
%$
&%-('+(*0*%&(,$*%$**- * & *%()+))
$.&"%) ,*#%)&(

*%(/ $"%)+(%+) $"*( "'+ &#$*  



&'!*,))" 
  %$
-  *( )$ $*($".&"%) %$ %$*(%"&$")
- ""$%* $ *)+((%+$ $*#%)&( " *  ** $)

 * %$"#*%)(+)*%  *(# $"


(,!-!  *%(/ " # $*())&(!)$%*)+( $%$$* %$%.)

  &"% $ * $"##" " *  ** $))'+ (("
-"!.2 %$
*#%)&( #%*%()
*%(/ )+(#$*$

  %$ "*( "$(/ $'+ &#$* )" # * %$*(%"'+ &#$*
(.,%(-%-"!.2 )%** (+ *)$$%* $ *$ )$)%()
*%(/ *#%)&(/)&(! $%(* $

  %$ $)*(+#$** %$


*%(/ "*( "%#&%$$*)# $ )+(#$*$


(*-/&.%)( #
  %$
&&(%,#*( "*%&(,$*%$** %$*(%", )
- *.&"%) ,*#%)&( )%"$% ,",)

2*!)" *%(/  *(# $"


$
 
%$( $$$%$)&(! $
%.)" * ** $)
*,).!.%)((  %$

).! ,)/*/-. .')-*$!, !-, !)0!,! 2


( 


~  -x{x{ x{ |{ } |z

z|{ | ~ |}xz| {| | |{ x~||{ x~||{


z{ x|{ } x |z}z   }  
}||| }x~| x|{| }x~|
| | x y| #* |z|{ x |z|{
x~x { .| #* |z | {}}||z| #'  #'  #' 
#' #' #'
y||| zx|~ || x{ zx|~
||

'~ #|xx '~  #' #'  #' 0 #' 0


#' #' #'

.|| {}}|| x } ~ | | 
|{  x~||{  }|||
x~|   x{ x~||{  x|{|
x~|  . ~|   | {}}|| x }
~ x x{ | x{x{ x x } |
~ .| ~ } x|{ xzz{~
~  }}|| ~ |{
| }~ x{x{

t #  {| # {~ -|z{ {~


| {|~x #' }|{ y | |
*|z x~x zxz x{  *|z x~x
|{ #( z{| ~| } { y|z ~| } x|

( |zx |z ( |zx |z
t #  {| ##
.| |z|{ x~x .| |z|{ x~x
{ y|z y~~| x |zx }x~ { } x|
 |~ x x{ z x z{||{ x|

z| zx .| |z|{ x~x


y|z y~~| x  |~


.| |z|{ x~x
|zx }x~ { } x|
#~| *|z  #* x }~|




|| } | |{ x x
x~| } ?
.| |z|{ x~x
{ y|z y~~| x  .| |z|{ x~x
.| |z| zx x| | {|~|| } |z } | |  |z x| x }x~ x x x~|
} ? } |zx
| x~x ~| } { y|z x{ x| .| |z|{ x~x
{ y|z y~~| x  .| |z|{ x~x
.| |z| zx x|{ y |x } || #* |~ | x| x~ } x
{|z
}|{ y {~ } |x| #* .| } {~ .| |z|{ x~x
x{ } |z x~x zxz x{ ~| } { | ~| } { .| |z|{ x~x
x| y|~ |z|{ } x
y|z x{ | |z{ {~ x{ } |z x~x .| z|| | } x {|z
{}
~| } x| || }~|  .| |z|{ x~x
|x |x ~||
x| | } x {|z
x | |xy| | |zx| } x| z x |||{ .| |z|{ |
| x ~ } xz| ~ z{|x y|~|{ } z
x| } x |{ |z}|{ y
1| | x|{ x {x || | | x}xz|

y {x | { y| ||{ )|~ | {x .| |z|{ x~x


z y| x|
| zx~| | a |z| zx } #* {| z{ |z}|{ y
#* | x}xz|

~  .| |z| zx x|{ y |x } {~ #*


}|{ y || } |x| #*


-|z 
'

x| |

~|  ~| x || } | |x y|||
}x| | x} |{ | x{ }x~| | x{
| }x| | x{ z{~ '
| |x |z}|{ (  
}x| | & x{ x~| x{x{ z|  |x
.| }~|  || | | {}}|| x|
x x| | }x| | x| |x|{

x~| x{ x} |{ z  ( x{
#  -| x| x z~ z ||
x  x} |{ x |zx x} || 
z {|}|{ | x{x{


# & #  zx| &  
xz| y|||
~  x| | |

" |||x|
#x zx 57

.| x zx {|}|{ | # x{x{

x{ | |~ xy  y | x

| ||x| .| }| } x x
x|x ~ {||{| | ||x| z
||{ .| x x x|x x{ |
x| zx}|{ x zx| {||{~ | 'x ||x| z|x|
xy | ~ ||x|

'x xy| ||x|

 "
%"! %" ! ! %"
 !!" !!"  #!!" $!!"
&    %& 

   
  
 

  

 

~  }}|| x zx| x{ | ||x| z|x| x


x x~| x{ x{

~  .| |x y||| }x| | x{ |


x| | -x} |{ {x|| ,x|{ | x~| |

||| .x|{|
|   | | | | |
}x~| }x~|
57 57 517 517 517 517    .
   .
     .
    .
      .
-     .
&     .
&     .
'   .
-     .
'     .
'     .
&   .
'   
& 
&  
-  
' 
'
-
'
-
'
&  
       
   
   


-|z 
'

 ' x

1| {~ y||| {}}|| x } x~


|  |z| x~ x{|x x~
xx}| x~ } x| x{ }||z
z|| x~ xz } || |{ x| |
x{ z || }~| 

~  -x~ |{

-x~ |{ * 
|z| x~ )& -| x{ z|}}z| "~ z|{ z|
-x}| x~

-x{|x x~ - ,|{z } x~ z| y }xz  | | | z~ | } x {|x


3$ ( xy| } | x{ x x |x
,|{z|{ z|{ |

x}| x~ ,|{z } z|{ z| x{ | | | | z~ } |{z|{ } x~|


,|{z|{ z|{ |

-} x|
-}
x~ ( z| | ,|{z|{ z|{ |
&| x| x| | x~ x 
,|{z } z|{ z| x ||{
zx  |

||z z|| x~ ( z| | ,|{z|{ z|{ |


&| x| x| | x~ x  ||
,|{z } z|{ z| x ||{
zx |
x y| |{ } z }||{~ } | 

|z| x~ )& x}| x~

 | x| ~~| {|z| x~ |x x  | x| x| xx}| x~ x| |


| x|{ y z|z~ {|z | } x xx}| .| xx}| xz|{
x x|{ x~| |z| x~ xy| } xy| ||  | {~ x x{ x| | x~|
| x{ |zxzx }} x{ |{||{ x x~| } |
x} | } |x|  1||| x~
| {|z| x~ |{ x z
zx x| -} x|

-x{|x x~  } x|  x { ||z x {|z| z


|| x } x } x  . {| y x~ |
.| y|z| }  x~ |{ z |{  x~| x || x~| x| |
||x| {z  |{z| | x~
z| # |  z| | x
{~ z|z|{ x 3 } x~ # | ||z z|| x~
 z| |z|z|{ | {~
{|x W z| | x ~x|{ ||{ ||z z|| x| {|~|{ } z }||{~
}  y | zx x y| |{ } } x~

 0x~| .zx x~| |x|

.| a x|{ x~| |  x z|x x~| "


" z|  | }~ x~|
x~| ~|   zx x~| |x| }
" x{ "  t \ $ \ 3
t \ $  \ 3
t $ 3
zz{~ | |xx x{x{ #  |
t \ $
x y| xy| |x|  x x x~| t \ 
|xz| } G 
"
" z|  | }~ x~|
x x| {|~|{ xzz{~ | # 
x{x{  x {| x~| x~| |~  0 | t \ $  \ 3
x x~| x x| x |xz| } G  t \ $ \ 3
t \ $  \ 3
t \ $  \ 3
.| |y| x ||x| } | xzx
t \ $
x zx |z||{|{ | |
|x|{  | x|{ x~| x~| z{ ~  .zx x~|
x | ||| y{x| | ||x| zx |
x %| 'x x~| xzz{~ #
" "
0 

9 
 ||z z|| 0 

9 
0 

9 
||z z|| x| }| |{ } ||{ z~  0 

9
 || zx|  .| }||z z|| z| ~  'x x~| xzz{~ #
| x x~| x | x~| x{ }||z
zx~ | x x {}}|| ||{ . x }
|~x~ | }||z ~ | | y|

t zz | } |  z ||
x|{ | | x {y~ |

t "~ x~| |x | } | }||z


z|| |


-|z 
'

#x }  }||z *x| x x |}||{


x x| x|
z||
# z|z   }||z z|| |
{~ y||| {}}|| { }   {}}||
{ } x

'  x| x
zz|{  | | } x|
x z x~| ,'- xy| 0
zx z|x| | } {| {zx~| |
{~ x{  {|z } |  . x|
x zz|{ xzz{~ || z|
 |x  x~| |x xy| 0 zx
zx| {xx~| |  ~  -x  x| x

'  x| x
# ||x|  x| x x
|{ x{ z|| |z}z |zx x|
|z|x } | x~| x| x 0

'  |}z|{ x
# z|z  x~| y||| x{
0 | x x| |}z|{ x y|
|z|{  {|x / {|x }| x~|
} 0 x{ ~| | x y| }|{  y
|}z|{ x x{ {|x / {|x }|

'  x|{ y|x~


# {| x{ x} z| } ~ |
y|x~ | y|x~ x| y| ||zzx x|{
. x| } } }x| | x{ 

' |}}z|z ' zx }x y|zx| } |x{ } x ~| |{ }


| x{ ||}| x| |x ||{
!||x |x~ ||zz x| | |}}z| x{ |x| x } | } x{ zxxz
-| x| ||zzx} | |}}z|z|   || } x{~ |}}z|z x{ | }xz
}  {||{~ | | x{ || |x |x| ~  | | x{ |
|| ~| } y~~|  . | } ||~ | x  | |}}z|z x{ | | }xz {|z|x|
||zz | &x{ {||{| | x{ x{
{||{| | .| |}}z|z { z y| x z|x
|z|x~| } | x|{ x{ .||}| x
&x{ {||{| | x  | x| } | z| | | x | | xzx|{  ~
x{ z| | }x y| x|{ zxxz x| |{
# z z| x x || |
t -x {~ | z| | | ||| } | 
t , |  |
t -x |  {}}|| x } | 
 ' |z
&x{ {||{| | | |}| 
' x| |x xx |z|{ x~x |xz~ ||x|
t # | z| | z zx {xx~| | x | ||{~
t '|zxzx | }z | zz } | x{ | xzx |x
|z zx x x| | }z |~ || {xx~~
}}|| zx}zx zx|~| xzz{~ ||x| | }||z z|| } |{
|}}z|z .| x x| '* | /   | 
 x{   x{ *z | /-
.| | } |x |z x|  | |
 
.| zz } | ~||  | |


 z y| x| z{|x | z~
|x |z !||x |x~ x| y|
 
|z|{ x~x | }~ z{



 
~  }}z|z  x{  zx~ ||x| z|x|
| }xz  x{
   z|xz {x~
| {~
 t - |x{
       t &~ x |{

t ,|{z|{ z~  xz } z~

 t #z|x|{ xy| ||x|

  t || x x{

  t ||z }zx

t 0x~| }zx


 ~  .| |x  zx~ }x ||x| z|x|


 y||| |}}z|z x{
x|{ x{ } {}}|| | {~

|{ z|xz t z|{
 {x~
t *x| }x|

       



.|x |z .* .|x z x{ |x
.|x z| x| x y|xz z| x z
zz{~ | #  x{x{ | |x {| | ||x| .| x| xxxy|  x {| x~|
|z } | x y| {zx|{ | } ||x| x | x{ z|{ | .|
x|x|  x .* {|~x ~|   x z | | z|{ | )|  ||
|| } | .* {|~x x| x |{ | {~ | | x{ zx y|
z|z|{ {|z | zz } | x zxz z
-y .|zzx |x{  (y| x} || x{ x|~ # x x |x |z|x . | } |z
xx  {~ }z x|x  {~  {~ z|x| x | y | | x{ |
.* || x z}} || x{ xy| {||z x z|{ }x|
.* )
| zx || x ||~|z
.* |x{
.* ~x x{ z}} .|x z| x| x |}||{ x .| %
.*
- x{ }x || x z}}
z| x{ *.) *|z .|| )||
.*
| zx |x{ .|x z| xx zx x .* {|~x
.* x{ yz|{ z{  || x ||~|z
.* ~x x{ z}}
.* ) }x -~|x|
|| x z}}
.* | yz|{ z{ -~|x| x z|  zx|{
#{zx } | |y| ||x| || | | ||{ |x |x |z .|x |z x x x
|x{ x|~ x ~| ||x| x zx|~ {|
xxz |z~ . | x | x
~  .* {|~x
y| z|z|{ | x x x x || x
xzz{| zx|{ y | xxz |z~ x| x{|{
*. |
.||x|
*. | *| .||x| |}}z|
.||x| x| y| |z|{ xzz{~ zx
.| zx y| |{ | {~ } x
|~x . { } x x zx|{
{~ {z ||{ x}|x{ /x
zxz } ||~ | ||x z zz
*. x| |{ || |xz x| } | {~
.| zx y| zx|{  ||x| x~~
} ? ? {|~|| | *. x| y|
z|z|{ x | |x z {||z | x{
z|x| |xz| } | | | |xz|
||x| .|| {|z| x| |x  xy|
||x| | |xz| } x | } y| xy 
 x{  z|x| x{ | | |
|xz| ||x| #} | ||x| z|x|
x }| | *. | zx |xz ||x x{
 .| | |x x| x | x
 x| || xzz{~ x | #(
x{x{ |zy| .| .* {|~x } *. }
x| x 1 .* } | *. x| |{ |
{~ #} | *. x| ||{ | .* {|~x
.* .| .* {|~x } *. } x~| x
1 x .*

-x{ |x~ .| }|{ y|x~ | {| |{ zx y| || x {||


~| yx y|x~ x x~x zxz y|x~
 |x~ ||| || | x{ |x~ }
|  .| |x~ ||| ||zx |{ |x~ z|xxz| x{ |xz| x| x|{ xzz{~
z|z  xzx x ~~|  { #-) x{ #-)  |zx| y|x~ x}xz|
x{ z{|x  ~ | x{ |x~ | x| }} || x{x{ y|x~ x| |xx
x| x | {~ x{ ||y | |zx~|xy|
|x| x { {| | xx | x
 # {| x| }|| x yx y|x~ x| x z|x
|x z|xxz| y||| | xz|x x{ | yx
1  |x z|xxz| | y|x~ zx ||
y| {}}z x| x || || x{ y| xy|
x| ) | | x{ z |x z|xxz|
| x xy| y|x~ x x ~||x|
|z|| | x | x} yy|

||{~ z | | }|{ |


{||~| yx y|x~ | {| |{ x| 
 z|xxz| |x~   z|xxz| x| | |x
|| x{ x| z|x|{ xx x{zx~ zxxz

.| y|x~ zx~ | xx }z| } | zx x|


 -x  |x~ |||
 z|xxz| }

t | x z|| xx {xz ||}


'x|xz| t | x x y|} |{ } |x
t | x ~ {| |{
.| { y| z|z|{ x |~x |x #
 y|x~ x| |{ }  }zx~ ~ xx
x || | z|x {| ||
}z| ~x zxz y|x~ x| |{ } | ||
x{|x| |x #} | x|{ x {
~ |x xx }z|
|| | y| z|x|{ x{ z|z|{
|~x
({| |{ | |{

|x~

(x x| x z|{ y|x~ | {| |{ x{


x y|x~  xx x | {| |{ x x
||{ {| {z |xz| |x |x
{~ |x |z .| y|x~ x| |{ xz| -~ x| ({| |{ y|x~ | |{ y|x~
y x| ~ x| | {| |{ || }~|
 ~  |zx {x~ }


-|z 
'

x }z| |x~ | x{ |z|{|{ z|xxz|


||{ ({||{

'{|x| ~ }z|
*x x{ |{ {||~| yx y|x~  ||~| yx y|x~ 
| x} |{

-~ x{ |{ x~x zxz y|x~ ||~| yx y|x~ 


| x} |{

'{|x| }z|
*x x{ |{ {||~| yx y|x~  ||~| yx y|x~ 
| x} |{ x
{xzx |||{
| 

-x }z|
}|y| z~ |{ {||~| yx y|x~  ||~| yx y|x~ 

-~ x{ ||~| yx y|x~  |{ x~x zxz y|x~


||

~ .zx | } y|x~

'  |x| yzx|{ y|x~ .| yzx~ | x| y| x{ |x xzz|y|


z|{ |x| yzx|{ y|x~ | | } | .| {|~|{ z x x x
}~ ~ ||x| |x | } ~|x|
t || x } } ~|x| x{ | y|x~
t &yx|{ ~|x| t | ~|x| || | y|x~
t *|xyx|{ ~|x| t { ~|x| ||{ } | y|x~

.| |zzx |z}zx z|{ | '  yzx~ | x| |{  x


x{x{ #(  % y|| .| yxz z yzx~ z } xz| x x xy| |
y| ~| x }x z| x } x z x| ~| |
xx x{ |x~ z
t z- |z x ? x{
t z- |z x ? .| yzx }| yx|{ ~ ||x|
~|x| } xz| 22)( /(#,2 ( -| xx
|x| %y|| +"   x ~|x| !|x| ! .| yxz z y|
}~ x }  
t ~| x z- |z x ? x{
'  yzx | t z- |z x ?
(x }x| | x{ x{ x|
yzx~ | } | y|x~ y | {| |{
x{ | {| |{

x|  |~ } x{

-|z  &{

 0z {
 ((|x {
 .| xz } z { |
|}xz| } x z|}~x
 -||z~ | ~ } x {
 x}|||
 xzx |x|
 | x{|{ ||z }
{|| x{ z {
-|z 
&{

 0z {

.|| {y xy  x| | z
{ x x{| "|| x y| }
xzx x| x{| | | } {
|~  || ~z ~x| x|{ x|
|| | } { x| {}}|| {| x{ z

0z x |x| } | z| } | {

.| ~| | z | z| | { *||


~z x{ x| |x| } z ~ z
{ !x| x{ x| x| |x| } 
z {

. { } z |
x .| {xz z P z x |x|{
*x *|  *|   *x P
Q
x .| |xz z Q z x |x|{ U
z|-|   z-   
U  {| } {
.| |x y||| | {xz z P x{ |
|xz z Q  | }x
~ x{ {|

) | }~ x~| | }z |xz &{ | %|xz


z Q &{ ||x| U5~7 z
57 Q5z-7
.| z } x { zx~| z{|xy  | 1x| 
zx~| ||x|  | x z{   !x| 
zx | } }~|  x || ~z { )|
z|x| z | | | ||x| *|| ~z 
zx~| }  \ 
*|| ~z  
| }x zz|~ { z ~
x|{ & ~  x } z x| } x| x{ x }| | {
| x| x{ ||x| x| x 

 ((|x { x &| }||~  } 5?7


x &| |z}z |x z 5$~%7
.| { {z|{ }x x| |}||{ x (|x x &| |x z{z O51%7
}{ .| z } (|x { x}}|z|{ y x "~| y~  y 5?7
| x~{| x{ | x | x| ||{  x "~| z|}}z| } |x E5?7
'|x x{ x| x| zx |x| }  | } x "~| {| U5~7
{ ) | | x{ | z } (|x x "~| |xz z Q 5z-7
{ {| zx~| | x~x|{
.|| || x| y| | { | {|~~
. zx } x }| |x| x | x{ ||z~   ||{ |x|
x xx { | z|x \ | z z|x| | ~| {| || z|x|{ | x{
| x~x|{ | ~| z |{z| |x{ } x| x{
|}}z|z |~ x ||{ } z|x|{ |
x *xz }{ | zx \ x| x |{ x| z x || }~| 
y| |z||{|{ y|}| } x x 
| z {|z|x|  x z|x| x~x

x .z { | { x  |y x
{|z|x~ z  x z|x| x~x " * H
*

.| (|x { x| z||{ y | z


}x {|zy|{ |x|  |z

"
 .| xz } z { |
|}xz| } x z|}~x
H
0z { x {  ~| z x{
~| {| x x| x}}|z | |}xz| }
+
z|}~x {}}|| x
~  x~|{ |x{ |}}z|z x{ | }
x *| z z|x| | x x~| x {  ~| z

y| ||{ |} | x| x

x "|x{ } x| x{ |}}z|z x| |{z|{

&| x| x x x |x|  |{ }


~ x { x z~ |  x {
||x| y|  . x{ x | { }|||
x x}||| x~| | || ~z x{{|{
| x| 1| ~z x x x}||| x~|
x{{|{ | |{ { | { yx ||
{}}|| } | } x| .| { x|


-|z 
&{

 -||z~ | ~ } x
{  x}|||

* zxxz|z x| x yx|{ x| x x{
? | x |xz z } xx| z- x{
x {| } xx|  ~
1| x| |{ } { zx~ x}|||
y| ? |z|x |x| || |
zx | ||{ |}xz| || x
x~| ||{ .| }~ |z ||
x }|{ |{ |{ {||| z|
z|z } | x x| x{| x

z y|||  z- x{ x {| } x 
 ~ *|x| z| x  |{ x 



|z| x | z| x{|{ |{ {|zy|{ x|



 |z












 


 






* z| z|z } x{~ ~ 
z { 
x|{ |{~| xy ||{ {  +- "- x{ 



|xz z } | |{ { | z|z 




 
}xz } " x{ * zx y| }{ || }~|  

 

 

 

















 



 
 
 








 


 


~  # y| {||| | z|z }xz } |x{ x{


| z x {}}|| } |x{ x{ z x|

~|  |x{ | }~ x


1| " x{ * x| }{ | }~| | |x|
|x{ } z|x x| "1 x{ | z|z|{ xzx x}
| *- zx y| zxzx|{ y | }~ }x

 


"1  "  "- 

U
 
*-  *  *  U




||
"1  | |x| |x{ } | } | |{ 
{ ^z|x_ x| 

*1  | x} | x | { +-"1 | 



| |{ { x|  

 

"-  | {||{ |x{ } | |{ {   

 x~|

*-  | x} | x | { +" |
| |{ { x|  x~|
~  * z| z|z | z~ | ~ }
| |
U  | {| } | |{ {

U  | {| } x|  ~ .| x{ ||z~ z|{| zx |


}~ |

.| ||z yx|{ | x {xx || t xzx| | z|z|{ |x{ "


z| x~ x| .| { z| | { yx|{ "- x{ " || }~|  
+"  +-"1 x{ | { y| |}
|~ x{| *- | x} t | x zxxy| } {~ |}xz|
xzz{~ | z|z|{ { +- "1
~|    z||{ | ||z~ x
x{ |~ || |  | | x~| t ,|x{ | | *1 | { +-"
x|{ || }~|  

t x|{ *1  *  U1  x{ U- zxzx| |
z|z|{ ||{ x} | *-  || }~|  

t |z } *-  * '2 } |  #} x | zx|


| zx y| |{ )|| ||z x |
|}


-|z 
&{

 xzx |x|  | x{|{ ||z }


{|| x{ z {
 zzx x |}~|x | x
|~ || ~z { x \? .| {||{ -| z| x{|{ ||z z{| x
} +-   x{ | {||{ |x{ "-   }|x| x z|x| } | |}xz|
%~ | ||{ {  y| }{ | +" z| yx|{ } | { {| x{ z
zxxz|z } x| x{ z| x  z xy| ~|   | |}xz| z| } |
z| | {  )z| | x| {|||{ | ||{|{ |x| | | ~
| x{ | | zx z|z } | }|{  x
 z zx x{| | |z}z x{ .| }~|  y | |}xz| z| } |
| x{| z { | } | x{
.| { x |xz z } z- x{ x {| | |}xz| z| | x{| x| | y|
} ~ 1 +-   "-  x{ Q  z- |  {zx|{ |x{ } x{ |}}z|z x| |{z|{
| z|z }xz zx y| }{ }~|  |~ x z|x| | z
.| x| } *  1 z z|{ | |
| ~ | zxzx |x| |z 
"  
*  
 H
"1  " i "-   i   .l0 .0

+-  

.| x y| xy| z| x { |x|



+"    )z| | |z|x |
{|||{ | * x| } | { }{ z

 zx| *1   1 ( y| zxzx|

| ||{ | } || ~z |

$.l g0
#
.j*0

U
*-  *  *  -
U



$.l g0

*-        1 )LJ3XPSSHUIRUPDQFHFXUYHV

.| zxzx  x | x y| }|{ 


x 1  z | x| | xy|
z| | zxzx|{ *-   1

x|  |~ } x{

-|z  'x|x

 1x z
 .| } z
 '|x x{ |x x
 |xz
 *xz
 ,yy|
 x~
-|z 
'x|x

#  |z zx |x{ xy {}}|| x|x x


x| |{ } zz ) x }z y|
| }|x| x || ~| |x x{ |x x
x| }}|  y|}| | {~ x }| |
{ } x|x | x| x z| x z
|{| |x~ x z  | |x| |
{}}|| | } z x{ x zx y| {|
|| z } zz~

 1x z

 x |}||{ x | {|~x{x } |
|x y z|zx ||zz|zx |xz 
 
   
   
|| || }~|  1| z{||{ yx{ 
 
     
z x y| |{ x | |{|z } |

 
|x || xx x| x | {|
 
  
 
} z x ~x ||{ ) |z |x
 
 
z x ~{ x{ x x| }{ x| |
 
  
|xz x|  
  



-| |x {z| x ~ |z| {| x| |  
  
 
   
  
  
}xz| z {| }| z #} | }xz|
x| y| |}|x~ .|| |x x| xx|{
~  |x xxy| x x}}|z | z
/{| x|z z{ | z {z |xz| } |x x{ x
} z x{ x } x }x ~ x| x{
}| z |||{
, ||
&|| | }xz| } x| || x ~ x| }
x{ z {| }|{ x{ | }xz| }
x x x| } x {| }|{ .| |z|
x| } || |x |x | ~{ z
|xz| , | | x{ x |z|
z {z || (|z| z {z
,  } x{|| x{ {| ||
z|{ z || }~|  ){| x| x| ||

*|z| z {z
~  x| } z {z

 .| } z

!||x |xz z | | } |x x
x x ||{ }xz|  zz x
} x~~ } } xxz | | || }xz|
||| zx xxz
.| ||a z|zx x{ zx z{
{||| y | | x{ | x| } z xxz .|
z{ x {||| | | } z {z x
x| }|{ x{ | z |x| x ||{ y| x| #
x zx| y| x| ||| z||
| z z| || x y|
z | z| xzz|xy| ||

) | }~ x~| | ~ ~ | {}}||


} } z {| ~| x {|x } |
zxxz|z

/} z
/} ~||x z zxxz||{ y z|
xxz z||{~ || | | || }xz| x
x~| x } | x x|x !||x ~ z|
| |x y| { /} z | | }
z || | x~| x } |x x|{

x| } |x z x| y|z } ~  /} z


z
t -|| x|x|{ x|
t -x| || |{z~ xz{ z x ( 
#-#  }z xz{

*~ z
*~ z x zx|{ } } z| xxz
*~ z } | | |x }xz|
# |}x| | |x | | x z |x|{
y |~  ~ y| x| x .| x| }
||x x y| | x } ~||x
z {||{~ | x~~||| } | { ~  *~ z
*~ zz | |x x x~x ||

x| } |x x y|z ~ z
t -x| || |xx|


 |~ } x{
-|z 
'x|x
 * zz 

|z| z
|z| z  | ~ z  x zx|{
} } z xxz "|| z|z| z x
| |x x ~ |z| z zz x x
|~ xz| y||| |x }xz| y|||
|x x{ |x }xz| x{ x xzx|{
 x x~x| z{ | z|z| |z| z x
| }{ x }x~| x |x{|{ z|z
x|  }| | zzx } z

x| } |x x y|z z|z| z ~  |z| z

t -x| || |xx|

#|~xx z
 | x| | |~xx z zz x
~x y{x| #|~xx z x zx|{
|zx| z .zx  | } z
zz | z zxy{| |zx| x | ~x
y{x| {~ | |{~ z| z|z
 }}z| |x |x|  x |~ x{
| ~x y{x x ||}| {||| z
x{ y|z| | z |x x | | } |
x|x . }x| y|zx| z x x
x | z |xz|

x| } |x x x| y|z |~xx z


~  #|~xx z
t -x| ||  z }}z| |{|{
|x|x|{
t -x| || (  #-#  zz|x|{
z xz{

-||z| z
-||z| z x | } z z xxz
| ~| ||| } x x x{ {| | |||
x
| x z| || | xa z|
4z z {z
|x||{
|

x| } ||z| z
t .| {|z}zx } xy|{ yx ||y x
|x||{ z| z| {z|{
t !xx } ~x zx  ||y x y|
~x| || |} y|zx| } | {
}
~  -||z| z

 z

 z x z| x | z
x{ | .| x| } z xxz xzz||x|{
y | |x| } x z| { x{ x
|x }xz| .| xxz zx|{ x|x 
~ |z y| }  z
xxz x| zxxz||{ y ~|  {|zx
x|

x| } |x z x| y|z | z ~   z


t | |xx|
t | x|

xx z
 |{ {  ~ |z |{z| | ||
1| | || { y| | { x
|| x yyy| } | { y
# | x|x || | x yyy| } |
{ y~ 1| | || x| x~x |
x yyy| zx| x{ {z| ||
zx| || | zx| } | x
yyy| || |x {| } | }xz|

x| } |x x x| y|z zxx ~  xx z


t x x| x ~ ||x|
t | |xx|

-| z zxz~ -
-| z zxz~ - |}| | zy|{
}|z| } || | x|{ |x x{
z| || .| x|x zx zxz 
x ~}zx {|}x y {||x }
| x|x )}| ~ z xzx|{ 
| | z zxz~ ||x

x| } |x x x| y|z | z
zxz~ ~  -| z zxz~
t -x| || (  #-#  z{|
t x xx


 |~ } x{
-|z 
'x|x
 * zz 


 }x~|
*| |zxzx }x~| | x x|x y|z|{
x zzz x{ }x y| | x| || |~
zx }x #} | |x x| ||{ x
z| || | }x| zx x| xz| x x
|| | | x{ x}| x | | x ~   }x~|
x | |zxzx }x~| || }x~|
zx|{ }x~|

x| } |x x x| y|z z }x~|


t  z| z| x||

!xxz z
1| x z| ||z| x{ |xz x|x
x| zxz ~xxz z| z z|x| |
|x y| x|x | x{| x{ {|z|x| |
y| | zx{| .| z|x| z zx|{
~xxz z .| |{|z } x |x x x
z{| x ~xxz z| {|||{ y
| ~xxz || .| ~xxz || {zx| |
|x| y } {}}|| |x x{ x x ~|   | y| |  y|
|| |~ |xx| || }~|  ~  !xxz z
.| }x| xx | |x x| | ~xxz ||
| ~|x| | ~xxz z |}}|z y| '|x
x x | | |{ x| y| | | x | |
|{ x| |x y|

x| } |x x x| y|z ~xxz z
t -|| zxz  
t  zxz  z|

.| z| } ~xxz z x| |{ zx{z


|z x{z |z x |x } |{z~
||~ | z } x |x }xz| y |
| } xz}zx x{| z x ||{
z|

~  !xxz || } |x x{ x |xx|

 '|x x{ |x x

) | }~ x~| zx |x{ xy | }|x|


} {}}|| |x x{ |x x |{ } zz
} 

xx z } y| ||
| x
| x x| x || | | z|
| x x| | z } x x|x
y|zx| } | xxxy z x{ |x

-||
 z } zx ||
-|| x {| |{ x|x x z|{ }
x|{  zxy .| x } zxy || x|
| x~| }   y |~ .| z| }
zxy x x x xz | x|xa |~
|{xy xzxy {z x{ x{|  x
|}y x z|x| zxy z| |x{
x z|x| |~ x{ x{| y x {|z|x|
{z x{ |{xy .| z | } ||
*~ z } (  #-# 
zxy || xy || ~|{ } zx|~|
|| }~| 

    

  





 
  

~  | } zxy ||

-|| xxxy| ~ x | x zx z{


.| ~||x zxxz|z } || zx~ x| z|
zxxy| | } ~ || .| y
#|~xx z } |z| z }
x| || (  - 
x{xx~| } || x |x| ||| x|
} x{ z| ) | | x{ | {x{xx~|
} || x z |xz| zx|{
x|x| x|x z x x| ||


 |~ } x{
-|z 
'x|x
 * zz 

x ({x {z|
x zx y| z{||{ x x }  z
x{ zxy .zx | zz|x } zxy
y|||  y |~ } z ||
y| } |~ ~x| }x| {|
.| x | x| ~| zx x{ {x
{z| zx  .| z |xz| } zx
zxxy| | | } || x{ || || y|| 
x zx y| x|{   y |~ z
 y |~ z| (| ||z| {|
| z |xz| 0x | } zx x|
{| |{ { ||zx } x|  |
x{ x| x x x ~{ z |xz|
~  x x{ {|~x } {x
|x x{ xx| { ~ "   |xz|
xz{  " 
({x zx x{  y |~ }
x~| 'x~| zx| | }x| y|z|
~yx | ~x| {||{ ~ x }||
!| |x| x | } } || {| .|
~x| {| x| x }|x| .| { x|
} {x ~x| |{z| | | zz|x x{
z|| | x|x z | {z| x ~|
 ~|  z|x  x | || |~
~| } {x x | zx| } ~|  ({x
 x |{ } x  ~ |~
||| ~ || ~ ||x|
xzx

~  x x{ {|~x } ~|
-x| ||
-x| || z zx~ || x .|
# ~|  | ~x| {||{ ~ x }|| z z| x{x{|{ x|
|x| x | } } }x| xz| }xz| ||    | | z |xz|
x| x ~| x|xxz| |z| | x| .| ~x| } x| || .| ~| z |xz| {|
}x| xz x | zz|x {| || x{ x z {| } x }|{ | |x
x~ |x x{ y| | y ~ }xz| . |||  x| |}|x~ {|
x{ {z| z| !| |{ } | | ~ z{
zz } yz y|zx| } ~ yx 'y{| z| x{ ~| x| | |x| }
{x~ xy !| x ||| x|x x{ zx x~ ||| ~  || |||
|x| |x zx  x x } x~| y~ {}}|| zx z| z |xy|
.x  ~| }| |{ } x  {}}|| || z|z  xz~ }~
{|x| |~ ||| |{~ z |xz| |z # ~||x x|
|| x x ~| |xz| z|zx | xz{ x
|| x{ zx x|

# || zx~ z{| x| || zx y{| x{ ~| | ~ |xz|


y| xxz|{ y zx|{ z |~ ~ z x| z{|x .| ~| | *, | ~|
x{ z|z| z .| |xz| } x| || | |xz| zx|{ z | xx| x | *,
|| | } z ~ {||{| x| x | ~ |x| } | ~ |xz| }
z|zx z # x y|z| x| z x x| || x{ { y| |{ } zx
| | zx|{ *, *~ ,|xz| x| zx}zx } {}}|| | } x| ||
x| x x |x| } ~ |xz| } x| # | }~ | || | } x | }
|| *, x| x| zxzx|{ y }x z | x| || }|z x|z x|z x{ {|
|x| }|z| } x }| x~ ||| z

~  |zx z } x| ||


         

*%0/1203%230' '1*(.#2*/.       


  "  #0$/.-#4 )0/-*3- *%+', /,5$&'.3- 2)'0
'00*2*%   

       

#02'.1*2*%   

            

312'.*2*% 
 



      
 
312'.*2*% 

 
         

312'.*2*% 
 
 
 
 
       
312'.*2*%  
 
       
    
312'.*2*%   
 
 
 
     
    

312'.*2*%  
 !*       
     !* 4%#0$/.


     !*
312'.*2*% 
           3  

312'.*2*%  ./.'       

 
 
    
3 
'00*2*%  ./.' 
 
      
   

#312'.*2*%
  

'00*2*%  ./.' 


     


#312'.*2*% 
  
*%0/1203%230' '1*(.#2*/.      
  !"  #0$/.-#4 )0/-*3- *%+', /,5$&'.3- 2)'0
312'.*2*% 
        

312'.*2*%            

312'.*2*%  
  
        -#4 
312'.*2*%   ./.'./.'         -#4 

      3

'00*2*%
312'.*2*%  
   
 
      
    

'00*2*%   3      


312'.*2*% 

  
      
   
  3  
 



x | }||   x -    x -')   x -

*~ ,|xz| x| *,   '  ( 


 |~ } x{
-|z 
'x|x
 * zz 

|z x~|z } zxy |}||{ x (  #-# & (


|z x| || zxxz||{ y | ~{  #-# &  ~x{| zx  } zxy
z || | ~{ |xz| | zx|{  | |~x | } x| ||
z zxz~ x{ {|x| ~| & x|{ (  #-#  x{ (  #-#  ||
}|z x| || |{ { || x 
|x z|  x~ xz| {
|z || x ||| x | z| .| xy|{ ~x{| (  #-# . zx x x
x|xz|}|| x{ ~ x } x |zx| x x x ~| x}}
} zxy x z | }x } z
zxy{| |{ .| z| } zxy ~||x
'x|z x~|z {| x| || x{  | |x xxxy
'x|z x| || zxxz||{ y ~ |~ } `&a ~x{| | | } xy|{ ~x{| x {|z|{
x{ |{ z |xz| 'x|z || x| x|{
|{ } ~ x} ~zx | x{ }
x|{~|{  z x | x{ z
|zx|z {| x~|z
|zx|z {| x| || zxxz||{
|z x~|z y ~ |~ ~{ ~| ~ z |xz|
|z x| || | z | x{ |z|| |xz| | z zxz~ x{
} x| || x{ zxxz||{ y x ~ z }x~| xzx
z |xz| | ~{ }xy ~| |zx|z x| || zx |{
x{ |{xy |z x| || ||zx xzx x || ~ |~ ~ z
| (  x{ (  x| |{ } x x |xz| x{ z|y | z zxz~
| } z| | { . { } x zyx } || || -x| ||
x| || zx y| || ~ zx (  {| |{ } x~ x} x{
~
(  | } | x x| || |
} x | }|| xz~ x| || | |
~  z|   | xzxy x
|{ z{|xy y }x| x | |||
} z |xz| x{ |{xy "||
| | |x }|| xz~ ~x{|  x 
z| x{  x ~| z |xz| x| y||
{|||{

#} x| || |x|{ ?  ? } x
~| |{ } | {~ |{~ | z
~ } z zxy{|  | zxy ||
| || . |{z| za zxxy xx
| x| } x{ ~ |x{ |~xx z
x |}||{ x |x || |z 
#} zxy ~x{| } x| || x| |{ | }
|x |{z|{ -x| ||  x z|

(z| x | x
(z| yx| x x| {|}|{ x x z z| *| z| x |z|| |x x{ ||zzx ||
|| ~|x| x x | x~ y x | } x{ {z| x|x
||| .| x x~ z| x| ~ x{{ | {}}|| zx x{ ~
 z z| x{ y{| .| x~ x|x z x| xy| } | | {z }
z| x| y| } x {| x~| }  || }~ || || x{ } x
x zx| (z| x{ z| x x| | xy x| ||zzx x{ ~||x |~||~ xzx
x{ x {| x| } ||| |x~ z{
} xz| z| || ~ ||x|
~ || x zyx } || }xz

"x|.' x x| x | } z|zx x zx~


( '  x{ | (z| yx| x z x #z|.'

  "x|.'  x{  x| ~ z
|x x{ y|z ~ z|z| z
|z |xx| x{ { }}| } | x
~ |z

.| z| } z| yx| x | z|x  +C?B A?L @C ?BBCB ?Q ?L ?JJMWGLE CJCKCLR RM GKNPMTC
xzx (z| x x| xxxy| y ~ RFC K?AFGL?@GJGRW
 !PMLXC A?L @C ?JJMWCB UGRF ?JSKGLGSK RM GLAPC?QC QRPCLERF
x{ zx ~x{| "|| z| x x| | {}}z
zx x | z zxy || x{ x| || ~   | } z| x

x (z| x x| ||zx |{ } x
| z|zx z| { x| x| | {| |{ } | z| x
y|zx| } | z | |x |||
}xyzx x{ xz~ "|| | x| }|
|~ y| x{ y| |{ ||
x zx| {|z}zx || ||z| z
,|{ yx y| x{ z| z| xzx x|
zx|{ zx x ~ |xz| z{|
x~~|| { z x |xx| # z ||
yx xy| y|zx| } |{|z {|z}zx|
 z| x x| |xz| xx| {
~ " xx x{ }{| x{ x| ||
| x |{ yx x{ y| x| {| |{ }
x~ y|x~ || x{ ~


 |~ } x{
-|z 
'x|x
 * zz 

 .x
       
 

('!( $""%+&*'"

('!( %&&'!() &'!$!&"""%+!$"#$)) %* %) '


 "#$)(#$(!*##+(&!!

('!( $$(!() &'!$!&"""%+!$"#$)

('!( !"!%$!() &'!$!&"""%+!$"#$)

('!( $(!*#!() &'!$!&"""%+!$"#$)


('!( $(!*#$(!"!%$'&'!$!&"""%+!$"#$)(
 !$!() &'!$!&"""%+!$"#$)*)%) '"#$)(
('!(
 (* (%&&'#$(!*# '%#!*#$,!'%$!*#
 #+(&!!
      

 ) '"#$)(!$"*!$)!$$(%#"!) !*# ~  .x ~x{| x{ x zxxz|z


('!(
 %#&%(!)!%$(

~  'x x~ ||| } x


*| x x x {| | {z| x{ x x
*| x x ~ x{ } |x  x {| |x| |~ "|| | x |{ x
} xy x { } x } || *| x x x } ~| x{{|{ |~| x x{ {z|
~ ||zzx x{ |x z{z .| z | zx|{ z|zx| ~x{| {{ } x
x~ ||| x| z  x~| x{ x~ ||| z x x x{ xx{
z| -z z|x| | x|xa zxxy z| z|x| |~ ~}zx x | ||| }
z|x| xzxy x{ x~| z|x| {z .| x x{ xx{ x|{ x
z |xz| x{ |~ .0 | ^|_ x } | x
{ # |{ x x|xz| |~| x{ x}x|
.| y x{xx~| } x x| x | x|x z| |zx| x x ~z| x|x
xx ~||x| x |z| {| } x{ ~ | x x|x z }| |{ } x~
z |x } ||{ | x|| z|
.|x| z x x{~ zx }| | 
|  x x| {| |{ z| .x x | |xz| x|x  | zx| }
|| x ~ |~ |~ x x z x| || xa z |xz| {||{
x | xx { |x| | | } | }x } x {| } "|| | {| }
x |z| x{ xzx} |{z| |~ x{ | |z| x x x| || .||}|
||~ z x |} z y|| x x| ||
x~~|| { z x |xx| | z|
) | | x{ | {x{xx~| } x x ~xz z{| x zx| ~ x{ z|z| z
xy| x ~ " x{ z{|zx~
|| . | x| x xy| }
|| x| ||zx {| z{
 ~ } . }| |x|{ y | }xz x
x x |xz| |x | x x |
~xxz || || ~xxz z x{ x |x }}|
} ~xxz z } z|{ y| |x x{
x

 |xz .|xz

|xz x|x x| {|}|{ x ~xz |xz .|xz | z } ~ | |z|


x|x z x| zx zx| x| .| x x| |{ |xz | | x| z
x| z|{ } |xz x{ |xz ||| .| x| }| |{ x ~x| x{ |x|{ |
 |zzx z|xz x| x {| xx }xyzx y |{ z x {~ |
 ) z zxy{| - ~| zxy{| 1 x{  {| x~| xxxy| } z z{ xz
z {| -( |~ * ** *0 ~ z |~||~ |xz
|~ *% x{ z|zx |x }| |~
|xz x| xy| } xzx z || ~ *.  *0  *.  | } | }| |xz z
|x xy ~ |~ ~ |x |xz| x{ |z|xy| .|xz x| {| |{
~ z |xz| .| {x{xx~| } z|xz } x~ ~ } ~ } | x{
| {z x{ ~ |{|z } y| }xz| ~
|xz x| x |{ } x~ y|x~ x{ |x
}xz| } x} |x
.||

 *xz .|| x{| |x| | |x|{ x z


~ {| y|{~ x{ x ~
  
    
  xz||{ {~ }xyzx ~ z|zx |x
x{x  z| zx|{ z~ zxx
 
 
.|| x| x{| | {|x xy| x{

    | y| x |xz x{ zx y| |||{
  #x || z{| || ||

    x{ |x| .|| x| x~ | ~
   
|{ } }xz| zx~
 
 

~  )|| } | x|

-| xz x| {||{ } xx yxz| z x
x y | x| xx{| .|| x| x
|z xz ' |z xz z| } z{|  

  


 y zx x{ xx ~x x| x |{
.|| x| x | } xz .|xz x{
|| ||~ xz .| |xz 

  
x| | z { } xz |{ {{|
*xz }| zx x{{| z x}| z|x
 
 
x{{x || | x|x ||
xz zx y| |}z|{  }y|~x | }y| 
 

  

.|| xz ~||  x{{| x{ y| x| x


|}||{ x z| 


  

  
x| } x{{| }{ xz
t #~xz }| } |zxzx |}z|| ~  }}|| | } |
t |zx xy| |~ x{x
t *xz|
t x| |x{x


 |~ } x{
-|z 
'x|x
 * zz 

 ,yy| || || x |z|| x| |xz| z


xx|{ ~ ||x| xx| 
 

  

 
 
 
 ? .| yy| | x ~{ |xz| xz{ ~
xx x{ ~ x }{ z x |x x{
   

xz|| "|| x | |xz| |x


 

 

x{ }|

  





 

|x|  %'

   

 
|x| z| x | }x } yy| {|~|{
~  ,yy| |
x{  }| x{ x {| x~| } z|zx
z{~ x | }}| |z||
|xz| ~ ||x| |x  ?
.| | yy| z{| y xx yy| x{ {||{~ | ~x{| x x{ {}}|| | } 
|z yy| ,yy|  |x| x| }|y| ~ yy| x| |{ |xz| |x  x|
zx | x zx y| |z|{ |x ||x | |x x{ | ~ x }{ |  |
| |z|{ |~ x{ z x{ | | } yy| x |xz| x| ~ xx
~x {| | | x|{ | ||x|{ x{ x }| .|| x| x{x{ x{ |zx ~x{|
,yy| x| z|{ zx|{ y x| x z  | x| x| |zx || z x |{ 
{| || }~|  .| z | | ||x| z|zx |xz|
| |xz yy| || } || x|x .|
|xz {| ||z |x~ xzx }}||

z| x x| x{| } yy| |~ ~x|
-z| yy| x| x{~ || z x
x{ )~ || |z  x} |x #  |z |
z| | x {| x~| } ||x| }
|| | {}}|| { } yy| x| x{ |
? ? x |z|| ||zzx x x{
x || x |~x{ ||x| x{ |xz|
x| z -z| yy| x| |x x|
{}}|| { } { ~
| xz{ x{ {~ z|zx z|x|{ xz{
xx| x{ | { y| |{  z|
yy| # ~||x || | } yy| x|
|xz| x{ }| "|| | |xz| } '+
 ||x| xy ? | yy| x z| yy| x{ }| y|| x x } |
||| x|x x x x ~ |xz| x{ z| yy| | '+ 0'+ x{ *'+
}| }}|| ~x{| |  | ~| | xz|
( z| | ~| | |xz| y | |
| ||x| }|y (| yy| x| ~
||z| x{ ~ |x |xz| y {|x| *|}|x| x| | ~ z|zx |xz|
|~ | | yy| x |{ |x|~ x zxxy| x } *.  |x}|||
|xz| x{ | |xz| # zx ~||x y| |~ .|}, .| zx y| |{ ~ ||x|
|{ { xy ? y z|x ~x{| zx |x| y | {x{xx~| x| {}}z z|~ | ~
x | ||x| z x{ |{ | x ||x|

 x~

*|z| zx~ \ |xz |xz ~xz


~xz \ x z |{ } z z .|
x }z } zx~ x{| } ~xxz zx~
z x z {| x |}}|z| yx| y||| |
|x yx| x{ || .| x | |
} x || x |x{ } | |||
x|x # | }~ |z | |x|
| y| } ||~ z y |x }
{}}|| zx~ 




 


 


  

  
 


'|xz x{ |xz ~xz zx~ x{ ~xz   
  
 
zx~  

~  !xxz  yx| z |z

'|xz zx~
'|xz zx~ | y| x | yx|
4z zx~ x| z |{ } | |z }
|| z| x~x x|z z 4z x
}z xz x x yx| zx~ x{ {|
~xxz |z -{ x ||{ x|x } ||
zz | z }xz| |}||x z{| x x
x| x{ |z | || .| |}||x |z
|}||{ x zx{z |z 1| {xx~|
x | |z| z {z } z } |
||{ x|x x{ | xxz

'|xz zx~ y| x | yx|


|zx~ } z| x{ z zx~ ||
x| |x| } |xz zx~ x x| y| x
| yx| /| ~xxz zx~ || | zx~
z{| |x x|x || | yx| |x ||{
x { {xx~| x yx| zx~ zx |x{ x
|{x| yx| |x xxz


 |~ } x{
-|z 
'x|x
 * zz 


(|xz zx~ *x
~xz zx~
 ||{ xy| x x| x x zx }
| zx~ x| x x zx|~ }  ~xz zx~ ~|   ||x | }
|xz zx~ ~xz ~xz zx~  zx x }x zx
|z y{| | ~| x{ x{{|
||x |x ~xz | x| z|x~
| zx~ y|~ |xz|{ y x| |x|{ |~ {|
| zx~ x| }|{ y x z|{ z zx~ *x|{ |x z| x |
|xz } | yx| x {|{  | x| } zx~ x|{ x x zx~ z
1| |x| } z| zx~ x| x{~ {|z zxz  | |x
zx~ } x x{ x| |x| }
|| {~ x |{ } }xz| |z }
x | zx~ x{ x~ x| x
|{ } ||x| z|z  x~
|{| ~ x x{| | || |
|x{~ } {| x| } x

)~xz zx~

)~xz zx~ zx ~xz z{ x{ x|


 
      
xxxy| x {| x~| } {}}|| | )~xz zx~
x| x|{ | |x y |{ } x~ {~
    ! !
y~ ~ ||zzx~ x x|{ y |x #       
} ||zz z| x{ | x x ||
#     
|xz~  |xz zx~ z x #   
x{| || ||| *0 x{ *.  x{ "#       
|x| zx~ x| x|{ |x yx| #      
#        
zy| | |zxzx || } |x  |
#     
z|zx |xz| } xz y x x| y }x |
{| |{ ~xz zx~ ~  *zx x| } z ~xz zx~

x|  #xx x{ |}xz| |x{~

-|z  * xx

 (| xx
 ~ xx
 *| } ~| xx
 &x } | x{ yx
 -{ || &

-|z  * |}xz|

 "{xz |
 |zzx |
 &{ ||
-|z 
* xx

|z x{z| x{ ||z } | } x xx  ~ xx \ |xz||


x x~| zx x x || | || .|
x~| |  | ~|x| | z  ||z .| }~ }| | | x| x
|| xx z~ ~ ||z } x |~ xx
x{ x|xz| \ yxzx | }| zz| z & 
||| {z } zy|{  z|| *||~x } | xx { z{|
x{z| x{ x}|x| |z| | }{x } x z|z | }~ z{|x
||z .| }~ xx |z|{x x{ t xz | } \ | x{ } | y{~ |~
 x| ~||x } x xx y x ~|x| } ~{ x~ } } z|~
|| ||x } |{|{ x{ x~| xx t -|z}z | x | } xx |~
1| || |z|{x } | } | |{z {| x}{
xx (| x{ |~ xx t -xz| xxxy| \ { {| x{ |~
t zz|y z|z  xx x{
x|xz| } xz| {x
t xxy } }~ || x|x|
xzz|y } z ||
 (| xx t | |~ { |{|{ }  yx||
t ~ }{x
t #} | | x y|| x|{ | zx yx| t ~ ||zz xx
| ||z } | | x ||z
z|x |~ |}}z|z || z }| zz| *| xx
z & . y| z||{  t * x| | |z}zx z{~ { {
|z "|| | ~||x ~{|| z x|  x} |x x|x ~x| z~
|||{ x x } | x x | t " |~ }|| x|xz|
y|| x|{
| |||
t #} | | x x|x{ y|| x|{ | ||z t ||{ || x{ y||}
} |x| |xz~ x x |~ t (| ||z z|x z{~ { x{
xx |x~ | ||x| || {
|z}zx
t -| z|x |~ xxxy } x| x

{
t 'x zx~| ~ y| y||}zx x ~ 
| y x{ y| {z||{ |~ xx
x~ }| zz| z & |{z ||x
xz | | x{ yx x{ xzz|y
z|z  x|xz|

-||z
t ' y| yx|{ x z|x~||{ } |

| ||z } | z|z | x{ x{z|


xx x x|x x| x *| } x{
x } | x{ yx .|| x|x
y| {|x  | }~ x~|

 *| } } ~| xx

~|  yx|{ ~| xx # xx| xx xzz|y x x


x | }  ~{ x zz| 

.| |xx z| x | | x y| |z| x }| y|{ x y|


 

 
 

 


   


! ! 
  
#! $ "  "# 
     ! 

   

   
  
    
  
    
     

 
 
  
    
     
   
  
    

    





~  *| x{ |


-|z 
* xx

zz|y x x x |  | x |z}z
zz| z|z  xx } ||x
xx| .| xzz|y xx |x } |
x|{ xx| y|zx| } | | ||
}~|   x|x } }~|  |{z
x|{ xx| {| |x| xzz|y

~ 
| xx| |{ x|xz|
 &x } | x{ yx xzz| y|zx| } |

. xz|| |x x{ | | x{
yx x y| |z|x z{| yx
{x|~ } | z|x zx| !||x 
{ xx y| z{||{ | zx| } 
xy|  1 -x| | || x
x zx| {|xy| | x{ yx (| x{
yx x| ~||x|{ y | x x{
x{ y | } | x{ }~ .| |}}|z
| || {||{ z|z xx x{
| x| } | || | | | ||
{}}|| x } ~ | x{ yx x ~ 
|{z xx| |x| x|xz| xzz|
xx {x {x|| x{ |x  y|zx| } |


-{ ~{
{x

zz zx y| {{|{ | -{
} x{ |{|{ } ~  -{ } zz

-{ \ } | {| yx{
!{
x } yx || }~| 
x||
1x
-|{|{ \ } } x}~ | |
x|| zx xz x x |xz| y
|| }~| 

.| { y| x|{ x x| x{ ~{ }xz|


yxz x } xx | } | |
} } zz   }x~  x{ -{ ~{
}{x |{|{ yx {x||

~  -|{|{ } zz

~ 


|z ~ } |z| {|z yx
x || }~| 
x| x| *

*
*|{ {|z zz|| } |z| x } ||
}~| 
~  *

* x| x| *

x~ 
,|~ x {|x{ x|x |~ x{ |z|
~ 
|{z|{ } yx x || }~| 
x~ 

-x{ * x| x| *

{x |{|{ yx {x||
)  z|{ yx
x || }~|  ~  {x
|{|{
yx {x||
 x | } y | |~ } x zz|| }{x
{ y|  | |~ . |~ ||{|{
~| | {x|| |}}z| x ||{

0yx {x|| {x x| x| *

~  .| x|
}{x | ~
} |zx |


{x

0yx
{x||


-|z 
* xx

x||

.| ||z } | ~ yx {x|| ||


| }~ {xx

t z| xz~ | {x||
x
t ' ||{ z{|~ ||{ z } x {x

t ,||{ {x|~ ~~||{ x|  *

.| {||x } | ~ {x|| x| }


xx xx y x ~ ||z }
{x|| x z|x| | yx || z|x zx|
.| | { ||}| | yx {x|| x| x|
0yx
* x|{  yx {x|| xx {x||

x| |x }|{ x y | z x{ |
{zx~| {| . x {| x{ x |
x~ | }x~|
~  #xx  |x  yx {x|| x{
}|{ |

x

x x| x|{ 

t xyy |xzxz | |
zx|{ y zx~~ { ||x|

t |{z| |zxzx x z|z  ||


x| | |

t x| |zxzx | | |  } |x
y| |x 

x y| x|{ z|x| }
xzzxz| | | z x z|| {xz||
x~| } }x~|

x x| }|{ x x {xz| }
  ( {x|| } | | z {| x |
x | {zx~| {| . || | {||| }
y|z| | |x  |~ y|| z
z{ x{ x || | ||
{|  ~ x| |z|   y| x
x~| |x z|{~ | |

~|   |x| } yy| y|


|x   | yx ~  ,yy| y|
|x  | yx
x  | yx zx y| |{ | |
}z| zx|{ y | |x  x
 | yx x| |z|{|{ } | x~| x ( 
 |x  | yx || }z|
~  ,yy|
| }x~| .|| }z| x}}|z | x{ | y| |x
|  |
yx

.| | y| }|{ x | { | |
|x x{ |  || }~|  .| }
{ xx y| xz|{ x z| | |x
x y| | |x |a ~  '|x
z y| |x
 | yx

 ||x| xy| ? zy|{  x ~ ||


|x y| |x x| }| |}||{ {|
| } |

 -{ || &
& {
*x |{
.| { || x | |x|{ {|zy| {
.|{ } |x~
(| x|{ { .| || } | zx y|
|x|{ | }~ || x
'z


 *|| \ &  .| || } | x x| -||z
 *| \ &1  .| | } | {
 #|  &# .| | |  y|
z||{  y

" "
# y| zx| | || x| {|z y ||z
y| zxzx| y||| | yx|{ x{x{ ~  .|{ } |x~  }||z "
.| | } y 

-x|  |~  1 &  &*  {

&x~|  |~ 1 &  &*  {


-|z 
* xx

{ 
.| / 'xz| |z| |zy| x { ||

x| y| {zx|{ x || | | x| y|
{ x{ x | | | |z||{ { 

(| y|z| x{ {||{ x | xy 
|x |~ ~  { | .||}| | xy| 

||{ |x|| ~| |~ xzz{~

| |y } x x{x{ |x || }~|  .|

|~~ x |~~ { |||{ x 
|~ & x{ | |x|| x| x{|{ {||{~ "
}||z # | zx| z|x| x{ | zx| ~  |~~ z|
{|z|x| || }~|  )| |~~ x|
x  x{  y | x| |{ } | | z |
{ z|  y


# zx| } | |x | { ||
zx y| zxzx|{ #}  | x| { ||
| x { || zx y| zxzx|{ x{{~ | x| 
} }~|  |~  &  { 
&  { #} | x| {}}|| { || x|
} }~|  zx y| x{{|{


#{zx } { || { x y| x|{ x
}|| }|{ z{ | |}|z~ }xz| |x~ |
{ || x x{ }  x !xx||~      
x| x |z}z x |z}z | |
{}}z y|zx| || x| x| y|{ | |xz } | ~  #z|x| } | x { || || 
x}xz| |x z{ z{ x| x |~x| |x z|
xz z|x~ { || x | xz
| { || ,|z|{x xx
x{ }{x zx y| ~| |x| |{z| | 
|~x| xz



||z| x|

,| } *|z||{ x
 { $ z|xy| 
 { |x z|xy|
{ .z| x { 

   

~  #z|x| } | x { || || 
{}}|| z|

-|z 
* |}xz|

1| |x| x  || x| ||x ~


x| z|z |{| z|z~ | a zx
z{ } xz| } x| xyx
| x| x y| } x| {|
y| xy| | } | |} x |{
 ) | | x~| | || || ~ }
x| x ||{ }z | |x| x
a |}xz| "{xz | ||zzx |
|zxzx | x{ { ||

 "{xz |

1| x |x| |}xz| || x|


x y| } x| ||{  #  |z |
|| | x {xz | 
|| x{ |x{



    

| x } { x x| ~ x $"
 x z|x |{ } | 1| | {|x  '!# $
$) $)
|}xz| |x{~ | {~ y||| }
 % &  
xx|| 0| } x{ x }
#$(  
0| } +   
0| } x | zx |x{ } x z| ## &
# 

 
x| x x zx | x | |
} | |x|{  x| | {| } | ~  xzx |x|
{ 1| | {|x  |~ x|  | }
| x xx|| y|zx| | ||{ |
{|| x z|x | |~ } {~ x|
x| } ~x.~  y | | }
|}| | }

'x } +
'x } | x z x | | }
| x{ |x|{ ~ .| { ||x| x x
}|z|  y~ x x } | zx | |
} | z| | { {| zx~|  | ||x|
# z|z  |x~ z~ x{ xz{ |
| x } x ||x x|  y|zx| | x
| zx| } ||~ || "|x zxxz


-|z 
* |}xz|

*|| 

*|| x |x| } }z| | x|x 1|


{~ y||| xz || {xz ||
x{ x || .| x || | } |
xz || x{ | {xz ||

  


-xz ||
.| xz || x | || z |x|{   
 
 x || ~x~| xz|{ ||{zx | }
x ~ { || }~| 
~  " {||| | xz || x | {xz
xz || || { x{ | x ||
.| {xz || { zx|{ y { |z
xz || zx y| |x|{ y x x ||
~x~| y zxzx|{ y | }~ }x




||
U | {| } | { 5~7 Y Y
' '
| |z } | { 57
$ %
xz || zx y| z||{ xz ||
*
y |{z~ | { |z x{ z| |x ~|  x
 x x } x | || | | {x|| z|x|
}   |~ x {|z|x| { ||{ }
 ~ x || }z | |
| } | xz || x{ | {xz || {
zx ~ | x |
~  .| xz || z|x| } | { |z |{z|{
.| ~| x| } x |  ~zx }z

- x z|x| | {x|| x | | 
}~|  | x z|x| | xz |x{ z
|x|{  | || ~x~| 

# ~ | | {xz || { x
x xz | x || |x| } |
|z } x x| }   | {xz ||
x{  yx z z{||{ ~}zx x
~ | &x|  zx| | {z
{xz || z|z  {||~ |
|x{ } x 

'|x~ ||
*|| |x|{ |~ *x ( yx  *x *-#  
#y 1| | {|x  || x        

   


 
 

| } |}||z| } | || |x|| .  


  

      



| } || x| ||x z|z  ||
  
    
|x|| y| || x{ ~x~| ||
   
     
         
y| || xy
%!"!  ""! 
y| || {|}|{ x | || xy|
xy| xz x x | xy| | } ~  | xy| } ||

|| /x | x| ^xy| ||_ |{


zxx zxzx

!x~| ||
!x~| || }| |}||{ x ||| |
|| z ~| x | x x|z
||  x (x || x|{ x ~x~|
|| y|zx| | x{ || ~x~|
|x|| |x| | || {}}||z| y|||



 




| | x{ | x|| .~  y |
| || |}| ~x~| || | x ? 1x| x ? 1x| x ? || x ?
~ ~ ~ ~
yx   yx   yx   yx  

   

"|x{ "
.| |x{ } x x || }  ~ |
zx } x { "|x{ |x|{ ||  x{
{||{| | { {| .| }~ }x ~  *~ } {}}|| { x yx x | {zx~| {|

} | | } {}}|| |x{  |z| } {}}||
#"%" !"&
 | |x y||| ||  x{ |x{ " { 

#"%" $" "


&

#"%" $" "&

#"%"  "&
|| 

" | |x{ 57
| || 5*x  (7

U | { {| 5~7

~ | xzz||x } ~x 57

(x || |x|{ 5yx7 z |x
*x "|| | || x| |{ x | ||
}~| 

.| |x y||| || x{ |x{  }~|


 || x x{| } {}}|| { .|
|x{ } | {||{ | | } { 
x|x } | }~| | ~ } {}}|| {
| {}}|| |x{ x{ |z| {}}|| { 


-|z 
* |}xz|

" {||| | |x{ 


.| |x{ {|||{ y |x{~ | || 
| }x~| } |  x{ | z| | x|
|x{ \ || }~|  "|| } x ~|{|z {}}||z|

|x{ || y||| | |x~  x
| zx| }~|  |z|x z|x| }

| {}}||z| || } | {| } |  
|x~ {}}| } | x| | xzx
 
|x{ x y| z|z|{ }  x |

.| xzx |x{ " zxzx|{ y | }~


~  -x{x{ |{z  {| {}}||z|
}x z x{ {zx~|

|| 
" | xzx |x{ 57
| || x | }x~| 5*x  (7
R | { {| 5~7
~ | xzz||x } ~x 57
 | ~|{|z |~ 57
| { |z 57

.| { |z zxzx|{ y | }~
}x

||
| |z 57
+ | | } 57
 | {x|| 57

1| zy~ || }x |x{ " {||{


| }~ }xz .| || |x||
x{  | {}}||z| ~|{|z |~ y||| |
|x~  | } ~ | +
x{ | {x|| } |  x{  

.| z|z {| | {}}||z| {x||


zx|{ y | {}}||z| | {xz || #|x{ }
zxzx~ | z|z } | }x | zy
zx y| |x{ x ~x || x|{ 

xzx |x| 
   

 } | x| | x | |  }~|  
x|{ x |  | }~ {xx


+      
  yx 
  yx
 

 
&{ 1x| x  


-z {x||  
zx~| {x||   
~  -x{x{ |{z  {}}||
.| {}}||z| |~ y||| | || | {| } z x{ {zx~| x|
|| ~x~| x| x|{   

1| x| xy| zxzx| | |x{ } | 

 x|x } | zxzx | || {}}||z|


|x|{ y || ~x~| xy  | x
x | xzx |}~ . zx } x
|xx  | {|x zx|{ y | {}}||z|
|~ y||| | || ~x~|   x{
|z{ zx|{ y | {}}||z| {|
z  zx|  


-|z 
* |}xz|

#} | || ~x~| x| xz|{ x | x| ~|{|z


|~ } x {}}||x || ~x~| |{ } |
|x|| |z|x z|x| } |
{}}||z| |~  # z|z  |
 || | x{ | x| xz|{ x | x|
|| | }| x| | x| {x||
|| | } x }|{ }x |{
{||| | |x{

}}||x || W
.| {}}||x || | || {}}||z|
y||| | || |x|{ x  |~ |
|| { xz x| x | }}||x
|| |x|{ | x| x ||  


-| || 
.| | || | xz || z ||



x x | | | | x| ~
-| || x z{| | {|x   
 x z|{ | .| | ||  "x
| | xx y| ~| x | |~ }   

| | {| || x | | }|{ 
~ .| | || "x x z|{ |
{ x{ zx y| ||{ | x y| ~| x | zx |~ } | xx

xx x{ (*-"
xx zz ||| x | | || x  } || x|
| x | x || } | { || }~| y  xz } || x|
 x{ 
x
1| | || | z {| { y|
| x || } | { }~|  | y
{ x x yyy| } .|| yyy| zx|
#{~ x yyy|
{| | | || | }~|  |{ {
x{ ||x| z x| || || zx
~  # } zxx yyy| | yxz } || x|
y| {xx~|{ y | ||~ ||x|{ .| x| } {xx~|
| || {||{ | || } | x|x
-x| || | |x zxx x y| S

x{ y| | |x zxx x zx 
|| |z  3UHVVXUH>3D@ x y x  } || x|
y  xz } || x|

xx {|z|x| } + x{ |x{ " z |x{


S
|{z|{ |}xz| || }~|  xx~| S
9DSRXUSUHVVXUH
{| zxx }| {||z|{ | |
{x|{ || zxx | z|x|{
,PSHOOHULQOHW ,PSHOOHURXWOHW
| x{ yx z zx z|| {xx~|
y|x~ x} |x x{ |{~ ~  ||| } || ~ x z|}~x

xzx } | } zxx
. x{ zxx | }~ }x |{ 
zxzx| | x z |x{

x \ 'x z |x{ 




   


"y \ |z || x | |  |
~  * z| | zxx|
||zx x z } || }~| 

"} \ z | z |

(*-"  (| *| -z "|x{  y| |x{ x |
(*-" z| x | ~| |xx } || }~|





~  (*-"z|


-|z 
* |}xz|

.| (*-" x| {zx| x || | !# ! ! !


xy| z|x| xy| xz x } x } x| #  " " $!
z  xy| |x || || }~|       %
 

   


(*-" zx || y| x|{ (*-" ||{ (*-"x
xxxy|     
  

(*-"||{ .| ||{ z |x{ } |    


(*-"xxxy| .| xxxy| z |x{ | |
~  x|z || xy| |x ||

.| (*-" x| } x {|||{ y |~


xzz{~ #-) x{ x{| | }~
 
x .| z |x{ |{z|{ | | } |   

  
x x zx || 1| | {}}||x || x 



{|z|x|{ y  | || x | a z {| 



|x{ x{ | (*-" x| } | {|}|{ .| 




|~ ||x|{ x {}}|| } z } | yx 





} | (*-" z| | |{ 


 

 

* x| x 1| | (*-" z| |xz|{ |
 

|| } zxx ~ x | |x{ } | x 

 
{|z|x|{ y  







" \ 0x || } | { } | }x  
 
 
zz|~ x || } x| ~ x|{ 
~  -|  {zx } |
" \ -x}| }xz " {||{ | x x{ x {}}|| x| x x| x
 z|z  z zxzx
x| y|||  x{ x{ } { zx~
~x  || }~| 

 |zzx |
+>P@

1| x |x| x |}xz| ||{


136+
x x~| } x| #  |z | ||
&{  x
| x ||zzx x| *| z
x~| z| x{ | }xz
0||{ {

4>PV@

~  .zx (*-"z| } { zx~ ~x

*| z *

* x| x{| } ||x z| || ~| 
.| | z } | {}}|| z|
{|~x|{ | }~ x
*
* .| | } | x  x|
x | x } | | z| x
x } *

* .| | | | |
} |  )}| |}||{ x x} |

*" +\GUDXOLFSRZHUsWKHSRZHUWKDWWKHSXPS
x}| | { | x| } }
x{ |x{

| z | | | |
z x |}| * *| |x|{
1 1

*"

}z|z z|z  x z|
| |}z|z } | x K*  a |}z|z
{|||{ y ||x }xz } xz| | x| }
| ~ | || x{ {}}| {|~ x{
| }xz| ~| zx z~ }
y x{ ||zz  | x |}z|z K. x
z{| | |}z|z } | 
~  *  {zx } {}}|| |
z ||

#} x }||z z|| z{|{ x | | |}z|z


} | || x x zx| | |}z|z }
| }||z z||


-|z 
* |}xz|

0x~| /

&| || {| } ~ x {xz | &


x~| {| x z| # ~ x ||zzx zz & < 0 .||x|

0x~| |x|{ 0 x{ zx y| || {|z &


z|  |~  0 yx| \ x|x~ z|  ( < 0 -~|x|

|~ ||zz } | |z (x x| *


|{   x~| 
~  'x  |~ 0
.| x }  x {}}| } | z
x| "|| | z x } |
 || x| & & & x{ x |x ( |{|
|| } | x |z| |x z|z *
x{{|{ | | x | || }~| 
.| x~| y||| x x| & & &
x 0 0 x  | x~| y|||
} x 0 0 x  0
x } | x| & & & 0 .| x~|
.| x~| y||| | } | x| x{
y||| | } | x| x{ |x ( 0
|x ( 0 .| x y||| |
.| x y||| | x|x| x~| x{ | x|
x|x| x~| x{ | x||x
|x x~| {|||{ y | }x
x~| 
~

| | } } ||zz x{ |x|{ x||


 .| x } z| x ||zzx zz {||{
| |{ x~| x{ | |xz| |{xz|
| ||zzx zz

*| * x{ | }xz zJ * 


*| z {||{ } ~ xz| |
z|   x{x{    ~|x|  |~ 0
| | }{ y |x~ | x~|
x{ z| x{ y |x{~ | x| zJ |
 x|x| zJ | x| x~| y|||
 ||x|  |~ 0
x~| x{ z| zJ x |}||{ x | }xz
*  .| | z * zx y| zxzx|{ y |

}x  ~ {||{~ || |
x ~|x| x ||x| 

 &{ ||

1| x| x~ | zxzx || x|


|| || ~ ||{ xy | {
{| x| | ~ zxzx &{ ||x|
{| x{ |x zxxz

$~% zx~%
 
| x|
.| { ||x| |x|{ ? |z %  

%| ?  x|| ? x{ % x| xzx |
x| y ? | }||~ } x| x{ %  
| xy| | x ?  | | y|
||x| .| zxzx y||| x|| x{  

|z  ?  ?     |z| | }||~ }
x| ? x{ ? x{ | y~ ? x{  

? 
 


   

.| {| |x|{ ~ ~{ ~  "|x zxxz  ||x| } ||| ~z

.| |x zxxz |  z x{{x ||~ x


{ zx zx | x | |x|{ &{ |x
zxxz {||{ ||x| || }~|  .
|{ | } x~ ||~ |~ |x~ x
z x{ z~ '|{ { |~ ~z x{ x| }
xz x| x | |x zxxz x | x| |z|
~| } ||{ x | x| x }
||~


x|  -| {xz

-|z  -| zxxz|z

 -~| |xz|
 |{ x{ | |

-|z  * z|z|{ || x{ xx|

 * xx|
 * z|z|{ ||
-|z 
-| zxxz|z

*| |z  | {z|{ | yxz


zxxz|z } |}xz| z| # 
zx| | |x| | |}xz| z| x
{}}|| |x~ z{ x | x x zx |
zxxz|z x | }z | |xz
y||| x x{ x |

 |a zxxz|z {|zy| | |x y||| |


} + x{ |x{ " | | .| | zxxz|z
{||{ | | } | | 1| {~
y||| | |{ x{ | |

t |{ |
x| zzx~ | | |x~ xz{~ ~  .| } ||z y||| | z| x{ | |
zxxz|z | { } |
| || | x |z| | }z
| | | }~ x| |z | |

t )| |
x| { x | | x| | #
z | | x {|x  y | xz
|x{ x{ |z| | }z | | | x{
z|

1| | | zxxz|z {x | x|
| } z{x| x | z| | {
} | zx y| {|||{ x | } ||z
} | z| || }~|

)| x{ z|{ | z } |xz| x|


| |x |zx~| |z z|z|{ ||
xx| z x~|| x}}|z | | zxxz|z
.||}| y|}| | z| {z |
x{ z|{ | | y|} {|zy|  ||
|xz| x}}|z | | zxxz|z

 -~| |xz|

| z| x | z| x |xz|
x~x | { } z |x{ x |x{ xz
|| ~| z| | | .| }~
}x |{ zxzx| | |x{ W"

W"   +

x zx z {||{ | z|


| x{ + | } ~ | z| 
x|x } | }x | |x{ x
| } |z{ | - } y| | |
} x | x yxx |{z | ||
zz

,|xz| z|z|{ ||
.| x |x{ x | z~ } ||x
z| z|z|{ || | } |x{ |
x |xz z| ||| ~|   x
| z~ } x x| x{ x |x |zx~| #} |
{ z{| | |x{ | ~ y||| |
z| | x |x{ W" zxzx|{ y
x{{~ | |x{ |

W"  W"  W"

|| }~|    | |~ z|
x{ x | { y| } | |
x z|{ |  || z| 
x|x } | }~| | |~ zxxz|z
}{ y x{{~ | {{x |x{ | W" x x
~| } + &|| | }~|  x | |
|xz| | | | ||| | |~ |
z| y|

)LJ7KHKHDGORVVIRUWZRFRPSRQHQWVFRQQHFWHGLQVHULHV
LVWKHVXPRIWKHWZRLQGLYLGXDOKHDGORVVHV


-|z 
-| zxxz|z

,|xz| z|z|{ xx|


x z|z~ z| || z|z~
z| xx| | x | }x |
zxxz|z .| |x x z| x|{
xx| |{z| | x |xz| | | x{
||y | |x{ 
.| {}}||x || xz | z| z|z|{
xx| xx | x| .| |~ |
zxxz|z {|}|{ y x{{~ x | z|a
{{x } x| } x |z}z W" ~|  
x |  x x| x{ x |x |zx~| z|z|{
xx|

.| |~ } zx y| zxzx|{ y | }~
}x } x |x{ |x| W"

+  +  +

~  | z|z|{ xx| |{z| | |xz|


| | x{ | x | }x | zxxz|z

 |{ x{ | |
 ||{ | | x|
| } yxz | |{ x{ | | # 
|z | |x| | yxz zxxz|z } ||
|

|{ |
.zx z|{ | x| | z x
|x ||~ |x~ | xz{~ |
z| z~ | |z  z }|x| } ||
| } z|{ | x | { zzx|{ x{
| zx| } |x ||~ "|x ||~ }xz x |
| x x

|{ | x| zxxz||{ x | 
x x| |z| | } }z |
z x| ~||x|{ y x | z| ~| 
 x z|xz {x~ } x z|{ | ||
x x zzx| x| } x |x| ~ x ~  -z|xz {x~ } x z|{ |
z x| x |x |zx~|

 || z| ~||  | | x{ }~
| x | zxxz|z x | |  }~|
 .| ||{ || x z|{ | z |
| z| x| x xxyx x~ |
+"   x{ zxzx|{ y | }~ }x

"   +

 | }x x{ z| {zx| | ||
xxz~ | | | } {
~  .| | zxxz|z } x z|{ | x
)| | xxyx x~ 
)| | x| | || | |{
x { } | x| |~ x|
| ~x | {x z|
| # z | | x {|x 
y | ~|{|z |x{ } | { x{ |z| |
}z | | | x{ | | z|

1| {~ y||| | } | |

t )| | || | x ||{ ~|{|z }


|
t )| | || | x ||{ ~|{|z }
|~x|
~  )| |  | ~|{|z }

)| |  | ~|{|z |x{ ~|
  x zx | |  | ~|{|z
}  x x x| } x y|x x x
~{ || x } x | } x y{~
} x | x {| x |x{ ~| x
| ~|{|z |x{ } | x|  -|z{ |
x {| | |z|x |x{ |z| | x
}z y||| | x ~ }~
x| |z "} .| || {||{ | x
} } || }~| 
 

~  -| zxxz|z ~||  | |}xz|


z| } | | | }~| 


-|z 
-| zxxz|z

.| }~|  x x | | x| }
} | x |x{ "x } | | x
| ~|{|z |x{  ) | "   x| x
} } | y|x x | } x .| |
z| x  x | | | } x| | |
| }z "} x{ z|| | | | |
z } | 

- | } + x{ | | x| xz | ~  )| |  |~x| ~|{|z }


||{ } | |z}z | . }xz x |}
y } { x |  x~| } |x{
x ~| ||  ||x x x| } |x{
x x| || x{ z|| x | ||~
z

)| |  |~x| ~|{|z }
 zx |x| } x | |  |~x|
||{ |x{ x || y| | |~ x x|
| .| ~|{|z |x{  } | x| x
y~ x| | z|  | x| } x~
| ~ .| {}}||z| |~ y|||
| { || | x x{ | x{| } | x|
|  | x } |x| + "|| |
|x{ }}z| || | ||{ } + |
z| .||}| | x y | |x{
| || " {| z|x| } | }z
~  -| zxxz|z ~||  |
"} | | .| |  }~|  |}xz| z| } | | | ~| 
x{ | | zxxz|z ~||  |
|}xz| z| x|  }~| 

.| |~ | zxxz|z x xxyz z|


x~ x | "x| | 

.| } | | {||{ | { || |
x #} | |{z| | x| || | x | |~ 
|{z|{ . | x {}|{ | zxxz|z
x{ x |{z|{ } | | || }~| 

-|z 
* z|z|{ || x{ xx|

. ||{ | x |}xz| x |
x| }| z|z|{ || xx| #  |z |
zz|x| || x } z|z~ 

 * xx|
* z|z|{ xx| x| }| |{ |

x | ||{ } ~| x x | ~|
zx
x | | x xxy| } ||| x{ |
|| ||| x| | y z~ | xx|
z|z|{ x{ }}

(x z|z|{ xx| x| } x |


x{ | "|| | zx y| } {}}|| |
| ||x zx y| ||{z|{ x{ ||y
x| {}}|| |}xz| z|

. x{ yx zzx  z x|
~ x | x| z|z|{ || 
|xz } |  .| |~ |}xz| z|
} x | z~ } ||x xx|
~  . z|z|{ xx|  x
{|||{ y x{{~ | } z | {|| |}xz| z|
x x |z}z |x{

~|   x |  {|zx
z|z|{ xx| .| |a x |}xz|
z| {|||{ y x{{~ + x{ + } || x| }
|x{ z | x| } y  "" 
|zx| | x| {|zx | |~ z|
x | x| x |x{ "x y | x }
+x z| x y~ |xz x| } |x{ | } |
{y| x } x ~| |x

+  +  +  +  +


-|z 
* z|z|{ || x{ xx|

~|   {}}|| |{ z|z|{
xx| 1| x{{~ + x{ + } x ~| |x{ "" |
|~ |}xz| z| {|}|{ .| xz|{ x|x
}~|   x * |
x |z}z x|x y|zx| x x ~| x |x{
x *

-||{z|{ z|z|{ xx|


.| zyx } z|z|{ xx| x{
||{z|{ x | |} x xz||
|}}z| |}xz| | | } {|x{ x|
.| |{ z z|z  x|  ~  . z|z|{ xx|  |x
|| y~ | &x| zx|  | |}xz| z|

{z ||{z|{ {|x

 ~ | z~ } ||{z|{
 | x| |}xz| z| z| x {|
|}xz| x~| || }~| 
)| ~| xy| z| | ||{
|}xz| + y| + y x|
|x| || | |}xz| ||{|{ #} y
x| ~ x | x| ||{ | |~ z|
| | x~| z|  }~| 

*|x| | x | { {zx|{ x + yx|{ ~  . ||{z|{ z|z|{ xx| x| |


 | ~ x } ||{ "|| | .| x~| z|  | |}xz| x |{z|{ ||{
{ zx x y| xz||{ | x|
~ x |{z|{ ||{ . x  }~|
 x~| z| .| }~| x zx| |
x  |~x{ |}}z|z .| { }
| ~| ~ x } ||{ | x yx{
|}}z|z x y|zx| | { zx|{
}x | z| .| x |}}z|z z
~| | x |{z|{ ||{ x~
| x |}}z|z } | {|z|x| ~
x |{z|{ ||{

| ~ | ~| xy| xx |
||{ } x{ |x{ || |z|x {|
|}}z|z x{  ||~ z | y
x | x| | 1|| |
{||{ | xzx | zxxz|z x{ | ~  )| x } ||{ zx|{ x |{z|{
| | ||{ #  zx| | x| | ~| x |}}z|z

 * z|z|{ ||

(x z|z|{ || x| |{
| || x ~ || ||{ . x
| zx| } x~| z x| yx|{ |
|| z| | | x~| |x |  ~|
  | |}xz| z| } {|zx
z|z|{ || .| |~ |}xz|
z| x{| y x~ | {y| |x{ } |xz
} x| | | } z{x| . |
x z|  | {y| x |x{ "x x{
| x| x } +x x |xz } | ~|
 ~  . |x |{ z|z|{ ||

)LJXUHVKRZVWZRGLIIHUHQWVL]HGSXPSVFRQQHFWHG
LQ VHULHV7KH UHVXOWLQJ SHUIRUPDQFH FXUYH LV IRXQG E\
DGGLQJ+DQG+DWDJLYHQFRPPRQIORZ4 4

7KHKDWFKHGDUHDLQILJXUHVKRZV WKDW3LV WKH


RQO\SXPSWRVXSSO\LQWKDWVSHFLILFDUHDEHFDXVHLWKDV
DKLJKHUPD[LPXPIORZWKDQ3

 {z|{ |z  |x zx y|
x zyx } {}}|| |{ } |
||x ||{z|{  .| zyx } 
x }|{ ||{ x{ x ||{z|{
~  . {}}|| |{ z|z|{ ||
z|z|{ || }| |{ | || x
~ x{ zx || ||{ .| }|{ ||{
| | { | ||{z|{ 
| z|{ y x || x|
*. || }~| 

~  x |{ }|{ ||{ x{ ||{z|{


z|z|{ ||  || x| *. ~||  x ||{
z| x~ | x | || zx x | | } *


x|  *|}xz| x{| }

-|z  {~ |}xz|

 .| z
 x z
 '{}~ || {x||
 -||{ z
 x } x{| |{
 )|x |} z|z } | |
 x| ,|x| | z | |
|{z|{ y

-|z  -||{z|{

 x || z
 x ||x| z
 x {}}||x || x zzx~ |
 z|x|{ {}}||x || z

-|z  {xx~| } ||{ z

-|z  {xx~| }  |~x|{


}||z z||

 *|}xz| z| } ||{z|{
 -||{z|{ {}}|| |

-|z  ||z z||

 xz }z x{ zxxz|z
 | } | }||z z||
 -|zx z{ |~x{~ }||z z||
-|z 
{~ |}xz|

1| ||z~ x } x ~| xzx



x z| | || | { |
~|}}z|z x|x } |  )|| | | 
z } | |z|x ~ \ || }~|




 

"|| || y| ||z x x
 
} | { y|zx| | ||| } 
| | zx~| | | z| zx~| | |  

 
      
  
.||}| zx y| |z|x x{ |
|}xz| x || | zx~|{ ||| ~  1| ||z~ x x z| x
|| | {  | ~ |}z|z x|x

.| z |{ } zx~~


|}xz| x|

x .| z
x x z
x '{}~ || {x||
x -||{ z

~ x |{ } x{~ | |}xz|


yx|{ x |xx } | x || ~||
 | |x~ z } |   |{ zx y|
zx|{ z {~ |x xx } |
{}~ || {x||\|{ )}| ||{
x| ||z|{ } | | x{ ||}|
|z|x | |}xz| \ } } x | }
x| x{ | xzx | x |x{

) | }~ x~| zx |x{ xy | }
x{~ |{

 .| z



 | x| xz|{ ||  | x~
y| x{ | {  .| ~ | x
|{z } } || }~|  .| | x| x{{  
 
|xz| | | x{ x| | | z| x
~|  1 | | x| | } +
1 | | x| z|z|{ ||  | 
| } |{z|{ + 


.| x| zx y| |{ | x } 
     
x{{~ | x| | x y| } | |   
 
|{ # | |x| | } || y| ~| x 
+ || } | | z| z|| }x \ |x~
  
|xz| x x | | 1| | |}xz|

x{|{ y | ~ |{ | {|| x
~| |x{ x |z|x } x xzx |

  
~  .| | x| z|x| | |xz| | |
x{ z|| |{z| | 
#} | x{ | | x| x| |xz|{ y x x|
 | y| xy| || | x|{ } +
y x x | |x{ x{ z|| x | |
z || ~| 

 x z    


#|x{ } z|z~ x x| ||  |  x
yx x| xz | zx y| |{ x{ |    

|}xz| || }~| 




x|{ | | x| x~ x yx x|
| x z|x } +* | 

{||{| | | zxxz|z .| } +* 

| } | } | | +- x{ | } | 


yx x| +*  

  
    
 


.| yx x| {z| x x } |x{
|{ | | "x  || }~|  | |
| ||{ } | | | | ||
x~x x z|{ x| &| x | zx|     
| ~ x| | ||{ } +- zx y| | y x ~  .| yx x| yx| x } | } |
x| x{ yx x| | | y|~ x | x{ ||y |{z| | | |

} x{ z|| x | ||~ z



-|z 
{~ |}xz|

 '{}~ || {x||

| x } x{~ | |}xz| } x z|}~x


y {}~ | || {x|| |
|x~ |{z~ | {x|| x{ z||
|{z~ | |}xz|
)y |{z~ | || {x|| zx y| 
{| | | |x~ x|{ |
~ x{ yx |{ z zx y| zx|{
{~ |x {}~ | || {x|| x
y| {| x{xz| y|}| | x|{
z|z  |z| .| }~ }x  |
|x y||| | || {x|| x{ |
|}xz|

*|x| | x | }x x| x || } x
{|x  # xzz| | |}}z|z {|z|x| 
| | || {x|| |{z|{ zx~|
} | || {x||      | |}}z|z

|{z|{ y x }|  .| {|~|| } |}}z|z
 
|{z {||{ | x{ { z|z
|z}z z| } {|x 

 x|x } | }x | } x{ | |x{


zx~|  | x| x \ x | x zx~|   
} | || {x|| |z{ | .| {
~  x~| |}xz| | | ||
}~ | }x x| xz|{ x x~
{x|| |{z|{
| x~  .| zx~| | z
}~ | {x|| zx~| } |

 -||{ z

.| x |{ } z~ | |}xz|


x | z|  |z | xxy| ||{
z |{ -||{ z y |x } x }||z
z||  {y | |}}z| x }
x{~ |}xz| ||{ xxy| }
|||



.| }~ |x x  z| xx
 | zx~| } ||{ } z|}~x }|z|
| |}xz| } | 

.| x}} x x z{ x | |
zxxz|z |x zx~|{ } x{ x{ }
x xxyx ~  \ || |z  .| |
|x }|| | x | |}}z|z
zx~|{ x | ||{

.| }x }~|   x | } +


x | ||{  .| |x{ "
x | |z{ | } | ||{  ||x
| | * x | { | } |
||{ # xzz| x |{z } | ||{ | x
~ }x |}}z|z .| |}}z|z x |{z|{ ||{ 
zx y| |x|{ y | }~ }x z x{
} ||{ |{z { } | x ||{

x } ||{ |z|  z |
zx x| y |{z~ | ||{ x| x| |
|}}z|z } | }||z z|| x{ |
xzz

~  -| zxxz|z } {}}|| x}} |x


-|z 
{~ |}xz|

 x } x{| |{

( x | x| {|zy|{ | } {}}|| x }


zx~~ | |}xz| } x z|}~x  |
x| x x  | {}}| } | x|
1| | z{| | x{ |}xz|zx~~
{|z| x |  | zx y|| | |~ +"
zxxz|z }  {|z| x{ zx| | | } |
{}}|| |

.| z x y
.| ~ |{ | x x| z|z|{ ||    
 x  || }~| x . z|z xz x
x | x zx~|{ x |x{ y |{z|{
} |}xz| .| z| " | x| z| x{
| z| z|~ | z|| |  " || }~|    
y ~  .| x| z|z|{ ||  x

x z
1| x x| z|z|{ xz |  || }~| x x y
 z|z xz x x | x |{z|{ x  
|x{ x{ x +"z|  x zx~|{ zxxz|z .|
z| |{ y| | |x x x{xz || }~|
y

   
'{}~ || {x||
~  x x| z|z|{ xz |
.| || |{z~ |{ {| x |x
z| ~|   | |{z|{ +"z| "
x{ | ~x z| zxxz|z "

-||{ z

.| ||{ z |{ }~|  | x
| +"z| x |{z|{ |x{ x{ } .| zxxz|z
} | z| |x | x| "|| | ||{  
|{z|{ | z| y|z| | }x x | |x{ ~  #|| {x|| x{|
|{z|{ x ~| {|~|| x | }

x|{ | | |{ | ||{ z 


  
|{ x x| y| ||{ | |}xz|
x~| } | xy| | x +"z|
y z|x~ | ||{ xy| x ||{ || }
|  || | "z| }~|  #}  |
   
z |x |{ | | } | x
y| x| xzz ~  -||{ z| z|z|{ x

 )|x |}}z|z } | |

 | ~ x{ | yx |{ {z| |
{xz | | | x| *  + " .||}|
| |~ |}}z|z } | ~ | |{z|{
,|{z~ | || | | x~| } 
{| x| x ~}zx xz |
|}}z|z .||}|  |{ {| x| x
|~x| }|z| | |x |}}z|z } | |

.| |}}z|z } ||{z|{ x}}|z|{


x |{ || x ~ x | ||{ |{z {|
{ y| } | x ||{ &x|  |
{z| x | |}}z|z x |{z|{ x }| 
 x{ x {| x| x xz | |x
~ |z } ||{z|{ 

 x| ,|x| | z
| | } |{z|{ y
# x ~| xx | } x y| |{z|{ }
+    # | ~x x~
+   x{ "   | | |
| |x|  ||{~ | |{
} |}xz| x{| | | z
|{z x ( | x| x x 
| | z x}}|z |xz } | |}xz|
x{| |{


-|z 
{~ |}xz|

.| z   '{}|{ {


 )~x {
.| | z |{z|{ xy |

| } { .| ~ | x z|x|{ |x{ 


|| }~|  .| x | z }

| x x | } x | x } #}
 | zx| | | z z|x| y|zx| 


} | | 


x z

 
. |{z| | } | | | x| x |{z|
~  ,|x| | z  | z
| |x{ } |  . zx y| {| y
z|x~ | } |   x|x } }~| 

 | } z|| z|x|{  z  '{}|{ {


 )~x {
| x z|x|{ | z }

xy| | ~x z .| {|~|| } z|x|

{||{ | | x{ | {  .||}|
| zx| | z|x| * |x | x{ x 


}| x| zx| * ~ || {|z|x| x | 

'{}~ || {x||

1| | || {x|| |{z|{ y | } x{ 
 

~  ,|x| | z  yx z
| |x{ } | {  x } |{z } 
| | z |{z|{ x{ }

~x z || }~| 
 '{}|{ {
 )~x {
-||{ z 
1| | ||{ } | z|{ y | }

x{ | |x{ x| |{z|{ || }~|  ||


| | z x |{z|{ x{ } | 


~x z 

1| z| yx~ | y| y| |}}z|z |



 
|| {x|| x{| |{ | ||{ z
~  ,|x| | z  {}~ || {x||
|{ } | x| | y| |{ } |{z~ |
} | xx 1| | x |x| 

x }|{ {}|{ {  | || {x||  '{}|{ {


x{| |{ | y|  "|| |  )~x {

| {|x  x xx || | } {|x{

x| | ||{z|{ | y| 









 

~  ,|x| | z  ||{ z

-x
~|  ~| x || } | {}}|| x{|
|{ x | x| |||{ | | |z
xz |{ x x{ z z x| y| x|
xzz | z~ x x{| |{ } x
|

($ $#(#)$)' &')!(#%&$&"# *&!!#, !(*%$+&


 )'("#( )&*+!!* $(%)"% $#')"%($#,
%$''! ','(" &)($##!$+

&$((!$#(&$! ' ) $#'&!,



&)


   



 

,%''$#(&$! ' )## $#'&!, 


)&* &)
 



 

$,#"%!!& $ )# !(!,&)  


"(&




%$#(&$! ' )# !(!,&) 

   





~  xxz|z } x{| |{


-|z 
-||{z|{

 {z|{ | | |z ||{ z }


x |}}z| x } x{~ |}xz| |
| #  |z | {z | y| }
zy~ ||{z|{  *#z|
x{ | |x~ | xx|| z x
|| {}}||x || x{ ||x| ) |
}~ x~| | {}}|| y| |||{
y |x|

 x || z
 x x x| } x y|x x
{}}|| x x y{~

.| {|x{ } x x| x~ ||}| |
| zxxz|z x| xzz{~ | ||{
} | z} x{ ||~ x~ x zx
|| |z|{|{

     

 x|x } }~|  | x ||{

z|{  x *#z| .| *#z|  
 
zx| | ||{|{ || |  | xzx

 

||  |x|{ y x || x| *. 
#} | xzx || ~| x | | | 
 
*#z| |{z| | ||{ x{ z|| | 

|}xz| } |   | ~|  
x x| | | } |{z|{ } +x + 
.| z| || x | ||{ } | 
|{z|{ } {| || x | ||{

{zx~| ||  | .| xx
|| x | || zx | } 
x~| }  +x .| || {||{| 
| ||  | y|x x #}  zx~| | *#
z| x{ | ||{ } | x xx
z|{ | |     

~  1x| |  ||{z|{ {||~


zx || | |

 x ||x| z

*|}xz| x{| y |x } ||{ z


xy| } x y| } {x xzx ~| 
 x |  x |z {~ xz| z
x y| x|z|{ || ~ x {z

.| xz| z|{  x| x  } x z~


x . || x | {~ xz| |
x{ z|{ }}z| | | | ||x| x
y| | x x zx ||   .| x
||{z|{  z|{ y x *#z| .|
*#z| zx| | ||{|{ ||x| | 
| xzx | | ||x|  z |x|{
y x ||x| x| .. . | x x }|{
| zxxz|z x{ ||}| | { } |
zx|{ | z| y||| + x{ +x .|
~| | |x | xz| | ~| | }
~  -|  |z {~ xz| x{ ||x|
} z~ x| ||{|{ || x | | | z|{ zzx |~ x zx | | ||x|
||x| | x x zx || } 

 x {}}||x || x
zzx~ |
zx~ | z|{ | || zx|  x|
||{ } ||{z|{  # x
x{xx~| x zzx~ |  xxy| |
zxxz|z x| }|{  x {}}||x ||
z|{ zzx  || }~| 

.| }~|  x |x~ | z~ } x |x


|zx~| || | zzx|{ x| |x|{ x{
{|||{ || z| |~ x{x y x ||{
z|{   z x| z|z|{ || x
|xz z| z | } xzz{~ | |x
|||

.| z|{ xzz{~ x zx {}}||x


|| |x|{ xz |  . |x x
| | }}| zx {}}||x ||
| +x~| } \ +x {|z|{ x | x |
~  "|x~ |  ||{z|{ zzx {||~
}~|  zx {}}||x || | |


-|z 
-||{z|{

 z|x|{ {}}||x
|| z  

  

.| x }z } | ~ | }~| 
xx x zx {}}||x || xz | 

z x| x | z| |~ x{x # {|
{  | x y| xy| |z| }z |

| |x |zx~| }~ |z

 
 | {z|{ zx|  | || x |  
x | } |z{ | .| y| x
z x zzx x | | | | 
| }~| ~ x | {|| x
|| z z|x| | | } z|x| 


1| | {|x{ } }  | || | |
| |x |zx~| }~ |z x| x | x{ 

| | x || |x| x |
 
z x| || "|"} 1| | {|x{ } }
z|x| | || | z|x| |z{ |
x{ ||}| | x z|x| | {|||{

  
|| {|z|{ x | y| z| }~| 
~  "|x~ |  ||{z|{ zzx
-z x ~ | zx y| {|~|{ {}}|| {||~ }z|x|{ {}}||x || | |
x

t .| {}}||x || x| xz|{ xz |


x{ | | ~  }z|x|{
{}}||x || z \ *. || }~| 

t .| {}}||x || x| xz|{ z| y| |{z|{ x x ~| } || x |


| z| x{ | | ~  |}xz| || | ||{ y| z| }~| 
{}}||x || z \ *. }~  .| |z{  | x| xz|{ |
xx || | xx z y|zx| |
.| x{xx~| } | } x |  | x| x y| x|{ x | xx | x{
*#z| | ||{ z x{ | x| x| | |z|x zxy~ x y| zx|{ x | .|
xz|{ z| | x| x~ | xx |x |}xz| }  | | | x |
. xx x| y| ~| | || | } | .| x| |x| | {}}||x
x | ~|  || |z  # {| ~| | || x | z| x{ z|x| xxzx
| x{ ~ z| {xx x| y| |{ } | z|x| ||{ || {| |z|
| z| .|| {xx x| |{ zxzx| | } | z|x| || | | | |z
x{ || zxzx|  z | | "| x

-|z 
{xx~| } ||{ z

 x~| y| } xzx { || } ,|{z|{ ||~ z


|}xz|  x {x .||}| x -||{z|{ | | x } ||~
x{xx~| y| xy| x{ | a |}xz| ||{|{ | x |z}z y x|{ |
| | xxzx  | x |z  z |{ }||zz|{ ||{ z
| y| y| x } x{x~ | |}xz| } }}| | ~| |}}z|z x{  | |}}z|
x z|}~x y |x } ||{ z } | x } | ||~ || |z 
 -||{ z } x x{| y x
}||z z||  & }| zz| z
 | || zx|  | ||~ z } x
) | }~ x~| | x| x x ||{ x | x }xz z{|~ x a }|
z|{ z|{ x{ | |  y|}| zz| z .||}| x || | |x~
| {~ x }| | { } ||{ z | z } x ~ | x | | y| ||
|| | x{xx~| x ||{ z {| x{ }}z| |x |x{ | ||~ z x{
| y||} x ||{z|{  }||z  | |x~ z x|{ }|{ ||{
z|| }}|  y| |{z| | ||~ z y
 x ||{z|{ 

*|z } | ||
|~ |}}z| | | x{  { x
| ||

#z|x|{ z}
-||{ z {}}|| ~ | {|
z|x|{ z} # x| | xxz
|| z x{ }x } |{z| x|
x| x{ | ~||x|{ y ~ || |
| # zzx~ | ||{z|{
|| x | {}}||x || | x x ||
x | | | |{

,|{z|{ | z
-||{z|{ zx |{z| | ||{ }
z~ x{ z x| | |
.||}| | x | z zx y| |{z|{
%x|



-|z 
{xx~| }  |~x|{
}||z z||

# x xzx  |~x|{ }||z


z|| |  .| |x x ||
zy| | y||} } x ||{z|{
-|
 | y||} ~x|{ } zy~ x 
x }||z z|| x *#z| x{ ||
x x ||| x| | ~|
*#
\ || }~|  z|

  |~x|{ }||z z||


x  y x | z xy| | xzx ||z
z||
y| x| ||~ x x| } xx
 |~x{ |xz||  |~x|{ }||z
z|| x| {|x x | zx y| x|{ |x{ }
[HG VSHHG x |x xx z  x
||{ x | z|z x{ x }~ } +
|  |~x|{ }||z z|| | |
| x{ | | |x{ } |x 
| x| x { x{ | ||{ |
|| x}| z | | |xx

1x } x y|} {|z } | x{xx~| x


 |~x|{ }||z z|| x| }}|
*.
x x
*  |~x|{ }||z z|| x| x
|x x x }|{ ||{   x| {
z|z | | ||zzx | x{
| |x .| x}xz| x x{| x
~  *  |~x|{
|x z|z x{ x{| }||z z|| x{ || x|

)x ||~ x~
|zx| |  | x{ | }||z z||
x| {|~|{ } zxy |x } |
| |{z| | z

)| |
)| | zx {|  }||z z||
x{ | z xx }xzx| | {|~
||z {|~ z|{| x | x x|xz|
x{ |z| z|{|

1{| |}xz| x~|


*  |~x|{ }||z z|| x| x
| yx{ |}xz| x~| z |xy| |
|} |}}z| {| {| x|{ z{ x{
|| x {| x~| } ||| . }||
zx |xz| x }|{ ||{ |  x
|}xz| zxxy|

.l0 


 *|}xz| z| } ||{ 


z|{ 


( | x| x z| x  zx |x{ x

||{z|{ a |}xz| z|



~|   x |x| } | |}xz| z| 

} x ||{z|{  .| z|  | +" $.l g0


z| x{ | |z{ z|  | z|{~ | #

.j*0
z z|


 zx | | |}xz| z| x| ~| } ||

{|z|x| ||{ } {  &||

| z| ||||{ y } | x $.l g0
||{ x   | x| {zx|{ | {x~x ~  *|}xz| z| } x ||{z|{
zx x |z}z { +" x{ { x
z ||{ | { zx y| |xz|{ x{ x |
| z * 

 
 -||{z|{ {}}|| * z| * z|

|
-||{z|{ x| |{ x {| x~| } |
.| zx~| |}xz| x{ z|| | -| -|
zxxz|z  zxxz|z
|x ||~ x~ {||{ | | |

 
 | {z|{ zx|  | zxxz|z } x | |{ | )| |
x {zx } | ||{ |x{ x x {||
~  -| zxxz|z } x z|{ x{ x |
{| x x z|x x } { ~ |
| | ~|   | |}xz| z| x{
| | zxxz|z } x z|{ x{ x | |


-|z 
{xx~| }  |~x|{ }||z z||

-||{z|{ z|{ |

# z|{ | | |x~ x{ xz{~ | +  

| x |z| | }z | | |
x| |x |zx~| |z #  |z | ||
x |x|  x ||{z|{ x z|{ +
|
| .| x }z y x } } }   |
|| }~| 
|
~  |{ |
.| | zxxz|z x |  | |{
| }~|  .| z x| | | xx
||{ x z|x |x~ || ||}| |
zx xzz{~ | | zxxz|z .x 
.l0 
 | ||{z|{ }}| | x

|| z }z z || x | 
|x| xzz{~ | x~| |  |

}~|  zx | } | }~|  |
|}xz| x{ } | } ||{ # x zzx~ 

| |x x | z|  } | } 

||{ zx y| ||x | x } |x|




| | {|x  ~| { |x~ |




$.l g0
#
.j*0



$.l g0
~   ||{z|{ x z|{ |

-||{z|{ | |  yx
~  * x
.| | zxxz|z x | x | |x~ x~| } x| |
| {||{ | | } | |
~|   x x || y~  x|
| .| x +    |  
x z xz|{   xy| |  .| |
|| | yx | || x | x
x y| yx x{ | x }z | | y }   yx
} } }  yx  yx

+   
~|   | +"z| } x  z
xy| || | ||| {|zy|{ y|}| 3 zx
"
zxzx| | ||{ |x{ x | } " y ~ |
|x ~  *|| x x~
 -z ||
#} ||{ zxzx| | x |x{ x x } + } }  z
   | |x | +  x|
  -xz }

}     
"x  "       "    R    
R ~   ~  

. z|  xzx } | } x }
+    | |x| x |x| x ||{
yx{ x } xy } | } ||{ x{
} | } ||{ # |  | }z

| xx ||{ y| || x| #} }z .l0 

 | ||{ | xy| zx| xy



||{




 zx | } | | |x| | 
y| xx ||{ x{ z|| | +2

z ~| z|{ | .||}| | 
z|{ | xzz } | ~| ||~ x~

|x 

$.l g0
#
.j*0



$.l g0
~   ||{z|{ x | |


-|z 
||z z||

 ||{ |x| ||{ z } | x  | } | }||z


}||z z|| .||}| y| ||x x|
z||
x z| x x }||z z||  |x| x{
}x {z |x|{ |zx y ~  {|z|
# z| x }||z z|| z } | x|

}zx yz .| yxz }z x ||{
| z| | x x~| x |
 xz }z x{ zxxz|z  x~|  x| }||z x{ x{|
.| }||z z|| } } x |z}| | z~
# x | }xz x | ||{ } x xz
x x~| x{ | | | ||~ x ||{x|
{||{ x | | y| } |
zz z~ } x zxxz .|  x~| |
x{ | }||z } | x~| |{ .| x{|
z||{ x |  x~|  x| }||z
} | x~| |{ x{ | x{ | x}
x{ x{|
x }|z| | ||{ || | x|
{|~|| || zx~~ | }||z } |
|zx| } | ||{x| zz | }||z
x~| x {|x |{ } xz
z|| | }||z } | x x~| x {|z
||{ z # {| || x z|z x~|x
}|z| | }||z x{  |
x |z|x zx~| | x{| } | x~|
||{ # {| x| } | }||z " "
x | |z}| zx x{| y x {{x |
z~ }||z }|z| | }||z
x  {|}|{ y | x~|}||z x| z
7
{|}|{ | || %||~ | xy|||{
} }  } }xz { ~ x }||z z|| z|z
}  xz {| | }~ y||}

t .| | zx y| |{ y x{ zz|
Q x|x  x {}zx
~  xz|| } | zxxz|z t .| }||z } | }||z z||
{||{| | z~ }||z
 }||zx~| z | x {xz|| } | t .| }||z z|| zx }||z|
| zxxz|z ||y | ||{ zx~|{ ~| ~| x x }||z \ x|
  | | zxxz|z . x x }z |z |x y|
} | ||{  x {}}|| }||z|x~| #
| x| {x~x x {x | x{ zxxz|z  zx | } }~|  | }||z z||
} |   x|x } | }~| | ||{ z } || | z| x |  ' }|
zx~|{ y zx~~ }||zx~| } |  x z zz x{ x ||

.| }||z z|| zx~| }||z x{ x~| 'x 


||}| | zx zz{| x | yxz x } x
}||z z|| zx~| | }|{ x~| ' #|
,|z| |{x| #||
}||z |~ 0 " x xxy| x~| |
zz 
}||z

 zz

~  zx yz } | }||z z||

.| ' }|
. yz x } | x }z } |
}||z z|| x{ ||}| z| z{ y| |}
} | }||z z|| "|| {| ||
| ||| } | ' {|z| } | |x
/ | zx ||| | }| |z|x
.| ' }| || x | }||z z|| {|
|{ xzz|xy ~ | ~x yxz | x
 {y~ | ||zz || z|z|{
| x  | x| | | }| || x |
~x | x ~||x|{ y | || {
|| | ||zz {|z| } | }||z z||
zx~ {xx~| {yxz|

.| z zz
.| z zz yz x }z # z |
}||z z|| x{ x | x| | x| zx| }
| || zzx y||| | {z x{ |
{~

/
'|x x| } x~|
.| ||
.| x~| } x }||z z||
{x | | x x x~|  .|
x~| |{ | z } x y| }

x|x| | || }~|  .| |x x| }
|| | } x {x x~| } | {||{
.  }
}||z x{ x{| .| z~ }||z zx
y| } x }| " " {||{~ |
~   x~|  xxy| }||z } x{
yx{ . x{ | ~||x | {~ x xxy| x~| /
}||z z||  x z~ }||z
xy| | x~| } x{y = " |}|xy|

. z| } || |x zx|{ *1' *| x y

1{ '{x z x{ | z z|
z |{ }| }||z z|| {x
.| z| |} x {x .  \ds`hk
\
}~|  x {zx~ z|  x{
x~| # }~|  y x |z } | x~|
 . {zx|  | |x| x } |
x~| zx~| ~  x ' z|  x{ x~| x *1' *| 1{
'{x z y -|z } x~|


-|z 
||z z||

 -|zx z{ |~x{~
}||z z||
x y

 x~ x{ ~ }||z z||
 |~x|{ }||z z|| || x| |
z{ z | x| x{ | x| y| xx|
}  }||z z|| y|x| {}}|| x |
x {| x x x{x{ xz 
. {|zy|{ {|x y|
~  x .||x| | ~  y .||x| |
x{x{ xz x{x{ xz 
({x |  ||x| |{
}||z z||
}||z z||
 }||z z|| {|~|{ x | | {|zy|{ xy|
|z|| {x z| } | x ~ -x{x{ '  }||z
z||
| ~  }|z| | {|~ } x
'x x~| 0 0
zxy| x z |z ~|   
'x z| ,'-    
x z| x{ x~| x|x } x
'x z| |x    
x ||x| | x{x{ xz
*|  *  1  1
y ||x| | x{x{ xz
z M
 }||z z|| | }xz *  zM   *  
# y zx| | | 1 | x}
~  x } z| } x x{x{ x{ x }||z
z||

 zx } | z| | zx|  |
}~ {}}||z| || ~| 

t .| z| } | |  }||z z||


{x
t .| |x z| z ~| x
~| } | }||z z||

. {| | {|~ } | }||z z||


z|z~ | x x |z}| }|{ y x zxxz
.| zx~~ } | zxxz x| {~  |
|{ z | |z}|{ x~| ~| x |
x~| | zxxz x x |
 ||{ xy| | {x z| |
| z{ x | x {| } |  x
x{x{  x }||z z|| | |x
y||| x~| / z| # x{ | * 
| y ~ x{ {| .| x| }x
zx y| |{ } | zxzx } | |
z|z   }||z z||

# }xz  zx| || x}|x } zxzx~


| | yx|{ | z| x{ x~|
|x|| x || x| {x #|x{ |
| y| zxzx|{ y |x } | x{
| yx } xx| |x|| } z|
x{ x~|

#} | | * x | x | ,'- x| }
z| x{ x~| | zx|{ | }xz *  zx y|
zxzx|{ y | }x ~ x{ {|

/| x | zx| | z| x{ x~| x|
{x | | }xz x {|z z|z
 | x z z| x{ x~| x| {xz|{
|

1| |x~ | z| z|z 


xx x{ |z| } x |  }||z
z|| |z|x | x | x zxxy|
} |x~ ^{x_ z| # ~||x z|
|x~ | } }||z z|| y|
} x | |x~ ^.| ,'-_

||z z|| x{ |x|xx~| zz


y|x| &
x|xx~| zz y|x| x| |{ z|x~ x ~  &xy|~ } | & IRUVLQJOHSKDVHIUHTXHQF\FRQYHUWHUV
|x |z ||zzx xx #} x }||z
z|| y| z|z|{ z x xx
y| ||{ x | & x|{ } x |
z | yx|  x } }x| zz |
 {| } | }||z z|| # {| y| |
x | & xx yx| zx| } |x|xx~|
z| | &a y| |{ z|z  }||z ~  &xy|~ } | & } ||x| }||z z||
z|| y| xy||{  | ~ 
}~|  x{ 

 | } |x|xx~| zz y|x| x| xxxy|


| x| {x


x|  &}| zz| z zxzx

-|z  &}| zz| z |x

 #x z zx| z| z
 #xx x{ z~ z 
 |~ z |
 )|x~ z 
 |x z |
 'x|xz| x{ |x z 
 | z  } {z 
 |z~ x{ {x z 

-|z  &}| zz| z zxzx \ x |x|

|~ z 'x|xz| z 

#x z 
-|z 
&}| zz| z |x

#  |z | }z | ||| x x|
x a }| zz| z & {| {|x{ x
&  z }xz z{| | | zxzx| x{
 zxzx|  x | x| | }|
zz| z y x |x|  y|}| | {~ x }|
}| zz| z | ||{ {|x{ x |
z|

.| }| zz| z } x x || } 
z z zx| x |x| xx
x{ {| } x {~ }||

~   ~{| }| zz| z xx } ~ |

.| "{xz #|  x{ | /- |x|


} |~ x| |xyx|{ x zx|{ | * &}| zz|
z & || }~|  .| {|~|{ | .zx }| zz| z
zx| | | x| x{ x| | ||~
|}}z|z {}}|| | z{~ ~ |
&}| zz| z zxzx x| x {|zx~ x #x z

zx y| |{ z|z  {|~ } | xx 'x|xz| z


|x } |~ xx
|~ z

.| }| zz| z & z } | }~ |||

z #x z zx| z|
 #xx x{ z~ z ~  .zx }| zz| z } x zzx~
| | {
| |~ z
 )|x~ z xy z
| |x z
 'x|xz| x{ |x z
 | z  } {z
 { |z~{x z

# | }~ xx~x |xz } || |||


& zxzx|{ y | }~ }x
{|zy|{  x|x } }~|  | ||~ z
x z x{ x|xz| z x| | x
&  z    |        |  {

 #x z zx| z| z


.| x z z } x | z{| x *
x|
|| x{ xzz|| |z|x |x| |
| |~  }||z z|| z x|
x{ x| || }~|  #x z

)}| || x x{|}} y||| | x z x{


| ||~ x{ x|xz| z . x zx| ||z .x|
||| z| x| x ~| }|| x | z||
||~ z x ||| z| x|
~  | x x| x ~ |

 #xx x{ z~ z



.| xx x{ z~ z z{| |

}~ z

t #xx } |

t {x

t |z } ||zzx ~ x{ |x

-| -|
t #xx z|z x{ | } x|
#x z
}||z z|| |z
~  #x z } x zx ||{ |
t ~ |xx x x
|  x{ x z|{ | | 

 x | zx| } | x z x z|z |
x{|}}  # z|z   |~x|{
}||z z|| x } | z| x| x|x{
|~x|{ | {z .||}|  { }
}| y|z ~| x z x{ | xx x{
z~ z


-|z 
&}| zz| z |x

 |~ z |  )| |


# | x } zx| ||~ z | x~|


z | }| zz| z } x | || * |

}| | x  | |x zx x{

} | {a ||zzx ||~ z |{
} | || }~| 
1x } x } | } | }xz }|z~ |
~  |~ z {{|
||~ z } x |

t &x{ }|
t * |}}z|z zxzx } | {  ||
}~| 
t ' |}}z|z | |}}z|z x xx x{ 

zx x ~}zx y||| ~ |}}z|z 




x{ x |}}z|z 


t * ~ }| x~ x{ { |{ 

~~| ||{  

t )| | z| z x | x{ x| 

t /| } ||{z|{   ~ ||{              

z|{ | { y| |{z| ~  x } | |}}z|z } x | x{ x |~


| ||~ z y

 )|x~ z  

)|x~ z z| xy z |x|{ | |x
} x ~ | # zx| | xy z
|x|{ | x| {| .{x {}}|| |
} |xz| || x| y| z|z
| | x z| | x~ |
|x~ z 

 |x z|

.| ||x z z| | {x } x x{
zxx } | |{ { .| ||x
}xza zy | }| zz| z } x ~
| | { {|

 'x|xz| x{ |x z 

'x|xz| x{ |x z z| x | x| |
x z |x|{ x|xz| x{ |x } |
| } |x| &xy z x| x
xx x{ z|x~

.| y| x yx | ~ }| } x
x{ || y|x{ zx |||
x|xz|

 | z } {z
z 

| z x| ||| x | z|
| |{ {z z|| .| |x
| z x } | x {z ||
} x | |{ } | | ~ |
|~ } | ||{ |}xz| xx
x ~{ {|x x x x{y x zx x| |
x{ x| | x | {z z| || } x
||z|{ }x| | | { zz ||
~| 
~  -x{y x| | x {z z|
zx| } y|x{

 |z~ x{ {x
z { 

||{~ | x}xz| {|z~


x{ {x z } x | x| y|z
xx .||}|  z |{ x|
z{|x

xzx~ | }| zz| z
.| }| zz| z } x | x{| } | &  z  |  
x } x | xy|||{ z| |
| |a }|| .zx | }|| x{ y|
| x~| } |x # | y| | }|
zz| z x| x zxzx|{ y x | }|{
}x  }|| ||| z{| . }x
 ~


-|z 
&}| zz| z zxzx \ x |x|

&| x| x x x |x| ~ | }|{ |{ 0xxy|


* |
}x ||{ |  { ||{ x | ||{ ||{
x| x{ x| x| |x~| | z 1  
z{|x )|x~  | {x 
1~ {x | |x {x
xzx |{ |x
t  }|{ ||{ x~| z|}~x
.x ||~ z 1  
t  xxy| ||{ x~| z|}~x
|zzx | z| 1  
xzx  x zx|{ | }|{ ||{  * z|   
'x|xz| z   
| xxy| ||{ z| | ||~
|~ z   
"|| | x z z } | xxy| ||{
.x z   
z| x ~ x x } | }|{ ||{ 


&}| zz| z zxzx | {||| z * z|

x | | .| xzx x | 'x|xz| z

}~ zxxz|z |~ z




t |x~  | {x

t |x~  | |x

t &}|| } |x zxzx |{


x|{ || {xx y| zxzx| | }| zz|

z } |  |{ ||{ 0xxy| ||{
~  &}| zz| z } x }|{ x{ x xxy| ||{
| ~ | x z } x xxy| ||{ x|
z| x ~ zx|{ x }|{ ||{  | x
z } | }||{ x| | x
| }|{ ||{ x}| |x

|{| | | }| zz| z | xxy| ||{


{| x {z|{ zx|  | |xx
y||} |~ zx || | | 

.| xyxz | } | xxy| ||{ x 
y ~| y|zx| | | |||  zx 
| } }~|  | xyxz | x{ |x 


x{ ~||x {x xzx  z{||{ 


y| x ~{ || 
 |{ ||{
0xxy| ||{



3|x

~  *xyxz | } x }|{ x{ x xxy| ||{

|{

 (x x{

 / z| xy|

 -#|| x{ !|| xxy|

 0x || x{ {| } x| x {}}|| ||x|

 )z|

 x~| xz || {| zx~| | {x||

! (|

" (~x } |x{ | y|{ x| |z

# *| ~x } z|x x| 

$ *|{zx |

% * x{x{

& 0z } {}}|| { x x }z } { ||x|


|{ 

(x x{
.| xy| y| {| x || } |
z |{ x x{ z|z 
x{ |

|{ 

/ z| xy|

.| z| xy| } || x{  |
z |{ z|z  ~
|

*||
*xzx yx { || .|zzx *zx { |
(| | | x| 1x| x|| x|| x| z
x| || || 
*x ( yx  1 x z x y
*x               *x
yx     yx
            
1      1
x    x
x     x
    

|
yz || yz || &| !x /% !x /-
| |z{ |  | |z{ | | | |

   /% !*' /% !*'

 
       
     
/% !*'       /% !*'
/- !*'       /- !*'

.||x|
.| }x |{ y|   z| | z |{ } ||x|

{|~|| | %| . 5%7    57


{|~|| | {|~|| x|| 5 7    57

       
  
  
  
       
 
     
 
     

       


|{ 

-#|| x{ !|| xxy|

 
 

  #%# 
  (!# 
  &%'* &
  $!&.* $
  !& 
  !%
  !).% 
  (%''% (
  (%&,* 

 ))* )

   
'" 

!.  
((  !
!'.  
+-%'*) + 
!.  
.  '
$!.  
*.  
++  
(  " 
0 ( 
0 
-%  
(%&,*)  
%  #
$*  
%#(  
/  
+-%'*) $ %
%  
$% & 
-% ) *
(!#  

|{ 

0x || x{ {| } x| x {}}|| ||x|

. xy|  | 0x || x{ {| U } x| x {}}|| ||x|


x || 5yx7 5?7 .5%7 *5yx7 U5~7 5?7 .5%7 *5yx7 U5~7 5?7 .5%7 *5yx7 U5~7
x{ | {| U 5~7      
} x| x {}}||         
        
||x| 57         
&|| | xy|       
        
| z|{~         
        
xy| ||x| . 5%7         
        
     
  
        
        
        
        
     
        
        
        
        
        

        
        
        
        
        
        
     
        
        
        
  
        
     
        
        
        
        
        
        
     
        
  
        
        
        
     
        
     
     
        
         
     
  
  
     
     
     
     
     
  
     
     
     


|{ 




)}z|

 {z|{ zx|  | { } x
x{|{ y x{{~ x |xz| z|z|{ || 
  
|  # xzz|  x {| y xz~ x
}z| | | }x~| } | 

.| }~ ~x {| | }z| {x|| { 57


yx|{ | | {| ( 57 | } + 

57 x{ | ||{ |x{ $" 57










    








  
























  






x|
.| |x{ } x   x | }x~| } 

x y| |{z|{ y x x } } 

(   W"   +   
# |z|x x x }z|  x {x|| } 

|{

x~| xz || {| zx~| '" x y| x{{|{ | |x|{ |x{ } | 


| {x||
   
 {|zy|{ zx|  x zx~| | {|  




 
 



| x zx~| { |z x{ z|| x
zx~| {xz x{ xz || || 
1| | |x{ x y| {|||{ || x~|  | | { |z | | 57
{}}||z| | {| || x z|z | { |z | | 57
} | |x|{ |x{ + | } x| 57
~ | xzz||x } ~x 57
 | {x|| } | | 57
 | {x|| } | | 57

.| ~x  | '" x| } zx | }


{|  x x }z } | } + # 
x| zx| } + |x|{ 57 x{ | '"
  x | } x{ x | |x|{ 57
} ~  " z
{| | {}}||z| {| x}}|z |
|x|{ |x{

    +  

 x|x } | ~x | {}}||z|


|x{ W"  








 


  








" 57


 

5 7

|{ !

(|

7KH UHODWLRQ EHWZHHQ WKH QR]]OH GLDPHWHU G >PP@ WKH


QHHGHG IORZ 4 >PK@ DQG WKH UHTXLUHG SUHVVXUH EHIRUH
WKHQR]]OHS>EDU@LVIRXQGE\WKHQRPRJUDPEHORZ:H
DVVXPHWKDWWKHQR]]OHKDVDTXDGUDWLFEHKDYLRXU

  
    


ZKHUHQ 6RPHQR]]OHVKDYHDORZHUQYDOXH FKHFN


ZLWKWKHVXSSOLHU 
 



   
  
 

x|
  | }  x  1x
| ||{ || } } | |

+   {  
 

  yx
























   
  

|{ "


|{ #

|{ $

*|{zx |

 
 "
("  %

    

% "    "
$% "(%  "  "  $"  '( % "  

       
 # & %   & )
 %
#% %%    #! "%# %!%"  " " 


         
      
   %  ) * $ + ( / *  $ - $ , ,
$##% % % $% % " %
!!% $"! "&  "##$ ! ' $ !' $%! !' $" ! $*#&"!

  
         
    
! ,  , ! + " / ' # & # * . $ '  '
'' &$"!&' &&$' $"!' "'
"*!' !&' '&!' "' ' ($  ' !' $ $* #%$. ""+( +# % $ $%$

          
      
2 # # ( #  ' 2 1 3 4 ) , $ + / 3 .
) +# ( +# +** +# $ +# $*"+# +$)*$ $ +# )# +# (  +# "* $+# %" (+(. "" +#   )#+* %"%$ +# )** $ %$


     
    
1 # % ( $ ) * 4 5 4 ) 6$ 65 2
($ +#  +# * $ +# +*(%( +# +$ +# %( +# %( +# )) +#  *$( +# #)** +# %$*$ +# $+$ +# $+$*( +# $+$'+ +#

           
 
'  & .  6 & $ 7 0 3 . !$ 6
( +# ()%/# +# %/# +# (%#* +# #( +# +(%& +# %" $ +# ( +# /)&(%) +# %"# +# ( +# +" +# **( +# +** +#











   


* #  1 6 . . , ( 4 . & 0 3
%( +# (%** $ +# ($ +# &*+$ +# "+*%$ +# #(  +# +( +# (!" +# " %($ +#  $)* $ +# ($ +# $", +# %" +# -($ +#


|{ %

* x{x{

* x{x{
( {z z|}~x  x~  yx  y|x~ yxz|
( {z z|}~x x~ yx  |~x x
{ x{ {|

* |x|{ x{x{

#-) {z z|}~x  x| x| x{ xx {|


( '|zxzx |x  *zx {| {|~x x{ x|x z{|
( x~| x{ |  zx }x~| } | x| }~ x{
xzz|| *({|~x|{
#-) '|xz }x~|
#( * x{ } { -x| x

-|z}zx |z

#-) .|zzx |z}zx } z|}~x  x


#-) .|zzx |z}zx } z|}~x  x
#-) .|zzx |z}zx } z|}~x  x
#-) ,{xz  "{xz |}xz| | !x{| x{
( '|xz {z  .| } |z {z|
#-) #- '|zxzx yx  xx } xz| yx y
|x|| x~ x

' x{x{

( # ,x~ ||zzx xz|

|{ &

0z } zx { x x }z 0z
} { ||x|
%|xz z |x|{ z|-| 5z-7
.|y {u| | |y }w} z x}zzyy }}x u  z-    .| 5--/7 -xy /|x x
x}zzyy yyuy  } uyu z |y {u| |y |{ z|z  |xz z .| ~x
}w} xywyuy |y |y yyuy }wyuy y|  | |x y||| |xz z
5z-7 x{ z 5--/7 .| -y| x
{zx|{ | ~x

|xz z xy| z- | -xy /|x


z zxzx|{ y | }~ }x
5--/7    5z-7

 " # !#


b&s    "



) .| {|| 
| ~x x| } ?   

!z| 


R








 
-z|

|  

  
)|
R   
||{ 
R 

z|  
R
"|x
 
R
 

'|x 
-{| 
R

R
 
!x x{ 
{|| 
R &~    


R  


-z| R
    
' R
*|| 
R   
| R  



  R 



-z|
*| R
1x| R  
z|| R z|z xz{
R

| R
 



'|z R
s

 9


|{ &

|yy {w

R N R N R N R N R N R N R N R N R N R N R N

5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7
z|x

.||x|

 

 

  

   

    

    

     

       

        

          

          
          

          

          

          

          

          

          

          

          

          

          

          
          
          

          

          

          

          

          

          

|{ &

*yy {w

R N R N R N R N R N R N R N R N R N R N R N

5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7
z|x

.||x|

 

 

  

  

   

    

     

      

       

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          

          


|{ &

-x} |x}xy

                     
          
                                
               


 
              
               

               

 
 
  
        

      
   
 
   

 
  
       

      
         
     
      

          


 
 
         


      


  
      
      

 
        
     

      

           



  

     

         



    

 
   

 
           


 

    
     


  
      


     
      

    

      

 
  


 
 

 
 

 


 
 
  



 






 
         

 
      
  

|{ &

uw} w|}xy (u} w|}xy

R N R N R N R N R N R N R N R N

5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7 5~ 7 5z-7
z|x z|x
 
.||x| .||x|
   
    

      
       

        

       

       

       

       

       

   
   


|{ #
|{ "
#{|

 -||{ z
y| || x {}}||x || z
{~ |}xz| x || z
 x ||x| z
z | x
.2 .| 2y| 
|z x~|z xx z
x}| x~  }x~|
x |z| z
x }z|  z
!xxz z
#|~xx z
 *~ z
xxz|{ x} |x -||z| z
xz z~ -| z zxz~ -
|x~ /} z
#x|{ y|x~  }x~|
| |x ~
|| xz z~
x z |y| z~
-xz| z~
|z| z

x|{
x{~| |x 
x~ |z~ x{ {x z
y|| || |
-~|| | 
,| zx| / |{ 
x 1x| |{ 
xx  | |{ &
xx z xx~
|}~x }}||x ||
|xz }}||x || z
|z|{   xx {
|{ |  |z| x~ )&
x~ ~
'|xz zx~ y| |zxzx x} |x
(|xz zx~ y| |x x{|
)~xz zx~ y| |x yxzyxz
| x{|{ ||z y|zx| ||
 y||
.| z y|z || 
x z y|| zx~
| z
#{| #{|

 ~ } #* x| |
 ||z z||  
xz ||
xz z
!
!xxz z
 !x~| ||
x|xx~| zz y|x| & !|{|z |x{
}z|z !|{|z }
}z|z x |{z|{ ||{ !|
}z|z z|
|zz
x|} "
#z|x|{ x}| "|x{ 
(x~ "|x zxxz
' {|z| "||zx |x|{
' | "x 
z| zx #* "{xz | 
{z
|~ z
|~ x~   #
|x z #
 z #|y|
|| || yy| *' #|| 
x y|zx|
-~|zx|
0| ||
#z|x|{ x}|
|z x~|z #x z
|zx|z {| x~|z #| 
| x #xx x{ z~ z
x|} #x zx
|y| z~ #|~xx z
x~ 

'x %
0| %|xz z  |{ &
/ |{ 
|x|  %'
~
{x
x~ 

*
0yx {x||
& (
&}| zz| z  (' x{x{
x| (|x {
&{ (z| x
xx (| yy|
(|x ({x
((|x (| yx
*xz { (|xz zx~
.z ((|x {
0z ({x z|
&~z|{   (x~
& } {z z (*-" (| *| -z "|x{ 

' )
'x~|z {| )| | 
'x|xz| x{ |x z )|x~ z 
'x|z x~|z )~xz zx~
'x )~ |x
'|x~ || )||{
'|zxzx x} |x 
| |x
x{~| |x *
'|x y| |x *x
,yy| y| |x *||x|  %'
z *x| x
~ *#z|
'|x x *~ z
| x *xz {
'|x y| |x *xz
'|xz zx~ *
'|{ *| {xz||
'{}~ || {x||  *| z 
' "{xz | 
' |}z|z -x} |
' x *||
' |z y| ||
' x }}||x ||
|z| x~ )& xz ||
-x{|x x~ !x~| ||
x}| x~ '|x~ ||
||z z||  -xz ||
-} x| -| ||
'~ } #' /  |{ 
'x~|    0x ||  |{ 
#{| #{|

*|| z +
x {}}||x || z +"z|
x ||
x || z
x || ,
*|| x| *. ,x{x
*x || z ,x{x }z|
*. | ,|}z|{ x
*| 1{ '{x *1' ,|xz| z|z|{ xx|
* ,|xz| z|z|{ ||
x ,| zx| zx~ 
|| ,yy|
x|{ || || yy| *'
|}~x |x|  %'
|z|{   (| yy| (%
xx~ *||x|  %'
~ -z| yy| +
"||zx |x|{ ,yy| y| |x
"x 
#|y|
&~z|{  
-
'x~|z{|
-xx
'|{
-|x }xz|
'x~|   
-|x ~xy
*| {xz||
-||z| z
,x{x
-|
-xx
-x}
-~|x~|
-x} |
-zx|  
-x} |x
-x{x{
xxz|{ x} |x
0|zx 
/yxxz|{ x} |x
1x|x|
-z| yy| +
* zx~
-~| |xz|
* zxxz|z 
,|xz| z|z|{ ||
* z|
-~|zx| ||
* xx
-~|x~|   
* |}xz| z| 
-~|z ||
* z|z|{ ||
-~|| zx~
* xx|
-} x|
*  |~x|{ }||z z||
-{ ||
*zx| z
-{ || ||
*1' *| 1{ '{x
-xz| z~
-||{ z   .
0xxy| ||{ z .||x|
-||{z|{ xx| / |{ 
-zx|   .|xz
-x| || .||
-x{x{ .z {
-x{x{ .| z  
# .| x|
(' .x
-xx x{x{ .
-x{ |x~ }
-x{|x x~
-xz || /
-|| /yxxz|{ x} |x
-| z zxz~ - /} z
-}~ y
-y|y|
-| zxxz|z 0
|{ |  0x ||  |{ 
)| |  0xxy| ||{ z
-| z 0|zx 
-| || 0yx {x||
0yx
0z  |{ &
xz z
0z {
0z { z|
0x~|
0|
/ |{ 
0| zx~
0| ||
1x|x|

Das könnte Ihnen auch gefallen