Beruflich Dokumente
Kultur Dokumente
BRKARC-3466
Carl Solder
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 3
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 4
Product Marketing
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 5
It Starts With…
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 6
CC – PRD - EC
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 7
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 8
Switch Development Timeline
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 9
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 10
Mechanical Engineering
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 11
Hardware Functional Spec (HFS)
Hardware Functional Specification
Document Number
Author
<Code Name>
Product I.D.
Hardware Functional Specification
Lorem ipsum dolor sit amet, consectetur adipiscing elit. Praesent a diam felis, in lacinia erat. Vestibulum ante felis, imperdiet sed imperdiet sed, tristique auctor
nisl. Integer ullamcorper cursus velit, ac pretium erat consequat commodo. Phasellus id magna vitae lacus vestibulum placerat. Etiam urna justo, semper nec
adipiscing at, congue id sem. Vivamus sollicitudin dapibus dapibus. Nulla quis erat elit, ac sagittis libero. Integer eget lacus vel nulla feugiat lacinia. Vestibulum
lacinia pellentesque justo, quis ullamcorper tellus mollis non. Proin in quam ac ante consequat varius sed nec metus. Mauris posuere convallis dolor, quis
volutpat nulla accumsan id. Praesent posuere dictum justo sit amet auctor. Mauris a condimentum eros. Cras libero nisi, bibendum sit amet blandit non, ultricies
sed elit. Aliquam urna odio, facilisis ac vehicula eu, rutrum eget tortor. Nam eu rhoncus libero.
Nunc et felis ipsum, non consequat eros. Aliquam erat volutpat. Morbi tincidunt imperdiet lectus ac tristique. Nam commodo, lorem vitae gravida euismod, lorem
nibh ornare felis, ut fringilla massa erat vitae lacus. Proin vitae ipsum sit amet leo fringilla porta. Etiam mollis nulla id mauris porta faucibus. Donec pulvinar
posuere felis non sodales.
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 12
Mechanical Design (CAD)
Material Considerations
Structural Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 13
Structural Analysis – Shock Stress Distribution
Negative
Y Shock
Negative
Z Shock Positive
Y Shock
Positive Negative
Z Shock Positive X Shock
X Shock
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 14
Structural Analysis – Elastic Strain
After pressure
applied,… ability of
material to return to
its original shape
without incurring
fractures…
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 15
Structural Analysis – Seismic Simulation
ZONE 4
1
Help identify stress points in structure
Combination of random movements in X, Y and Z direction
0.1
0.1 1 10 100 Tested for and beyond required NEBS specification
Frequency (Hz)
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 16
Structural Analysis – Tilt Simulation
I o = mrOC2 + I c
H = r[sin(φ + 10 0 ) − sin φ ]
Impact on structure from tilt drop
1
mgH = Iω 2 Fully loaded chassis
2
Where
ω : Angular velocity
I : Inertial of mass
Total of 4 Impact drops
H : Height
m : total mass
- Front edge lifted up
g : Gravity acceleration
C.G : Center of gravity
- Left edge lifted up
- Rear edge lifted up
- Right edge lifted up
Output
10 Degrees Tilt - Stress/Strain Distribution
- Axial/Shear force on rivets
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 17
Mid Plane Design
Strength Analysis- Mid Plane Example
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 18
Mid Plane Design
Strength Analysis- Mid Plane Example
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 19
Next the Boards,… (Linecards)
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 20
Block Diagram
LC Arbitration
CPU Aggregator …
Fabric 2
40G SoC 40G SoC 40G SoC 40G SoC 40G SoC 40G SoC
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 21
Mechanical Engineering
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 22
Mechanical Engineering
Static Flash
Memories ROM
SDRAM Power
RLDRAM PHY
CPU Clocking
Connectors Heat Sinks
LED’s PCB Layers
I/O Traces (nets)
Pins/Screws Vias
Joints Ejectors
Daughter DIMM’s
Boards FPGA’s
ASIC’s
Optics
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 23
Electronic CAD – Component Placement
ASIC’s
FPGA’s
RLDRAM
SSRAM
PHY’s
Clocks
CPU’s, etc
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 25
Thermal Profile Analysis
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 26
Thermal Analysis
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 27
Board Routing
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
PORT PHY’S
TRACE 1
ASIC
TRACE 2
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 28
Board Routing
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 29
Board Routing
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 30
Do You Know?
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 31
Printed Circuit Board
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 33
Final Design
Final Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 34
Final Layout Sent to Manufacturing
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Final Board
Some Facts
26 PCB Layers
9,998 Nets
58,764 Vias
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 36
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 37
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 38
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 39
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 40
Industrial Design
BUT…
No specific look to
showcase technology
leadership
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 41
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 42
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 43
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 46
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 47
Industrial Design
OLD
DESIGN
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 48
Industrial Design
OLD
DESIGN
DEEPER
CHAMFER
NEW
DESIGN
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 49
Industrial Design
SHARPER ANGLE for
easier cable release
NEW
DESIGN
OLD
DESIGN
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 50
Industrial Design
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 51
Industrial Design
CLOSER MATCH FOR FRONT AND BACK
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 52
Industrial Design
Final Product
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 53
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 54
ASIC Engineering
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 55
ASIC Requirements
Marketing Engineering
Document Number
Author
<Code Name>
Product I.D.
ASIC Functional Specification
Lorem ipsum dolor sit amet, consectetur adipiscing elit. Praesent a diam felis, in lacinia erat. Vestibulum ante felis, imperdiet sed imperdiet sed, tristique auctor
nisl. Integer ullamcorper cursus velit, ac pretium erat consequat commodo. Phasellus id magna vitae lacus vestibulum placerat. Etiam urna justo, semper nec
adipiscing at, congue id sem. Vivamus sollicitudin dapibus dapibus. Nulla quis erat elit, ac sagittis libero. Integer eget lacus vel nulla feugiat lacinia. Vestibulum
lacinia pellentesque justo, quis ullamcorper tellus mollis non. Proin in quam ac ante consequat varius sed nec metus. Mauris posuere convallis dolor, quis
volutpat nulla accumsan id. Praesent posuere dictum justo sit amet auctor. Mauris a condimentum eros. Cras libero nisi, bibendum sit amet blandit non, ultricies
sed elit. Aliquam urna odio, facilisis ac vehicula eu, rutrum eget tortor. Nam eu rhoncus libero.
Nunc et felis ipsum, non consequat eros. Aliquam erat volutpat. Morbi tincidunt imperdiet lectus ac tristique. Nam commodo, lorem vitae gravida euismod, lorem
nibh ornare felis, ut fringilla massa erat vitae lacus. Proin vitae ipsum sit amet leo fringilla porta. Etiam mollis nulla id mauris porta faucibus. Donec pulvinar
posuere felis non sodales.
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 57
Switch Architecture determines ASIC Architecture
Distributed ASIC
Architecture
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 58
ASIC Functions
2 1. CPU
6
2. Field Programmable Gate Array
(FPGA)
6
3. 40G MAC’s
4. Forwarding ASIC
7
8
5. Netflow
3 6. Security/QoS
7. Fabric ASIC
9
8. Fabric ASIC Interconnnect
3
9. Multicast/Replication ASIC
10. Adjacency
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 60
ASIC Forwarding Path
L3 Table
Egress Security
Parse ACLs
L2 Table VPN Ingress Security Adjacency Input / Output Fwd Update
Packet CAM Decision Statistics
ACLs Table Policing
Egress QoS ACL
1 01101010 1 01001010
2 01101011 2 010010XX Hit #1!
3 01001110 Hit! 3 01001XX0 Hit #3!
4 01001XXX Hit #2!
4 01101100
2 Result #1
4 Result #2
3 Result 3 Result #3
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 62
ASIC Block Diagram
SERDES
TCAM Netflow
Recirculation L3
TCAM
Engine
TCAM Security
Buffer L2
TCAM QoS Memory CAM
Engine
Parser/Re-write
Ingress Egress
PCI
Port Logic Port Logic
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 63
ASIC Development Process
1 2 3
RTL
SYNTHESIS NETLIST
Register Transfer
RTL to Gates Gate Design
Language (VHDL)
6 5 4
PHOTOMASK PLACEMENT
Floor Plan
RTL to Gates Gates
7 8 9
Foundry
Device Test Packaging
Production
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 64
Verilog/VHDL Programming
Register Transfer Language
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all; -- for the unsigned type
1 Gate approx equals 4 to 6 Transistor
entity COUNTER is
generic (
WIDTH : in natural := 32);
port (
RST : in std_logic;
CLK : in std_logic;
LOAD : in std_logic;
DATA : in std_logic_vector(WIDTH-1 downto 0);
Q : out std_logic_vector(WIDTH-1 downto 0));
end entity COUNTER;
Q <= std_logic_vector(CNT); -- type is converted back to Rough ROT - 1 Gate equals 5 Transist
std_logic_vector
end architecture RTL;
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 65
Logic Transistors – An Intel Comparison
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 66
Do You Know
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 67
Gate Placement (Floor Planning)
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 68
Die Size / Yield Cost
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 69
Silicon Die Imperfections
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 70
Die Size / Yield Cost
http://commons.wikimedia.org/wiki/File:Wafer_die%27s_yield_model_%2810-20-40mm%29_-_Version_2_-_EN.png
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 71
ASIC Development
10μm 10um Intel 8008 (1971) Transistor Width
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 72
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 73
Software Engineering
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 74
Software Architecture
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 75
Software Functional Spec (SFS)
Software Functional Specification
Document Number
Author
<Code Name>
Product I.D.
Software Functional Specification
Lorem ipsum dolor sit amet, consectetur adipiscing elit. Praesent a diam felis, in lacinia erat. Vestibulum ante felis, imperdiet sed imperdiet sed, tristique auctor
nisl. Integer ullamcorper cursus velit, ac pretium erat consequat commodo. Phasellus id magna vitae lacus vestibulum placerat. Etiam urna justo, semper nec
adipiscing at, congue id sem. Vivamus sollicitudin dapibus dapibus. Nulla quis erat elit, ac sagittis libero. Integer eget lacus vel nulla feugiat lacinia. Vestibulum
lacinia pellentesque justo, quis ullamcorper tellus mollis non. Proin in quam ac ante consequat varius sed nec metus. Mauris posuere convallis dolor, quis
volutpat nulla accumsan id. Praesent posuere dictum justo sit amet auctor. Mauris a condimentum eros. Cras libero nisi, bibendum sit amet blandit non, ultricies
sed elit. Aliquam urna odio, facilisis ac vehicula eu, rutrum eget tortor. Nam eu rhoncus libero.
Nunc et felis ipsum, non consequat eros. Aliquam erat volutpat. Morbi tincidunt imperdiet lectus ac tristique. Nam commodo, lorem vitae gravida euismod, lorem
nibh ornare felis, ut fringilla massa erat vitae lacus. Proin vitae ipsum sit amet leo fringilla porta. Etiam mollis nulla id mauris porta faucibus. Donec pulvinar
posuere felis non sodales.
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 76
Software vs. Firmware
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 77
Coding Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 78
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 79
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 80
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 81
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 82
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 83
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 84
Software Development Process
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 85
Do You Know?
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 86
Hardware Test Engineering
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 87
Drop Test
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Vibration Test
Shake on +ve/-ve X, Y and Z axis
Test for chassis/rivet stress
Components remain intact after test
Components are functional after test
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Seismic Test
Try to avoid
- Linecards popping out
- Linecards catapulting across room :-)
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 90
Humidity/Temperature
Device tested to NEBS Level 3 for both
Humidity/Temperature
HALT
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
HALT Chamber
Shock Testing
Loaded at different
angles – 30/60/90
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Acoustics
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 94
EMI Chamber
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Mechanical Design Verification Testing
Temperature Profile
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 96
RDT Chamber
• The Reliability Demonstration Test
(RDT) is Cisco’s approach to verifying
the stated reliability of a product prior
to production release.
• The reliability to be demonstrated is
the product’s MTBF (Mean Time
Between Failure).
• RDT replicates the end user operating
environment and application through
accelerated test time. It is expected
that all hardware features are
exercised in RDT.
• All new products including systems
and boards are subject to RDT.
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Packaging Testing
Problem Comprehension Design Improvement Strategy
Deformation, top view
plate: beam strength
Bending in unsupported
areas peels Nevlev causing
breakage
cardboard:
bending support
Strain, side view
Add beam strength to
prevent bending
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 98
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 99
Software Test Engineering
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 100
Testing Lab
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 101
Software – What is Tested?
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 102
System Performance Testing
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 103
Platform Functional Test
Master Test Plan sets out
overall objectives
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 104
System Integration Testing
Combination of multiple
features running in parallel
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 105
Do You Know?
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 106
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 107
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public
Complete Your Online
Session Evaluation
Give us your feedback and you
could win fabulous prizes.
Winners announced daily.
Receive 20 Passport points for
each session evaluation you
complete.
Complete your session evaluation
online now (open a browser Don’t forget to activate your
through our wireless network to Cisco Live Virtual account for access to
access our portal) or visit one of all session material, communities, and
the Internet stations throughout on-demand and live activities throughout
the year. Activate your account at the
the Convention Center.
Cisco booth in the World of Solutions or visit
www.ciscolive.com.
BRKARC-3466 © 2013 Cisco and/or its affiliates. All rights reserved. Cisco Public 109