Sie sind auf Seite 1von 9

LABORATORIO DE CIRCUITOS DIGUITALES

PROYECTO DE U III
MEMORIA RAM DE 4X4
Lema Edwin
Alegría Jaime
Bejarano Alan
lemaedwin1996@hotmail.com
lejaimele@hotmail.com
lbejalan@hotmail.com

Resumen:

En computación, la unidad aritmética lógica o ABSTRACT.


unidad aritmético-lógica, también conocida
como ALU (siglas en inglés de arithmetic logic In computing, the logical arithmetic unit or
unit), es un circuito digital que calcula arithmetic-logical unit, also known as ALU
operaciones aritméticas (como suma, resta, (acronym in English of arithmetic logic unit),
multiplicación, etc.) y operaciones lógicas (si, is a digital circuit that calculates arithmetic
y, o, no), entre valores (generalmente uno o operations (such as addition, subtraction,
dos) de los argumentos. multiplication, etc.) and logical operations (
yes, and, or, no), between values (usually one
Por mucho, los circuitos electrónicos más or two) of the arguments.
complejos son los que están construidos dentro By far, the most complex electronic circuits
de los chips de microprocesadores modernos. are those that are built into modern
Por lo tanto, estos procesadores tienen dentro microprocessor chips. Therefore, these
de ellos un ALU muy complejo y potente. De processors have within them a very complex
hecho, un microprocesador moderno (y los and powerful ALU. In fact, a modern
mainframes) puede tener múltiples núcleos, microprocessor (and mainframes) can have
cada núcleo con múltiples unidades de multiple cores, each core with multiple
ejecución, cada una de ellas con múltiples execution units, each with multiple ALUs.
ALU.
Keywords:

Palabras Clave: ALU memory, logic gates, switch,


combinational circuit, bits,
Memoria ALU, compuertas lógicas, switch, software.
circuito combinacional, bits, sotware. 1. GENERALIDADES:
1.1 Introducción 1.3 Justificación del problema
La importancia de la elaboración de una ALU
El procesador después de acceder a memoria de 4 bits la cual nos basamos en la parte teórica
principal para copiar la instrucción en el es una de las partes primordiales en el estudio
registro de instrucción, inicia la secuencia de ya que nos permite observar el funcionamiento
acciones propias de cada instrucción. Muchas de la unidad aritmética lógica. Reforzando en
de estas instrucciones consisten en la el estudiante el conocimiento teniendo la
transformación de datos mediante la certeza de la compresión de lo estudiado, para
realización de operaciones lógicas o
posteriormente sea aplicado a la vida cotidiana.
aritméticas. Para realizar estas operaciones
existe un bloque especial de la CPU 1.4 Objetivos
denominado Unidad Aritmético Lógica
(ALU).
Objetivos generales
Gobernada por la unidad de control, la ALU
tiene como entradas os datos almacenados en Diseñar una memoria ALU que pueda
los registros internos de la CPU. contener los requerimientos pedidos con el
Adicionalmente, la ALU tiene como salida los conocimiento adquirido
indicadores de estado que señalan las
características del resultado de la última
operación (por ejemplo, si el resultado ha sido Objetivos específicos
cero, negativo, etc.). Utilizar compuertas lógicas y las basicas para
construir una ALU de 4 bits.
La ALU a su vez está compuesta por diversos
circuitos especializados en realizar una Utilizar conocimientos impartidos en el curso
transformación particular de los datos, para poder facilitar la construcción
típicamente una operación aritmética o lógica.
Como ejemplo una ALU puede disponer de
una unidad de suma/resta de enteros, una
1.5 Hipótesis
unidad de multiplicación de enteros, una
unidad de división de enteros y su equivalente La unidad lógica aritmetica sistema que se
en punto flotante. encuentra en una computadora ya que puede
almacenar diferentes operaciones, funciones y
Estos módulos en los que se divide la ALU se generan.
pueden clasificar en función de diversos
parámetros. Los criterios más habituales que
van a caracterizar los módulos de la ALU son: 1.5 Alcance
Por consiguiente, deseamos elaborar y demostrar
cada uno de los elementos la importancia que
1.2 Formulación del problema tiene en cada parte de la unidad lógica aritmetica.

¿Cómo diseñar una ALU de 4 bits donde se


pueda almacenar los datos que puedan ingresar 2. MARCO TEORICO:
en cada uno de los puestos que pude almacenar
información ya sea números como letras o
frases?
2.1 Introducción
LA UNIDAD ARITMETICO LOGICA
(ALU) La ALU, es la parte del computador que Estos módulos en los que se divide la ALU se
pueden clasificar en función de diversos
realiza realmente las operaciones aritméticas y
lógicas con los datos. El resto de los elementos parámetros. Los criterios más habituales
del computador, (unidad de control, registros, que van a caracterizar los módulos de
memoria, E/S), están principalmente para la ALU son:
suministrar datos. La ALU puede considerarse
como el “núcleo central del computador”.

2.2 Historia del arte


2.2.1 La ALU
Ilustración 1:Historia de la ALU
Según Mandado, Mariño, & Lago (El
2.2.2 Características de la ALU
procesador después de acceder a memoria
principal para copiar la instrucción en el
registro de instrucción, inicia la secuencia de Según Floy (2007). Módulo combinacional o
acciones propias de cada instrucción. Muchas secuencial. En el caso de módulos
de estas instrucciones consisten en la combinacionales se tiene un circuito digital
transformación de datos mediante la combinacional, sin elementos de memoria. Si
realización de operaciones lógicas o se modifica uno de los operandos el resultado
aritméticas. Para realizar estas operaciones se modifica con un retraso que vendrá dado por
existe un bloque especial de la CPU la suma de los retrasos de todas las puertas que
denominado Unidad Aritmético Lógica intervienen en la operación.
(ALU).
Número de operandos del módulo. Hay
Gobernada por la unidad de control, la ALU módulos que solamente emplean un operando,
tiene como entradas os datos almacenados en como es el de la negación, pero habitualmente
los registros internos de la CPU. la mayoría de los módulos realizan
Adicionalmente, la ALU tiene como salida los operaciones que utilizan dos operadores, como
indicadores de estado que señalan las son la suma, división o AND lógico.
características del resultado de la última
operación (por ejemplo, si el resultado ha sido Incorporación de paralelismo al módulo. Si
cero, negativo, etc.). el módulo realiza la operación bit a bit se dirá
que es serie, sin embargo, si se transforma toda
la palabra simultáneamente se dirá que es
paralelo. El primero es de tipo secuencial y
La ALU a su vez está compuesta por diversos requiere tantas fases como dígitos tengan los
circuitos especializados en realizar una operandos, mientras que el segundo es de tipo
transformación particular de los datos, paralelo.
típicamente una operación aritmética o lógica.
Como ejemplo una ALU puede disponer de
una unidad de suma/resta de enteros, una
unidad de multiplicación de enteros, una Operación aritmética o lógica. La operación
unidad de división de enteros y su equivalente realizada puede ser de tipo lógico (AND, OR,
en punto flotante.
etc). O de tipo aritmético (suma, 2.2.1 Estructura y operaciones de la ALU
multiplicación, etc).
La ALU está formada por un conjunto de
Integración en la CPU. Puede ocurrir que operadores, un conjunto de registros que van a
parte de los módulos de la ALU estén almacenar los operandos fuente y resultados
integrados en la CPU (típicamente los que parciales y unos biestables de estado. El
realizan operaciones lógicas o aritméticas con órgano secuenciador (si hay operadores
números enteros), y otros sean externos a la
secuenciales) se integran en la UC.
CPU debido a que son muy complejos y
ocupan mucha superficie de silicio. Es el caso Las operaciones más complejas no se
de los coprocesadores matemáticos que se implementan con circuitos combinacionales,
utilizaban en procesadores. ya que requerirían una gran superficie de
silicio. Será la unidad de control la que se
Un ejemplo de módulo ALU es el sumador encarga de generar la ejecución secuencial de
elemental de 1 bit. Este operador es aritmético los algoritmos de las operaciones complejas.
y utiliza dos operandos. Suponiendo que una Un ejemplo típico son las operaciones de
palabra tiene una anchura de 8 bits, si se multiplicación y división. Este tipo de
conectan 8 sumadores elementales se obtiene operaciones en procesadores pequeños no
un sumador paralelo. Sin embargo, si la unidad suele implementarse con lógica combinacional
de control suministra de manera secuencial los dentro del operador, sino que su ejecución se
bits a una sola unidad de suma elemental y basa en sumas y restas elementales realizadas
almacena el resultado parcial en un registro, se en módulos combinacionales.
trata de un sumador serie. Las ALUs suelen tener varios operadores que
pueden funcionales independientemente de los
La principal ventaja del operador serie es que demás y en algunos casos en paralelo. El banco
es más pequeño y por tanto ocupa menos de registros de propósito general sirve para
superficie de silicio. La desventaja de este almacenar resultados de operaciones
módulo es que es más lento que el operador intermedias, típicamente una ALU de tamaño
paralelo. Cabe destacar cómo el operador serie intermedio suele tener de 8 a 16 registros. En
ocupará más de 1/8 parte del módulo paralelo, algunas CPU existe un registro especial
al incluir también la UC módulos que llamado acumulador que recibe los resultados
secuencian las operaciones. del operador y ciertas operaciones sólo pueden
realizarse sobre el contenido del acumulador.
El registro de estado del procesador consta de
una serie de indicadores o flags que almacenan
información sobre el resultado de la última
operación realizada.
Las operaciones más frecuentes que
implementan los operadores de la ALU son las
siguientes:
Desplazamientos: lógicos, circulares
y aritméticos.
Operaciones lógicas: NOT, AND,
Ilustración 2: Tabla de la compuerta MUX OR, XOR.
Operaciones aritméticas: suma, resta,
multiplicación y división.
Estas operaciones se pueden ejecutar
de diversas maneras, dependiendo del
paralelismo que implemente en cada una de
ellas. A mayor paralelismo, mayor rapidez;
pero habrá más unidades funcionales, con lo
que la ALU ocupará más superficie de silicio y
por tanto será más cara.

Las operaciones básicas y más


frecuentes (suma-7resta, desplazamientos y
operaciones lógicas) siempre se implementan Tabla 1: Operaciones de la ALU
con una unidad combinacional específica. Los
procesadores más potentes incorporan
unidades específicas para realizar las
operaciones de multiplicación y división en Con el fin de hacer el diseño más manejable se
coma flotante, quedando para coprocesadores divide el problema en módulos. En lugar de
aritméticos y programas específicos diseñar una ALU de 4 bits como un solo
operaciones como raíces y operaciones circuito, se implementará primero una ALU de
trigonométricas. 1 bit (bit-slice) para con base en ella montar el
circuito de 4 bits. Esta unidad tendría 6
entradas y dos salidas, lo cual la haría bastante
OPERACIONES DE LA ALU tediosa para su diseño por tablas. Una
alternativa está en separar esta unidad de 1 bit
Una unidad aritmética y lógica (ALU) es un en dos módulos: uno lógico y uno aritmético, y
circuito combinacional que desarrolla realizar el diseño de cada módulo en forma
microoperaciones lógicas y aritméticas de dos independiente.
operandos A y B de n bits. Las operaciones
llevadas a cabo por la ALU son controladas por
un grupo de entradas de selección de función.

Se debe diseñar una ALU de 4 bits con 3


entradas de selección de función: modo M,
entradas de selección S0 y S1. La entrada M
selecciona entre una operación lógica (M=0) y
una aritmética (M=1). Las funciones
desarrolladas por la ALU se muestran en la
siguiente tabla. Cuando se está realizando una
resta, asumiremos que el resultado es positivo
( p. ej. A>B cuando se hace A-B).

Ilustración 3: Esquema modular de una ALU


Para el módulo aritmético estudie el DEMUX 4
comportamiento de las entradas de un bit
para cada una de las operaciones. Puede
utilizarse un circuito Sumador completo
(Full Adder).
XOR 4
Cuando se trabaja con complemento a 2´s
para calcular A-B ó B-A, es posible que el
bit de carry sea generado dando un
resultado erróneo. Por ejemplo, si A=1101
(13) y B=0000 (0) , A-B=A+B´+1 = 1101
+ 1111 +1 = 1 1101, que es igual a 29 en
lugar de 13. Esto es porque el bit de carry
en 1101 debería ser eliminado para dar: OTROS PATERALES
1101. Se puede prevenir este error usando
un circuito lógico que suministrará un 0 MARTERIAL CANTIDAD GRAFICO
siempre y cuando las funciones A-B ó B-A ALAMBRE 4m
han sido seleccionadas por (S0,S1,M), y de
otra manera suministrará la salida de Carry DIODO 10
Out Co del 4º bit de la ALU. LED

MATERIALES:
FUENTE 1
COMPUER CANTIDA GRAFICO
TA D
OR 5

3. PROCEDIMIENTO:
AND 7 Advertencia: ¡Polarizar bien las compuertas
logicas!
1. Conseguir las compuertas a utilizar y otros
materiales complementarios
FLIP FLOP 14 2. Realizar por fases la ALU para poder
realizar mejor el proyecto
3. Verificar todos los materiales en buen
DECO 3 estado principalmente los Protoboards

NOT 5

MUX 4
Figura 5: verificación de los diodos

Figura 4: Revisión de los materiales


5. Verificar en la simulación de Proteus
U68

0
0
0
0

0
0
0
0

0
4. Realizar la estructura de la ALU.
U69
XOR

U70
U1 XOR

S0 U3:A U2 U11
1 2
A Y0
4 0 10
A1 S1
9 U71
3 5 U4 U6 8 6 XOR
S1
B Y1
6
NOT 0 3
A2 S2
2
1 1
Y2
7 U5 1
A3 S3
15
E Y3 NOT 0 A4 S4
74S139 U7 11 XOR
NOT 1 AND 7
B1
B2
4
NOT B3
16
U8 B4
AND 13 14
C0 C4
74LS83 U28
U9 U55
AND U66 U104
U15
U10 U29
OR ?
AND U56
OR
AND U105
OR
U16
U12 XOR
AND U67 U30
OR ?
U57
OR
U17 U106
OR
U13 XOR
AND
XOR U31
OR ?
U18 U58
OR
U14 XOR U107
OR
AND

OR ?
XOR OR
AND OR

?
U20

U21
AND

U22
AND U19
10
A0
12
U23 A1
13
A2
AND 15
A3
9
B0
11
B1
14
B2
AND 1
U24 B3
2 7
A<B QA<B
3 6
A=B QA=B
4 5
A>B QA>B
U25 7485
AND

U26
AND U32

U27
AND
AND

AND

U41
U45
U33
U42
AND

U34 OR U47
AND

U43
AND
U35
AND U46 OR

U36 U44
AND
AND
OR

AND AND
U37
U48
U38 U52
AND
U49
OR
U39 U54
AND OR
U50
OR
U40 U53
AND OR
U51
OR

AND OR

OR

U59
U63

U60
XOR

OR
U65
XOR
U61
OR
U64
XOR
U62
OR

U96 XOR

U97
AND

U98
AND

U99
AND

AND
U100

U101
AND

U102
AND

U103
AND

AND U108

U80 U72 U109 U84


AND

U81 U73
NOT U110
AND
U74 AND OR
U85
U82 NOT
AND U75 U111
AND
NOT
U83 OR
AND U112 U86
NOT
U76 AND

U92 U88 U113


AND U77
AND OR
NOT

U93 U78 U87


NOT U89 U114
NOT
AND AND
U79
U94
NOT U90 NOT U115 OR
AND AND
NOT
U95
NOT U91
AND AND

NOT
AND

Figura 6: Circuito en Proteus


Aleatorio”.
2. En donde se puede aplicar una memoria
ram
En una computadora o una laptop

6. CONCLUSIONES

 Al finalizar el proyecto quedo más


claro el funcionamiento de circuitos
combinacionales, y el funcionamiento
de ALU, aunque muy primitiva pero así
comprendemos más el funcionamiento
de lo que hace una computadora por
dentro por medio de esta memoria ram
primitiva que solo consta de 2
operaciones que es la suma y la resta.
También el uso de nuestra fuente de
poder fue importante para la
alimentación de nuestro circuito. Otra
herramienta importante fue usar un
multímetro para detectar posibles
Figura 7: Circuito completo de la la memoria
errores en la alimentación de los
circuitos.
 Resulto ser una buena experiencia en
nuestro caso ya que nunca habíamos
trabajado con este tipo de material para
entender cómo puede estar armada una
4. RESULTADOS OBTENIDOS: computadora por dentro y entender más
Sumador restador de 4 bits su funcionamiento interno.
A B CI CO S
0 0 0 0 0 7. RECOMENDACIONES
0 0 1 0 1
0 1 0 0 1  Verificar el funcionamiento de las
0 1 1 1 0 compuertas y materiales a utilizar en el
1 0 0 0 1 proyecto.
1 0 1 1 0  Verificar que el circuito este armado
1 1 0 1 0 adecuadamente.
1 1 1 1 1  Se recomienda polarizar las
compuertas adecuadamente.
5. PREGUNTAS
1. ¿Qué es una memoria ram? 8. BIBLIOGRAFIA.
La memoria RAM es la memoria
principal de un dispositivo donde se
almacena programas y datos •TEORÍA DE CIRCUITOS Y
informativos. Las siglas RAM significan DISPOSITIVOS ELECTRÓNICOS,
“Random Access Memory” traducido al BOYLESTAD & NASHELSKY, décima
español es “Memoria de Acceso
edición,2009, PEARSON-PRENTICE
HALL.

•DISPOSITIVOS ELECTRÓNICOS,
FLOYD THOMAS L, octava edición, 2007,
PEARSON EDUCACIÓN

Bibliógrafa de la web
 http://es.slideshare.net/JulianS08/sum
ador-completo-7855356
 http://www.electronica.com.es/conte
nt/26-restadores-binarios
 http://www.virtual.unal.edu.co/curs
os/ingenieria/2000477/lecciones/03
0801. htm
 http://www.electronicafacil.net/circui
tos/Multivibrador-astable-con-
555.html
 https://sites.google.com/site/tecnoies
villena/circuito-555
 http://www.mastermagazine.info/ter
mino/3824.php
 http://www.virtual.unal.edu.co/curs
os/ingenieria/2000477/lecciones/03
0601. htm.

ANEXOS

Anexo 1:

Das könnte Ihnen auch gefallen