Sie sind auf Seite 1von 6

Scaling CMOS:

materials & devices


by G. A. Brown, P. M. Zeitzoff, G. Bersuker, and H. R. Huff

The scaling of silicon integrated circuits to smaller Perhaps the earliest figure of merit for metal-oxide-
physical dimensions became a primary activity of semiconductor (MOS) device switching speed held
that this parameter increased as the inverse square of
advanced device development almost as soon as the
the MOS transistor physical channel length,
basic technology was established. The importance
encouraging the shrinking of this dimension. The
and persistence of this activity is rooted in the
basic idea is that the output or drive current of a
confluence of two of the strongest drives governing device available to switch its load devices increases
the business; the push for greater device linearly as its physical channel length decreases,
performance, measured in terms of switching speed, while the current required by the load devices to
and the desire for greater manufacturing profitability, achieve switching decreases as their gate area and,
dependent upon reduced cost per good device built. hence, their physical channel length decreases. Thus,
there is a twofold benefit in device dimension
reduction. Since the drive current requirement to
switch the load devices depends, to some extent,
upon the total load capacitance and area, there is a
strong motivation to reduce the size of the complete
device, not only its physical channel length.

The economic case may be stated even more simply. As a


general rule, semiconductor devices are manufactured by the
batch, or by single-wafer processes, while they are sold by
the individual device. Hence, the more devices one can pack
onto each wafer, the higher the potential economic return.
This is more conventionally described in terms of functional
cost, expressed as dollars per transistor, shown in Fig. 1.
Maintaining a continuously falling functional cost with time
is seen as a key factor in driving an ongoing demand for
International SEMATECH, electronics and semiconductor products. To meet this
2706 Montopolis Drive, demand in the face of achievable increases in revenue and
Austin, TX 78741, USA
E-mail: George.Brown@sematech.org capital investment, the industry is forced to maintain an

20 January 2004 ISSN:1369 7021 © Elsevier Ltd 2004


REVIEW FEATURE

essentially flat manufacturing cost per square centimeter of been realized that, after this long period of geometric scaling,
Si wafer area while expanding the total number of transistors we have arrived at a point where the concomitant scaling of
built, or the number of transistors per chip, also known as the thickness of the silicon dioxide (SiO2) gate dielectric has
Moore’s Law1. Over the last half-century of MOS device left it only a few atomic layers thick, beyond which the
development, we have seen Si wafer diameters increase from material no longer possesses its inherent physical
about 20 mm to 300 mm, providing more than a factor of characteristics. This has brought about the search, described
200 increase in available device area per wafer and a in the companion article by Bersuker et al.4, for new gate
shrinkage of the critical dimensions of devices from dielectric materials with higher dielectric constants (k) to
10-20 µm to the 0.1 µm range, and even below. provide larger values of channel charge for transistor drive
Fairly early on, it was recognized that this scaling down of current at greater physical thickness values.
device dimensions could not be done randomly. The Other required materials changes in the basic Si IC
pioneering work of Dennard and colleagues2 at IBM pointed technology are following close behind the replacement of the
the way to a unified theory of constant electric field scaling, gate dielectric. Polysilicon gate electrodes, used as
indicating the interrelationship of device parameters for replacements for the metal gates of the earliest devices, are
optimum results, but the emphasis at this stage was now being found to be no longer adequate compared to
primarily upon device dimensions and not materials or metals, and transistor physical channel length, the original
material properties. It did, however, show that the physical target of scaling, is reaching a point where doping levels can
thickness of films, as well as lateral dimensions, must be no longer be increased sufficiently to support the electrical
scaled together. Later on, the National and then potential profile required for advanced device operation. This
International Technology Roadmap for Semiconductors is encouraging an investigation of novel device structures
(ITRS)3 provided a considerably more detailed guide to with the potential of providing the desired improvement in
device scaling requirements to keep the industry on the operating characteristics within the framework of physical
Moore’s Law curve that has guided the integrated circuit (IC) realizability5. An overview of this activity is provided at the
industry’s growth1. end of this article. We shall initially address the gate
The point is that, until quite recently, the burden of electrode situation.
producing ever-faster switching devices in higher quantities
has fallen most heavily upon photolithographic technology, Gate electrodes
which has been driven to develop new techniques in order to While scaling is driving us to abandon SiO2 and the oxide-
pattern these smaller structures. In the last decade, it has silicon interface, which have been the cornerstones of the
industry for a half-century and described as unique in the
physical world, a proposed move to metal gate electrodes
represents a return to the technology used at the very
beginning of MOS device development. The first MOS devices
truly had metal gate electrodes; Au, Cr, or Al. Because of its
ease of deposition and etching, its adherence to SiO2 and Si
surfaces, and its freedom from corrosion, Al became the
standard metal gate electrode for early MOS devices. Some
shortcomings like electromigration and spiking into shallow
junctions were overcome by alloying with Cu or Si. The death
knell rang for Al, however, with the need for vertical
integration of circuits; that is, the addition of multiple levels
of metal interconnections. Al cannot withstand the higher
temperatures needed for deposition and annealing of the
interlevel dielectric films because of its low melting and
Fig. 1 Functional form of key semiconductor industry business trends (Tx = transistor). alloying temperatures.

January 2004 21
REVIEW FEATURE

Logically, the first considerations for replacement gate by doping the polysilicon gates of NMOS devices n-type and
electrodes were higher-temperature metal systems, so-called those of PMOS devices p-type, as noted earlier. As further
refractory metals. Materials including Mo, W, and Pt, mostly scaling has reduced the thickness of the gate oxide, the
deposited by physical vapor deposition (PVD), were evaluated dependence upon the gate electrode work function to set
and found wanting in the areas of deposition damage to the the device Vt has increased, as the effect on the device Vt of
underlying gate oxide, process compatibility, or etching other parameters, such as oxide/interface charge density and
difficulties. The adoption of polysilicon for this application oxide thickness, decreases linearly with the oxide thickness.
was perhaps the first major change in MOS process It has been this continuing decrease in gate dielectric
technology, implemented in the early 1970s in many IC thickness that has revealed shortcomings in the use of
houses. The great good fortune of this choice became clear polysilicon for gate electrodes. As noted above, setting
with the advent of complementary-MOS (CMOS) technology, device Vt by doping the polysilicon results in the polysilicon
where the ability to tailor the work function of the gate gate electrode being of opposite conductivity type to the Si
electrode for negative- and positive-channel MOS (NMOS substrate. Thus, when the device is biased into substrate
and PMOS, respectively) devices by doping the polysilicon inversion for operation, there is a tendency for the gate
with P or B, respectively, became apparent (as well as the electrode to deplete and invert as well. Any depletion of the
ability of the doped polysilicon to getter metallics in the SiO2 polysilicon surface adjacent to the gate oxide appears as an
film). Up until this time, the work function of the gate additional dielectric region, increasing the equivalent oxide
electrode had not commanded significant attention, because thickness (EOT) of the gate dielectric. Typically, this effect
the threshold voltage, Vt, depended on many other will add 0.4-0.6 nm to the EOT of the gate dielectric, an
parameters of at least equal importance; substrate doping, amount that was negligible when gate oxides were 10 nm
gate oxide charge, and thickness. In addition, operating thick, tolerable at 5 nm, but unacceptable as we push toward
voltages were high, and the role of the gate electrode in 1.0 nm EOT gate dielectrics. In addition, the B dopant used
setting Vt was not seen as critically important. to create the heavily doped p-type polysilicon gates for the
As scaling drove the operating voltages lower, however, PMOS devices diffuses more easily through the gate
the need for controlled, low, and equal (but opposite polarity) dielectrics than does the n-type dopant used for NMOS.
threshold voltages for NMOS and PMOS transistors in circuits The drive to add more B to the gate to minimize depletion,
became clear. To balance and minimize these threshold together with the thinning of the gate dielectric, results in
voltages, it was recognized that having the ability to tailor increased B diffusion through the gate dielectric into the
the work functions of the polysilicon gate electrodes by channel of the PMOS device, altering its Vt in an
changing their doping from heavy n-type to heavy p-type uncontrollable and undesirable way. Thus, polysilicon
was perhaps the greatest advantage in the use of polysilicon depletion and B penetration have been the two primary
for this application. Transistors work by introducing mobile reasons to return to metal gate electrodes for future
carriers into the minority carrier band of the device substrate; technology generations.
the conduction band in p-type Si for NMOS, and the valence Over the years, the use of polysilicon gate electrodes has
band in n-type Si for PMOS. Since thermal equilibrium become nearly as deeply rooted in Si device technology as
requires a uniform Fermi level throughout the MOS system, has the SiO2-Si interface. The necessity of finding new gate
applying a relatively low work function gate electrode will electrode materials and processes at the same time as
cause a downward bending of the energy bands in the p-type implementing new high-k gate dielectrics has caused great
Si substrate of the NMOS device so that the minority carrier concern in the industry, which has tried to maintain a
conduction band can readily accept conduction electrons with baseline of familiar, controllable processes in the face of
a minimum of additional applied gate voltage. A similar continued scaling challenges. Therefore, the first impulse in
situation applies to the use of a relatively high work function searching for a metal gate replacement for polysilicon was to
gate electrode on the n-type substrate of PMOS devices, try to find a single metal that would work for both NMOS
permitting the valence band to accept additional holes easily and PMOS devices in a circuit by selecting one with a Fermi
to form the p-type channel. These conditions can be obtained level aligned near the midgap position between the valence

22 January 2004
REVIEW FEATURE

and conduction bands in the Si substrate. This would depend upon the specific properties of the materials chosen.
maintain the nearly equal but opposite polarity NMOS and For example, one approach6 begins with the application of a
PMOS Vt values required for circuit operation, although these metal layer with a work function appropriate for one of the
would be higher than those for dual-doped polysilicon gates devices, the NMOS for instance, followed immediately by
because of the need to overcome the approximate 0.5 V of deposition of a second metal chosen to form an alloy or
band bending (half the band gap; the energy difference mixture with a work function, after a thermal treatment,
between the midgap and band edges) required to charge the appropriate for the PMOS device. Prior to the thermal
minority carrier bands. It was thought that this might be treatment, the second metal film is etched off the NMOS
ameliorated to some extent by channel doping of the devices. devices, leaving the first metal film in place. The subsequent
But, in view of device performance and scaling requirements, heat treatment leaves the gate work function unchanged. The
it was soon recognized that this was not a viable alternative specific materials proposed in this approach are Ti for the
and a dual-metal gate strategy would be needed for bulk first metal layer and Ni for the second film. In this case, it
CMOS devices. was found that interdiffusion of the Ni and Ti resulted in
This led to a re-examination of the periodic table, so segregation of the former at the gate electrode/dielectric
recently visited to find thermally stable replacement interface, where it sets the work function of the system. This
dielectrics for SiO2, to search for metallic materials with process also requires an extra photolithographic level.
suitable work functions that might be compatible with Si Another approach7 depends upon the fact that compounds of
process technology. Some relatively unfamiliar candidates some metals, for example their nitrides, can have metallic
such as Nb, Ir, Os, Ru and its oxide have been uncovered, properties with work functions different from that of the
along with more commonly used materials like Ta, TaN, and parent material. With this process, after deposition of the
TiN, and those considered 30 years ago when polysilicon was parent metal layer, appropriate devices are masked
adopted, Mo, W, and Pt. The real challenge is that a suitable photolithographically so that those remaining exposed can be
work function is a necessary first requirement for a dual- implanted with N2. The nitride is then formed by annealing.
metal technology, but it is only one of many equally There have been attempts in the case of high-k dielectric
important requirements such as thermal stability and development to maintain some of the beneficial properties of
compatibility with the many other materials and processing the SiO2-Si system by employing silicates of Hf and Zr, which
ambients and temperatures used in Si technology. may be thought of as metal-doped SiO2. A similar philosophy
The straightforward, brute force approach to a dual-metal may be applied to the case of dual-work function gate
gate technology would entail sequential deposition and electrodes by using fully silicided metal gates (FUSI)8. Here,
selective etching of both metals after the gate dielectric is silicidation of a polysilicon film down to the gate
deposited. Since NMOS and PMOS devices within a chip are electrode/dielectric interface minimizes the polysilicon
intermixed randomly, and metal deposition processes coat depletion effect, while retaining the beneficial process
the entire surface of the wafer uniformly, one cannot easily integration properties of the material. More recently, it has
deposit the desired metal upon the appropriate devices alone. been shown that the tunability of the work functions of these
In a sequential dual-metal process, the etching of the first systems may be enhanced by As or B doping of the silicides9.
metal from those devices requiring the second metal would For more advanced devices, such as dual-gate or ‘fin’ field-
expose their gate dielectrics to the etchant and potential effect transistors (FinFETs)10, it is found that only limited
damage, particularly during the over-etching required to tuning of the work function near the midgap value is
assure complete removal of the first metal layer. Given the required. Both pure metal systems11, such as W, and FUSI
extreme thinness of the gate dielectric and its requirement of structures12 have been proposed for this application.
high reliability, such exposures are deemed unacceptable. Complications have been found in the development of
To avoid exposure of the gate dielectric while still metal gate systems for high-k dielectrics involving the
depositing electrodes of differing work function, several interaction of the electrode with the surface of the high-k
approaches have been proposed to modify or ‘tune’ the work film. Early high-k work was directed at finding a film that
function of metal gate systems. In general, these approaches would be a direct replacement of SiO2 within the framework

January 2004 23
REVIEW FEATURE

of a polysilicon gate system. ZrO2, an early leading candidate, A schematic cross-section of an UTB FDSOI structure is
was discarded largely because of its interaction with shown in Fig. 2, and two diagrams of a FinFET, one type of
polysilicon13. Unexpected Vt control issues, particularly in UTB multiple-gate structure, are shown in Fig. 3. Modern SOI
PMOS devices using the P+ polysilicon-HfO2 system, have devices have a SiO2 layer (the buried oxide or BOX) on top of
been related to a polysilicon-HfO2 interaction resulting in the the substrate and a film of single-crystal Si on top of the
pinning of the Fermi level at the interface at a value different BOX. The device is fabricated in the top Si layer. The top Si
from that predicted by the doping of the polysilicon gate14. layer of the UTB SOI device is ultra-thin, and is fully depleted
Such Fermi level pinning effects have also been predicted and electrically when the device is turned on. Multiple-gate
described for metal gates in conjunction with high-k devices are usually built on SOI substrates and have two or
dielectrics15. This rather general effect may result in the need more surfaces along which an inversion layer is formed and
to identify metal gate candidates with work functions even current flows. The FinFET, shown in Fig. 3, has thin fins of Si
smaller for NMOS devices and larger for PMOS devices than etched into the top Si layer and gate electrodes that are
those required for a SiO2 gate dielectric. deposited and patterned on the sides of each fin. The
inversion layer is formed on the vertical edge of each fin, and
Novel device structures the current flows from source to drain along these edges. In
Beyond the 65 nm technology generation (in 2007), it is some cases, a third electrode is also utilized on top of the fin,
expected that the current mainstream IC technology – planar yielding the so-called tri-gate structure18.
bulk CMOS – will become increasingly difficult to scale High-k gate dielectrics will presumably be used for UTB
effectively, even with the utilization of high-k gate dielectrics, FDSOI and multiple-gate devices. The deposition techniques,
metal gate electrodes, elevated source-drains, strained Si, and processing, and other issues are built upon those for planar
other potential material and process solutions being bulk CMOS. The main exception is for multiple-gate devices,
developed5,16,17. Particular challenges are expected in where high-k dielectric deposition will need to be done
attaining acceptable control of short channel effects while conformally, so that the dielectric forms a uniform layer
achieving adequate drive current and acceptably low leakage along the sides, as well as the top, of the device. Metal gate
current. Nonclassical CMOS structures, such as ultra-thin electrodes will be used for UTB FDSOI and multiple-gate
body (UTB) fully-depleted silicon-on-insulator (FDSOI) MOSFETs. However, for UTB SOI and multiple-gate MOSFETs,
initially and later some type of multiple-gate UTB MOSFET, the threshold voltage, Vt, is typically set by controlling the
are expected to be utilized beyond 2007 to deal with the work function of the metal gate electrode. As mentioned
difficulties discussed above. above, to set Vt to the desired value of several tenths of a

Fig. 2 Simplified cross-section of an ultra-thin body fully-depleted SOI (UTB FDSOI) MOSFET.

24 January 2004
REVIEW FEATURE

(a)

(b)

Fig. 3 The FinFET, an example of a nonclassical CMOS structure. (a) Shows a perspective view of a FinFET, where the fin is colored yellow. (b) Top view of a FinFET.

volt for NMOSFETs and similar negative values for Conclusion


PMOSFETs, the gate electrode work function must be near- The scaling of Si ICs, a process as old as the technology itself,
midgap, e.g. several tenths of a volt above the Si midgap has now moved well beyond geometrical shrinking, leading to
position for NMOSFETs and several tenths of a volt below it the need for significant new materials and device research to
for PMOSFETs. For multiple-gate structures, furthermore, the replace the components and structures that have been used
metal gate electrode material will need to be deposited in the technology from its outset. In this and the companion
conformally to produce a uniform layer along the sides, as article on page 26, we have discussed various aspects of
well as the top, of the device. Finally, doping, patterning, and current research in high-k gate dielectrics, metal gate
etching such electrodes uniformly and completely along both electrodes, and some aspects of novel, nonclassical CMOS
the top and edges of the fins are expected to present device design. The ability of the industry to maintain its
significant challenges beyond those involved in patterning growth along the Moore’s Law projection depends upon the
and etching the gate electrode in planar bulk technologies. success of the ongoing research in these areas. MT

REFERENCES 9. Sim, J. H., et al., IEEE Electron. Dev. Lett. (2003) 24, 631
1. Moore, G. E., Electronics (1965) 38, 114 10. Hisamoto, D., et al., IEEE IEDM Tech. Dig. (1998) 1032
2. Dennard, R. H., et al., IEEE J. Solid-State Circuits (1974) SC-9, 256 11. Buchanan, D. A., et al., Appl. Phys. Lett. (1998) 73, 1676
3. International Technology Roadmap for Semiconductors (ITRS), Semiconductor 12. Kedzierski, E., et al., IEEE IEDM Tech. Dig. (2002) 247
Industry Association, 2001 (http://public.itrs.net) 13. Lysaght, P., et al., J. Non-Cryst. Solids (2002) 303 (1), 54
4. Bersuker, G., et al., Materials Today (2004) 7 (1), 26 14. Hobbs, C., et al., Symp. VLSI Tech. Dig. (2003) 9
5. Huff, H. R., and Zeitzoff, P. M., In: Characterization and Metrology for ULSI 15. Yeo, Y.-C., et al., IEEE Electron. Dev. Lett. (2002) 23, 342
Technology, Seiler, D. G., et al. (eds.) American Institute of Physics, Melville
New York, (2003), AIP Conference Proceedings 683, 107 16. Zeitzoff, P., et al., Int. J. High-speed Electron. Systems (2002) 12 (2), 267

6. Polishchuk, I., et al., IEEE Electron. Dev. Lett. (2002) 23, 200 17. Zeitzoff, P., et al., In: Nano and Giga Electronics, Greer, J., et al., (eds.), Elsevier,
Amsterdam, (2003)
7. Lu, Q., et al., Symp. VLSI Tech. Dig. (2001) 45
18. Doyle, B. S., et al., IEEE Electron. Dev. Lett. (2003) 24, 263
8. Maszara, W. P., et al., IEEE IEDM Tech. Dig. (2002) 367

January 2004 25

Das könnte Ihnen auch gefallen