Beruflich Dokumente
Kultur Dokumente
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity clock is
Port (
Clk : in std_logic;
LED : out STD_LOGIC_VECTOR(6 downto 0);
dp : out STD_LOGIC;
an0 : inout STD_LOGIC;
an1 : inout STD_LOGIC;
an2 : inout STD_LOGIC;
an3 : inout STD_LOGIC;
end clock;
digit1<=0;
else
digit1<=digit1+1;
end if;
sec<=0;
else
sec<=sec+1;
point <= not point;
end if;
end if;
end if;
end process;
end Behavioral;