Sie sind auf Seite 1von 5

922 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 59, NO.

12, DECEMBER 2012

Low-Power Level Shifter for


Multi-Supply Voltage Designs
Marco Lanuzza, Member, IEEE, Pasquale Corsonello, Member, IEEE, and Stefania Perri, Senior Member, IEEE

Abstract—In this brief, a new low-power level shifter (LS) is


presented for robust logic voltage shifting from near/sub-threshold
to above-threshold domain. The new circuit combines the multi-
threshold CMOS technique along with novel topological modifi-
cations to guarantee a wide voltage conversion range with limited
static power and total energy consumption. When implemented in
a 90-nm technology process, the proposed design reliably converts
180-mV input signals into 1-V output signals, while maintaining
operational frequencies above 1-MHz, also taking into account
process-voltage-temperature variations. Post-layout simulation re-
sults demonstrate that the new LS reaches a propagation delay less
than 22 ns, a static power dissipation of only 6.4 nW, and a total
energy per transition of only 74 fJ for a 0.2-V 1-MHz input pulse.
Index Terms—Level shifter (LS), multi-supply voltage design,
Fig. 1. Conventional DCVS level converter.
sub-threshold operation, ultra-low power.

I. I NTRODUCTION the higher power supply domain [5]. The issue is particularly
compounded when the VDDL is lowered below the transistor’s

E NERGY efficiency is one of the most important issues to


address in today’s System-on-a-Chip designs. Among the
techniques known in the literature to reduce power consump-
threshold voltage. In fact, in such a case, balancing the input
section driving capability of the LS with sections of the circuit
working at the VDDH voltage level requires proper design
tion, those based on power supply voltage reduction are con- techniques [4], [6]–[10].
sidered very effective even though they can severely penalize This paper deals with a novel low-power LS designed to
speed performances [1], [2].
convert near-threshold or sub-threshold voltages to above-
An alternative approach, known as the multi-supply voltage
threshold voltage levels. When implemented with the 90-nm
domain technique [3], consists of partitioning the design into
ST Microelectronics CMOS technology, the new design suc-
separate voltage domains (or voltage islands), each operating
at a proper power supply voltage level depending on its timing cessfully converts input voltages as low as 0.18 V to the 1-V
requirements. Time-critical domains run at higher power supply nominal output voltage, with a delay of 21.8 ns and consuming
voltage (VDDH) to maximize the performance, whereas non- only 6.4 nW of static power for VDDL = 0.2 V.
critical sections work at lower power supply voltage (VDDL) The remainder of the paper is organized as follows. Section II
to improve power efficiency. For extremely low-power appli- provides a brief review of existing LS circuits. The proposed
cations, the presence of sections of the system operating in a topology is then presented in Section III and Section IV dis-
sub-threshold regime is a valuable option [4]. cusses and evaluates obtained results. Finally, in Section V
A key challenge in the design of efficient multiple-supply conclusions are drawn.
circuits is minimizing the cost of the level conversion between
different voltage domains while maintaining the overall robust- II. R ELATED W ORKS
ness of the design. To such a purpose, level shifter (LS) circuits
have to be used. The traditional LS topology is the differential cascade volt-
To down-convert from a higher voltage (within the oxide age switch (DCVS) circuit, as shown in Fig. 1. It includes a
breakdown limits) to a lower voltage domain, CMOS inverters half-latch formed by two PMOS transistors (MP2 and MP3)
are usually adequate [4]. On the contrary, more complex LS and a pair of NMOS devices controlled by the differential
topologies are required to up-convert signals from the lower to low-voltage input signals A and AN. When the input voltage
A (AN) goes from low (high) to high (low), MN2 (MN3) is
Manuscript received July 13, 2012; revised September 12, 2012; accepted turned on (off). As a consequence, the voltage at node NH
October 18, 2012. Date of publication December 24, 2012; date of current (NL) is pulled down, leading MP3 (MP2) to be turned on.
version February 1, 2013. This brief was recommended by Associate Editor This occurs when NH (NL) voltage reaches VDDH-Vth,MP3
M. Alioto.
Authors are with the Department of Electronics, Computer Science, and (VDDH-Vth,MP2 ). Once MP3 (MP2) is turned on, the node NL
Systems, University of Calabria, Arcavacata di Rende 87036, Italy (e-mail: (NH) starts to be charged, weakening MP2 (MP3). Thus, the
p.corsonello@unical.it). positive feedback accelerates the voltage level conversion. It
Color versions of one or more of the figures in this brief are available online
at http://ieeexplore.ieee.org. should be noted that the DCVS-LS behaves as a ratioed circuit
Digital Object Identifier 10.1109/TCSII.2012.2231037 and there is a contention between MP2 (MP3) and MN2 (MN3)

1549-7747/$31.00 © 2012 IEEE


LANUZZA et al.: LOW-POWER LEVEL SHIFTER FOR MULTI-SUPPLY VOLTAGE DESIGNS 923

transistors. As a consequence, pull-up and pull-down strengths


need to be properly balanced to assure correct functionality.
This requirement is difficult to achieve in practice when input
signals have sub-threshold voltage levels [4].
Lütkemeier et al. estimated that a NMOS-to-PMOS ratio of
∼2400 is needed to design a fully functional DCVS-LS that
converts 200 mV input into 1-V output signals, using a 90-nm
CMOS process technology [10].
In order to address this issue, several solutions have been
proposed in recent years. In [6], an architecture using four
DCVS cascaded circuits is employed to convert voltages from
200 mV to 1.2 V. Unfortunately, each conversion stage uses
its own VDDH (i.e., 0.3, 0.4, 0.6, and 1.2 V), thus requiring
multiple power regulators to generate intermediate VDDHs.
This introduces large power penalties.
The LS proposed by Wooters et al. [7] uses only two stages:
Fig. 2. Novel level shifter design.
the first one exploits a DCVS circuit with an always-on diode-
connected NMOS transistor at the top, whereas the second stage
is a traditional DCVS circuit for achieving rail to rail swing. To provide fast differential low-voltage input signals, the
Such a strategy avoids intermediate power lines, but does not input inverter was created using lvt devices. To increase the
allow high-speed performance to be achieved. strength of the pull-down network of the main voltage conver-
The solution presented by Chen et al. [4] reduces the drive sion stage, it was also designed by using lvt transistors.
strength of the half-latch pull-up network within the conven- The cross-bar current flowing through the nodes NH and NL
tional DCVS structure through two PMOS current limiters. at the beginning of their high to low transition [13] could be
This LS circuit can convert sub-threshold input signals with of concern. Thus, to reduce this effect, two lvt PMOS devices
reasonable pull-down transistors sizing, but, as its main draw- (MP2 and MP3) are adopted.
back, it requires an always “on” reference path for the current MP4 and MP5 were chosen as hvt transistors. This helps in
limiters, which leads to an increased static power dissipation, weakening the pull-up networks of the main voltage conversion
particularly when the input signal voltage is raised in the above- stage, thus reducing contention at NH and NL nodes. This
threshold voltage domain. choice also reduces the leakage current flowing through the
The possibility of exploiting a dynamic threshold MOS pull-up networks when they are turned off. Finally, to ensure
(DTMOS) configuration [11] to implement the NMOS devices reliable voltage conversion, two diode-connected hvt PMOS
of the conventional DCVS circuit was explored by Chavan et al. devices (MP6 and MP7) were placed between the pull-up logics
[8]. The resulting LS topology uses pull-down devices that, and the supply rail VDDH . These devices limit the pull-up
when turned on, exhibit improved drive strengths with respect strength, but also lead to considerable reduced static power.
to the pull-up networks. Unfortunately, owing to individual We now briefly describe the running of the proposed circuit
body connections needed for each transistor, this technique is with particular attention to the differences between the new
easily practicable only in SOI CMOS. architecture and the conventional DCVS one. A high to low
In [9], diode-connected and off-biased PMOS transistors are transition of the main input causes MP4 being turned on. Its
used to limit the drive strength of the pull-up network. More- drain current brings the diode-connected MP6 device into the
over, the multi-threshold CMOS design technique is applied to saturation region. This creates a voltage drop (i.e., Vth,MP6 )
tradeoff speed and power consumption. However, owing to the across MP6 terminals that produces a correspondent bulk-
presence of the always off-biased PMOS transistors, such an source voltage drop on MP4. Due to the bulk effect, this
approach is not easily scalable, particularly in terms of both increases the MP4 threshold voltage. The reduced voltage level
static power and dynamic energy consumption [10]. (VDDH-Vth,MP6 ) on the source terminal of MP4 limits its VGS ,
The LS proposed in [10] is based on a current mirror circuit. thus further weakening the MP4 action. All the above effects
However, when the input voltage signal is high, the output reduce the contention on the node NH, thus allowing faster
node of the current mirror floats, thus negatively impacting the discharging to be achieved.
overall power consumption [12]. When MP4 is turned on, MP5 is consequently turned off.
In this case, the small leakage current flowing through MP5
III. P ROPOSED LS
is not enough to turn MP7 on. For this reason, MP5 results
The proposed LS was designed using the commercial 90-nm power gated from the VDDH power rail, leading to a significant
CMOS ST Microelectronics process technology. The latter pro- reduction in its sub-threshold current. The diode-connected
vides the designer with low-voltage threshold (lvt), standard- MP7 device participates in minimizing the leakage current, also
voltage threshold (svt), and high-voltage threshold (hvt) by increasing the threshold voltage of MP5. In fact, MP7 causes
transistors. As shown in Fig. 2, the novel circuit consists of an the source of transistor M5 to be at lower voltage than the bulk
input inverter, a main voltage conversion stage, and an output node and thereby reduces the sub-threshold leakage current due
inverting buffer. to the bulk effect.
924 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 59, NO. 12, DECEMBER 2012

TABLE I
T RANSISTORS S IZES

Fig. 4. PVT analysis of the propagation delay (in log scale) versus VDDL.

scribed in [14]. Power supplies are available through the top


and the bottom metal-1 rails, while a shared ground rail travels
at the center of the cell. The width of the ground rail is twice the
width of the other rails in order to have consistent abutment with
neighboring single-height standard cells. Note that the use of
the double-height layout strategy allows well-sharing between
PMOS transistors driven by the same power supply in different
standard-cell rows, thus leading to more compact system lay-
outs [14]. Signals routing have been realized using only metal
layers 1 and 2, thereby keeping the other metal layers available
for interconnections on higher abstraction levels. The obtained
layout dimensions are 4.5 μm × 8.1 μm.

Fig. 3. Layout of the proposed LS. IV. R ESULTS AND D ISCUSSIONS


The Cadence Spectre tool was employed for the post-layout
The above-described strategy significantly differs from those analysis. Simulations for three process-voltage-temperature
adopted in other LS designs that exploit diode-connected tran- (PVT) corners were performed considering the input signal
sistors [7]. frequency of 1 MHz, input signal rise- and fall-times of 10 ns,
Since MP6 limits the output range of the main conversion and 100 fF of capacitive load.
stage to [0 V, VDDH − VTp ], an output inverter is connected The typical case corner involves typical-NMOS and PMOS
to node NH, to assure a rail-to-rail conversion. The pull-down transistors, a 1-V high supply voltage, and a temperature of
of such an inverter uses an svt device, whereas its pull-up is 25 ◦ C. The worst case (WC) corner was determined consid-
designed by exploiting an hvt PMOS transistors stack, thus lim- ering that, in a sub-threshold running condition, the weakly
iting the leakage current flowing through the pull-up network of driven pull-down NMOS transistors have to overpower the
the output inverter, when NH is high. Opposite and substantial corresponding pull-up PMOS devices. Thus, the slow-NMOS
threshold voltage variations on MP6 and MP8-MP9 could, in fast-PMOS are used in this case together with an increased
theory, cause the latter transistors to go in weak inversion, VDDH (1.1 V) that further worsens the contention between
thus increasing the static power dissipation. However, simu- pull-up and pull-down at nodes NH and NL. Moreover, a
lations discussed in Section IV do not highlight this effect as temperature of −25 ◦ C was considered, which implies weaker
significant. transistor operation in the sub-threshold region. Finally, for
In Table I, the transistors’ sizes used in the design of the the best case condition fast-NMOS, slow-PMOS, VDDH =
proposed LS are reported. Transistors with a channel length 0.9 V and a temperature of 125 ◦ C was assumed. Obtained
of 0.20 μm (twice the minimum allowed channel length) were simulation results are shown in Fig. 4. The novel circuit op-
used in the main voltage conversion stage and the output- erates correctly for VDDL as low as 0.18 V for all PVT
inverting buffer, to reduce sub-threshold leakage currents. On corners. When VDDL ≤ 0.35 V (i.e., the sub-threshold region
the contrary, since the leakage current is a minor issue for the is reached for the chosen technology process), the propagation
input inverter, it has been made with minimum channel length delay exponential increases. However, the running frequency of
devices, thus enhancing speed. the proposed circuit is always maintained above 1 MHz.
It is worth noting that the right branch of the main voltage Fig. 5 shows the plot of the operating frequency as a function
conversion stage is downsized with respect to the left one of VDDL. It is interesting to note that it increases exponentially
because of the reduced load on the node NL. with VDDL in the deep sub-threshold region because, in such
Fig. 3 illustrates the physical design of the proposed operating conditions, the strength of the pull-down transistors
LS. It exploits the double-cell-height layout strategy de- MN2 and MN3 exponentially depends on VDDL. Then, after
LANUZZA et al.: LOW-POWER LEVEL SHIFTER FOR MULTI-SUPPLY VOLTAGE DESIGNS 925

Fig. 5. Operating frequency versus VDDL at the typical PVT corner.

Fig. 7. Monte Carlo simulation results@ VDDH = 1 V, VDDL = 200 mV,


Fig. 6. Energy-per-transition (@ 1 MHZ) and static power versus VDDL at and T = 25 ◦ C. (a) Delay distribution. (b) Static power distribution.
the typical PVT corner.

a further but slower increment in the near-threshold zone, it an additional input buffer power supplied at a voltage level
saturates toward 100 MHz. This happens because the balancing higher than that of the input signal [7].
between the pull-up and pull-down strengths is altered when The characteristics of the new LS are compared in Table II
VDDL scaling requirements are low (a large voltage level with previously proposed designs. The LS proposed in [12] has
conversion is not required). been realized using a 0.35-mm process. However, it exhibits a
Fig. 6 illustrates the total energy dissipated per transition as quite low-power consumption. Unfortunately, this is obtained
a function of VDDL, when an input signal with a frequency of at the expense of a very high propagation delay. On the con-
1 MHz is assumed. The static power consumption versus trary, thanks to the use of the DTMOS technique, the circuits
VDDL is reported in the same figure. proposed by Chavan and MacDonald [8] exhibit considerable
The designed circuit shows a relatively wide flat energy speed, but they dissipate higher energy. The last four rows
minimum (for the VDDL ranging from 200 to 400 mV). The of Table II reports data from circuits designed using a 90-nm
consumed energy significantly rises when VDDL = 180 mV process, thus they can be directly compared. In particular, the
because short circuit effects become dominant. The static circuit discussed in [4] and implemented in a 90-nm technology
power dissipation of the proposed circuit ranges from 6.3 nW process by Lütkemeier et al. shows the highest delay and energy
(@VDDL = 180 mV) to 11.5 nW (@VDDL = 0.75 V). These per transitions.
results confirm the benefits brought by the static current limit- Based on the results shown in [12], we decided to replicate
ing design strategies used. the current mirror-based circuit presented in [10] exploiting the
Fig. 7 reports results from a 10 000-run Monte Carlo (MC) 90-nm ST Microelectronics CMOS technology. For the sake of
simulation performed considering both inter-die and intra-die fair comparison, the output buffer was designed as suggested
variations. The delay [Fig. 7(a)] and the static power dissipation in the original paper [10] and the complete LS was simulated
[Fig. 7(b)] are log-normally distributed, consistent with the sub- in the same operating conditions as the proposed circuits. The
threshold regime. With respect to the circuit described in [10], replicated [10]∗∗ shows the lowest delay and quite low energy
the proposed LS shows a delay standard deviation 14.7% lower. per transition. However, it is worth noting that, in both the
Fig. 8 shows 1000-iteration MC simulation results of the original paper [10] and our replication, layout effects are not
minimum VDDL allowable for successful up-conversion to 1 V. taken into account. Unfortunately, since the output node of the
Three process corners and operating temperatures were con- current mirror floats when the input voltage signal is high, a
sidered: 1) fast-NMOS and slow-PMOS at 125 ◦ C, 2) typical- detrimental effect on sub-threshold leakage of the output buffer
NMOS and typical-PMOS at 25 ◦ C, and 3) slow-NMOS and occurs. This leads to a large static power dissipation of the
fast-PMOS at −25 ◦ C. Even under extreme process and temper- complete LS architecture (20.3 nW@ 0.2 V).
ature variations, the designed circuit can support reliable level The proposed design, benefiting from specific sub-threshold
conversion from the WC of 180 mV. leakage-reduction design techniques, achieves static power as
If necessary, the VDDL can be further lowered by increasing low as 6.4 nW@ 0.2 V, an energy dissipated per transitions of
the pull-down to pull-up strength ratio of the main voltage only 74 fJ for a 0.2-V 1-MHz input pulse, and a delay of 21.8 ns
conversion stage through proper devices sizing [4] or by using (or 484 FO4). Furthermore, it requires only 36.5 μm2 of silicon
926 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 59, NO. 12, DECEMBER 2012

Fig. 8. Distribution of minimum VDDL for successful up-conversion to 1 V.

TABLE II
C OMPARATIVE R ESULTS

area, or 11 times the area of a minimum-sized standard cell [4] T.-H. Chen, J. Chen, and L. T. Clark, “Subthreshold to above threshold
inverter in the chosen technology. Silicon areas required by [7] level shifter design,” J. Low Power Electron., vol. 2, no. 2, pp. 251–258,
Aug. 2006.
and [12] are estimated to be 16 and 52 times the respective [5] K.-H. Koo, J.-H. Seo, M.-L. Ko, and J.-W. Kim, “A new level-up shifter
inverter standard cell. Finally, the novel LS requires ≈16% less for high speed and wide range interface in ultra deep sub-micron,” in Proc.
active area than the solution proposed in [10]. IEEE Int. Symp. Circuits Syst., Kobe, Japan, 2005, pp. 1063–1065.
[6] B. Zhai, S. Pant, L. Nazhandali, S. Hanson, J. Olson, A. Reeves,
M. Minuth, R. Helfand, T. Austin, D. Sylvester, and D. Blaauw, “Energy-
V. C ONCLUSION efficient subthreshold processor design,” IEEE Trans. Very Large Scale
Integr. (VLSI) Syst., vol. 17, no. 8, pp. 1127–1137, Aug. 2009.
We have presented a new low-power LS suitable for [7] S. N. Wooters, B. H. Calhoun, and T. N. Blalock, “An energy-efficient
subthreshold level converter in 130-nm CMOS,” IEEE Trans. Circuits
robust logic voltage shifting from near/sub-threshold to above- Syst. II, Exp. Briefs, vol. 57, no. 4, pp. 290–294, Apr. 2010.
threshold domain. The proposed circuit exploits proper de- [8] A. Chavan and E. MacDonald, “Ultra low voltage level shifters to inter-
sign strategies to limit energy and static power consumption. face sub and super threshold reconfigurable logic cells,” in Proc. IEEE
Aerosp. Conf., 2008, pp. 1–6.
Thanks to these features, when used for sub-threshold to above- [9] A. Hasanbegovic and S. Aunet, “Low-power subthreshold to above
threshold voltage conversion, the proposed design exhibits the threshold level shifter in 90 nm process,” in Proc. NORCHIP Conf.,
lowest static power and energy consumption with respect to Trondheim, Norway, 2009, pp. 1–4.
[10] S. Lütkemeier and U. Rückert, “A subthreshold to above-threshold level
previously proposed LSs that use similar design parameters. shifter comprising a wilson current mirror,” IEEE Trans. Circuits Syst. II,
Moreover, even though the novel LS is optimized for low Exp. Briefs, vol. 57, no. 9, pp. 721–724, Sep. 2010.
power consumption, it also reaches high-speed performances [11] H. Soeleman, K. Roy, and B. C. Paul, “Robust subthreshold logic for ultra-
low power operation,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst.,
and supports a wide voltage conversion range. vol. 9, no. 1, pp. 90–99, Feb. 2001.
[12] Y. Osaki, T. Hirose, N. Kuroki, and M. Numa, “A low-power level
shifter with logic error correction for extremely low-voltage digital CMOS
R EFERENCES LSIs,” IEEE J. Solid-State Circuits, vol. 47, no. 7, pp. 1776–1783,
[1] V. Gutnik and A. P. Chandrakasan, “Embedded power supply for low- Jul. 2012.
power DSP,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 5, [13] Y.-S. Lin and D. M. Sylvester, “Single stage static level shifter design
no. 4, pp. 425–435, Dec. 1997. for subthreshold to I/O voltage conversion,” in Proc. IEEE/ACM 13th
[2] P. Corsonello, M. Lanuzza, and S. Perri, “Gate-level body biasing tech- ISLPED, 2008, pp. 197–200.
nique for high-speed sub-threshold CMOS logic gates,” Int. J. Circuit [14] F. Ishihara, F. Sheikh, and B. Nikolic, “Level conversion for dual-supply
Theory Appl., 2012, to be published. systems,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 2,
[3] J. C. Chi, H. H. Lee, S. H. Tsai, and M. C. Chi, “Gate level multiple pp. 185–195, Feb. 2004.
supply voltage assignment algorithm for power optimization under timing [15] H. Shao and C. Tsui, “A robust, input voltage adaptive and low en-
constraint,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, ergy consumption level converter for sub-threshold logic,” in Proc. 33rd
no. 6, pp. 637–648, Jun. 2007. ESSCIRC, 2007, pp. 312–315.

Das könnte Ihnen auch gefallen