Sie sind auf Seite 1von 11

Based on Template Rev.

1
Created By Jaafar Hassan

OCP VIP Development Specification

Reviewers
Title Name
Jaafar Hassan
Hussam Alawneh
Haitham Daa’na

Modification History
Revision Date Originator Comments
1 23/7/18
2
Terms & Abreviations
Term Meaning
VIP Verification IP (Intellectual Property)
BFM Bus Functional Model
Table of Contents
Contents
OCP VIP Development Specification ............................................................................................. 1
Reviewers ........................................................................................................................................ 1
Modification History....................................................................................................................... 1
List of Tables .................................................................................................................................. 4
List of Figures ................................................................................................................................. 4
1 Purpose.................................................................................................................................... 5
2 Introduction ............................................................................................................................. 5
3 VIP Features............................................................................................................................ 5
4 VIP Architecture ..................................................................................................................... 6
5 VIP Components ..................................................................................................................... 7
5.1 Configuration ................................................................................................................... 7
5.2 Interface ............................................................................................................................ 8
5.3 Transaction ....................................................................................................................... 9
5.4 Master Sequencer & Driver (BFM) ................................................................................. 9
5.4.1 Master Sequences...................................................................................................... 9
5.5 Slave Sequencer & Driver (BFM) .................................................................................... 9
5.5.1 Slave Sequences ........................................................................................................ 9
5.5.2 Memory/Registers Support in Slave ......................................................................... 9
5.6 Monitor ............................................................................................................................. 9
6 VIP Checkers .......................................................................................................................... 9
6.1 Physical-Layer Checkers .................................................................................................. 9
6.2 Transaction-Layer Checkers .......................................................................................... 10
7 VIP Errors Support ............................................................................................................... 10
8 VIP Coverage ........................................................................................................................ 10
9 VIP Folder structure .............................................................................................................. 10
10 VIP Testing / Verification ..................................................................................................... 11
11 References ............................................................................................................................. 11
List of Tables
No table of figures entries found.

List of Figures
Figure 1 VIP Agent Block Diagram 6
Figure 2 VIP Environment Block Diagram 7
1 Purpose
This document is intended to fulfill the following goals:

1. Help the verification engineer scope the effort required to develop OCP-VIP for the associated
interface and identify any dependencies.

2. Describe the OCP-VIP so that it can be reviewed.

3. Describe intended testing/verification of the OCP-VIP, prior to using it on specific projects.

4. Provide documentation to engineers using the OCP-VIP on future projects.

2 Introduction
This document represents the verification plan of a VIP for the OCP Protocol 2.2, It verifies some of the
features in the OCP protocols such as read, wire, and burst. Using SystemVerilog with Universal
Verification Methodology (UVM).

3 VIP Features
 All data widths
 All address widths
 All transfer types
 All burst types and burst sizes
 All protection types
 All slave response types
4 VIP Architecture
//

Figure 1 VIP Agent Block Diagram


Figure 2 VIP Environment Block Diagram

5 VIP Components
Describe in greater detail each of the individual components of the VIP. For each component,
detail its purpose, interaction with other components, primary configuration options, etc.
Provide a more detailed block diagram, if necessary, to completely and adequately document the
structure and connectivity.
Identify the type of information that is exchanged.

5.1 Configuration
Parameters
 Addr, is used to configure MAddr field into the OCP.
 Addr_wdth is used to configure the address width
 Mdata Is used to configure the master MData field.
 data_wdth is used to configure MData field size, and SData field size.
 Datahandshake is used to configure the MDataValid field, and enables the Handshake
Phase.
 Respaccept is used to configure the MRespAccept field into the OCP.
 Cmdaccept is used to configure SCmdAccept field into the OCP.
 dataaccept is used to configure SDataAccept, it indicates that the slave is able to receive
pipelined write requests from master, and is only meaningful when the handshake
parameter is enabled.
 Resp is used to configure SResp field into the OCP.
 burstlength: is used to configure the length of MBurstLength.
 Burstlength_width: is used to configure the width of MBurstLength.
 Burstprecise is used to configure the MBurstPrecise into the OCP.
 Burstseq parameter is used to configure MBurstSeq.
 Burstsinglereq is used to configure the MBurstSingleReq
 datalast, parameter indicates whether the data is last in burst and is used with
datahandshake set to 1.
 Reqlast, parameter indicates whether the request is last in burst
 Resplast parameter indicates whether Response is last.

1- Master Signals:
Signal Width Description

MCmd 3 000 – IDLE


001 – Write
010 - Read
MAddr Configurable Transfer Address, specifies the
slave-dependent address of the
resource targeted by the current transfer
MData Configurable Write Data, carries the write data from
the master to the slave.
MDataValid 1 0 – Data in MData field invalid
1 – Data in MData field valid
MRespAccept 1 0 – Master Decline the Response
1 – Master Accept the Response
MBurstLength Configurable The number of transfers in a burst.
MBurstSeq 3 000 – INCR burst type
MBurstPrecise 1 0 – Imprecise burst
1 – Precise burst
MReqLast 1 1 – Last request in the burst, from the
master.
MRespLast 1
5.2 Transaction
List all the fields of the VIP transaction, and shortly describe each one.
Mark the fields as physical, timing, virtual; mention for each field if it is applicable to
monitor/master/slave.

5.3 Master Sequencer & Driver (BFM)


Describe in greater detail these individual components of the VIP.

5.3.1 Master Sequences


If predefined master sequences are to be implemented – list them and shortly describe.

5.4 Slave Sequencer & Driver (BFM)


Describe in greater detail these individual components of the VIP.
Note: For some protocols Master-Slave distinction is not applicable – in such a case there is no
need to write both 5.4 & 5.5 chapters.

5.4.1 Slave Sequences


If predefined slave sequences are to be implemented – list them and shortly describe.

5.4.2 Memory/Registers Support in Slave


In some cases, slave-agent of a VIP should have memory and/or register support. This can apply
to memory-access interfaces, and interfaces which include predefined registers-set for
configuration & usage. In such cases – use this chapter to describe how it is implemented and
how it can be used.

5.5 Monitor
Describe in greater detail this individual component of the VIP.
List all its callbacks, tlm-ports, analysis-ports, and describe purpose of each one.

6 VIP Checkers
This chapter should include descriptions of all the checks provided by the VIP.
In most cases – checkers can be divided to (at least two groups: protocol/physical-layer checks
& transaction-layer checks). Therefore, this chapter should be organized in several sub-
chapters.

6.1 Physical-Layer Checkers


Describe the type of checkers used (SVA, clock-cycle level, etc.), and mention the VIP component
(Interface, Monitor) where each checker is implemented.

6.2 Transaction-Layer Checkers


Describe the type of checkers used (timing, data/address integrity, etc.), and mention the VIP
component (Transaction, Monitor) where each checker is implemented.
Note: In case of multi-layered protocol, this chapter can be divided to several ones.

7 VIP Errors Support


VIPs should have errors-injection and/or false-scenarios support. Use this chapter to present the
way user can control such behavior in configuration and/or master/slave drivers, as well as the
way monitor reports errors it observes.
Note: This section is not mandatory for every protocol/VIP, but it is recommended to take error-
support in consideration when planning VIP development.

8 VIP Coverage
This chapter should include descriptions of all coverage items implemented in the VIP.

Covergroup/Covepoint Bins Name Bins Description


Interface/Monitor/ Transaction Bins
Field Bins name values Description / comments / trigger info

9 VIP Folder structure


The table below describes the folder structure of the VIP environment and the content of the
folders.

Field Description

doc Contains all necessary documents (verification plan, specs etc.)

src Contains all source files

examples Contains examples of VIP usage


10 VIP Testing / Verification
Describe the way of planned VIP testing/verification.
Present the environments/configurations intended to be used, as well as the planned tests. Don’t
forget false/error tests.

11 References
List related documents such as interface specifications, methodology docs, etc.

Ref Version Title Date


No.
1 0.5
2 2.1

End of Document

Das könnte Ihnen auch gefallen