Beruflich Dokumente
Kultur Dokumente
Código: 12190016
INFORME FINA # 2
SECUENCIA DE PALABRAS
I. OBJETIVO
Utilizar los estilos de flujo de datos y algorítmico para describir el
comportamiento de un circuito.
II. MATERIALES Y EQUIPOS
Placa modulo con FPGA Altera Cyclone I
PC con la herramienta de síntesis MAX Plus II y el entorno de desarrollo
Quartus II de Altera
Una vez hecho el análisis se propone el siguiente diagrama de bloques del circuito
a implementar:
1
DISEÑO DIGITAL 17-5-2016
2
DISEÑO DIGITAL 17-5-2016
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ckto_frases is
port ( clk, sw1, sw2:in std_logic;
display: out std_logic_vector(7 downto 0);
ena: out std_logic_vector(3 downto 0));
end ckto_frases;
begin
--Divisor y contador 0-3
process(clk)
begin
if clk='1' and clk'event then
i<=i+1;
if i=23999 then
i<=(others=>'0');
conta1<=conta1+1;
end if;
end if;
end process;
3
DISEÑO DIGITAL 17-5-2016
if j=2399999 then
j<=(others=>'0');
clk_10Hz<= not clk_10Hz;
end if;
end if;
end process;
--Contador de 0-11
process(clk_10Hz)
begin
if clk_10Hz='1' and clk_10Hz'event then
cuenta2<=cuenta2+1;
if cuenta2=11 then
cuenta2<="0000";
end if;
end if;
end process;
--Mux 0000
with conta1 select valor0 <="00000011" when "00", -- 0
"00000011" when "01", -- 0
"00000011" when "10", -- 0
"00000011" when others; -- 0
--Mux HOLA
with conta1 select valor1 <="00010001" when "00", -- A
"11100011" when "01", -- L
"00000011" when "10", -- O
"10010001" when others; -- H
--Mux StoP
with conta1 select valor2 <="00110001" when "00", -- p
"11000101" when "01", -- o
"11100001" when "10", -- t
"01001001" when others; -- S
4
DISEÑO DIGITAL 17-5-2016
-- Decodificador de enable
with conta1 select ena_aux2<="1110" when "00",
"1101" when "01",
"1011" when "10",
"0111" when others;
--Mux principal
with sel select display <= valor0 when "00",
valor1 when "01",
valor2 when "10",
valor3 when others;
--Deco auxiliar
with sel select sel_aux <="1" when "11",
"0" when others;
end circuito;
5
DISEÑO DIGITAL 17-5-2016
6
DISEÑO DIGITAL 17-5-2016