Sie sind auf Seite 1von 2

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS Ciclo Académico 2013 - I

FACULTAD DE INGENIERÍA ELÉCTRONICA Y ELECTRICA Fecha: 20-05-2013


ESCUELAS ACADEMICO PROFESIONALES Duración: 2 Horas

CURSO: ________DISEÑO DIGITAL__________________________________ COD. CURSO:

TIPO DE PRUEBA: PRACTICA No. Ex. PARCIAL X EX. FINAL EX. SUST.

1. PREGUNTA 1: Implemente el siguiente circuito en VHDL (3 puntos):

2. PREGUNTA 2: Implementar el siguiente circuito en VHDL (4 puntos):

3. PREGUNTA 3: Implementar el siguiente circuito en VHDL (3 puntos):


4. PREGUNTA 4: Implementar el siguiente circuito en VHDL (5 puntos):

5. PREGUNTA 5: El circuito genera dos señales: UP y DOWN como se ilustra en la


siguiente imagen. Estas señales son controladas por dos entradas: GO y STOP. Cuando
GO cambia de ‘0’ a ‘1’, la salida UP debe ir a ‘1’ también pero un T=10ms más tarde. Si
GO retorna a ‘0’, entonces UP debe retornar a ‘0’ inmediatamente. Así mismo, la salida
DOWN debe ir ahora a ‘1’, nuevamente T=10ms más tarde, regresando a ‘0’
inmediatamente si GO cambia a’1’. Si la entrada STOP es llevada a ‘1’ ambas salidas
deben ir a ‘0’ inmediatamente. Asuma que el reloj es de 10KHz (5 puntos).

EL PROFESOR

Das könnte Ihnen auch gefallen