Beruflich Dokumente
Kultur Dokumente
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ALI is
port (
clock : in std_logic;
reset : in std_logic;
control : in std_logic;
q : inout std_logic_vector((4) downto 0)
);
end ALI;
else
q <= std_logic_vector(unsigned(q) - 1);
end if;
end if;
end if;
end process;
end behavioral;