Sie sind auf Seite 1von 5

Modeling and Simulation of a Grid-Tied 21.

0 kWp
Real Solar Power Plant as Case Study, Using the
VHDL-AMS Language
Frank Alberto Ibarra Hernández John Edwin Candelo Becerra Javier Guerrero
School of Sciences and Engineering Department of Electric Energy and Automatic School of Electrical Engineering & Computer
Universidad del Sinú – Elías Bechara Zainúm Universidad Nacional de Colombia - Science
Montería, Colombia Medellín Washington State University
frankibarra@unisinu.edu.co Medellín, Colombia Bremerton, USA
jecandelob@unal.edu.co j.guerrerosedeno@wsu.edu

Abstract— This paper presents the utilization of hardware system types. The VHDL-AMS also provides different
description language VHDL-AMS (Very High-Speed Integrated domains such as electrical, physical and thermal, which they
Circuit plus Hardware Description Language that includes can be described and simulated in a single entity [1]. Thus,
analog and mixed-signal extensions) for modeling and simulation VHDL-AMS totally meets the application requirements in this
a grid-tied 21.0 kWp real solar power plant, admitted as case research. Therefore, for these reasons, it was proposed the use
study. The case study used in this research consists of a of the VHDL-AMS in this work.
photovoltaic power system with the following features: 1) It has
90 panels of 255 Wp and 3 SMA On Grid inverters, 2) It operates Contribution of this paper is a modeling and simulation of a
without batteries, and 3) It has a dynamic power controller, grid-tied real solar power plant, using the VHDL-AMS
called Circuitor (CDP-0), to guarantee zero injection into the language, in order to develop a simulating tool capable to find
grid. The main contribution of this work is modeling and the real electrical energy generation in a photovoltaic system,
simulation of a grid-tied real solar power plant, using the VHDL- considering the monthly averaged direct normal radiation and
AMS language (with the support of software SystemVision™), in the solar panel characteristics. The remaining paper is
order to develop a simulating tool capable to find the real organized as follows: In Section II, VHDL-AMS modeling
electrical energy generation in a photovoltaic system, considering language is introduced; Section III illustrates the grid-tied 21.0
the monthly averaged direct normal radiation and the solar kWp real solar power plant, used in this research as case study;
panel characteristics. This paper aims to present the first major
Section IV presents the VHDL-AMS modeling and simulation
developments for the future construction of a bigger VHDL-AMS
for the description of the mentioned real solar system. Finally,
tool that will allow the simulation of photovoltaic power systems,
operating in a synergic way with hydroelectric power plants
Section V concludes the paper and proposes the future works.
previously built, with the aim of optimize the coordinated
operation between hydropower and solar energy, for periods of II. VHDL-AMS MODELING LANGUAGE
rain and hydrological drought.
In summary, VHDL-AMS is required to be a superset of
Keywords— Hardware description languages, Photovoltaic
VHDL 1076-1993 (VHDL: Very High-speed integrated circuit
systems, Solar Power Plant, VHDL-AMS Language plus Hardware Description Language), supporting the
hierarchical description and simulation of continuous and
mixed-continuous/discrete system with conservative and non-
I. INTRODUCTION conservative semantics, and high-level synthesis [3].
The software commonly used for load flow and fault
According to [4], the SystemVision™ platform (provided
analysis of an electrical system often has no capability for user-
by the Mentor Graphics company), besides having a complete
defined models. Such models could become necessary, with
library for describing structural models (in electrical, physical,
the recent widespread penetration on the electrical systems of
and thermal domains), reduces the design time and therefore
distributed alternative generator resources (e.g. solar panels),
the project cost for the structural descriptions generated in
new rotating machine types and modern power electronics
VHDL-AMS environment. In other preceding work [5], it was
devices. In this context, the software developer must
developed the basic models of electrical components described
implement a new amount of models for the final user, who may
in VHDL-AMS, for distribution electric systems. In [5] it was
not then use the models to someone using different software
used the standard IEEE 13 node test feeder to develop and test
[1]. On the other hand, in [2], the VHDL-AMS language was
all VHDL-AMS basic models of electric components (under
selected as the best available hardware description language.
SystemVision™ platform), which were used in this manuscript
Even though in this paper VHDL-AMS is used for designing
for modeling and simulating the case study in this work.
analog electric circuits (modeling and simulation), this
hardware description language can be used to describe other

978-1-5386-8464-1/18/$31.00 ©2018 IEEE


III. A GRID-TIED 21.0 KWP REAL SOLAR POWER PLANT AS electricity injection to the electrical grid, from self-generating
CASE STUDY systems, the CDP-0 are responsible for regulating the
production of solar inverters in any photovoltaic energy system
In this research it was used a grid-tied 21.0 kWp real solar
with instantaneous self-consumption, in order to guarantee zero
power plant, installed on an office building of a company, in
injection into the grid.
Montería City (Colombia). The main characteristics of this real
solar power plant are: 1) It has 90 panels of 255 Wp and 3 The schematic circuit of the grid-tied 21.0 kWp real solar
SMA On Grid inverters, 2) It operates without batteries, and 3) power plant used in this research, as study case, is shown in
It has a dynamic power controller, called Circuitor (CDP-0), to Fig. 1. The dynamic power controller can be seen in Fig. 2.
guarantee zero injection into the grid.
In this work, the modeling and simulation of the real solar
All the solar plant measurements were obtained from the power plant were developed in an VHDL-AMS environment,
Circuitor (CDP-0), using a IP address into the company using the software SystemVision™.
intranet. Considering that in Colombia is not regulated yet the

C1 C2 C3 C4 C5 C6
+ + + + + +
R1 INVERTER INVERTER INVERTER
- - - - - - + No. 1 - + No. 2 - + No. 3 -

+ + + + + +
R2
- - - - - -

+ + + + + +
R3
L1 L2 N L1 L2 N L1 L2 N
- - - - - -

+ + + + + +
R4 NINETY (90) 255 Wp
SOLAR PANELS
- - - - - -

+ + + + + +
R5 INVERTER
- - - - - - F13 F14 F15 F16 F17 F18 OUTPUT
PROTECTION
+ + + + + (AC)
+
R6
- - - - - -
AC
COMBINER
+ + + + + +
BOX
R7
- - - - - -

+ + + + + +
R8
- - - - - -

+ + + + + +
PANELS
R9
OUTPUT
- - - - - - PROTECTION
(DC)
+ + + + + +
R10 F1 F3 F5 F7 F9 F11

- - - - - -
F2 F4 F6 F8 F10 F12
+ + + + + +
R11
- - - - - - THREE-PHASE INTERNAL BOARD

F19 F20 F21


+ + + + + + THREE-PHASE
TOTALIZER BREAKER
R12
- - - - - -
NEUTRAL
+ + + + + +
PHASE A
R13
- - - - - - PHASE B

+ + + + + + PHASE C
R14
- - - - - - DC
COMBINER
+ + + + + + BOX

R15
- - - - - -

ENERGY
MEASUREMENT / ELECTRICAL
SIMULATION SYSTEM
RESULTS (GRID)

Fig. 1. Grid-tied 21.0 kWp real solar power plant admitted as a case study.
photovoltaic solar panel VHDL-AMS model, shown in Fig. 3,
is given by:

library ieee;
library edulib;
library svlib;
use ieee.std_logic_1164.all;
use ieee.electrical_systems.all;
use ieee.mechanical_systems.all;
use ieee.fluidic_systems.all;
use ieee.thermal_systems.all;
use ieee.radiant_systems.all;
use work.all;
library edulib;
use work.all;
entity PVSP is
Fig. 2. Dynamic power controller Circuitor (CDP-0).
end entity PVSP;
architecture arch_ PVSP of PVSP is
IV. VHDL-AMS MODELING AND SIMULATION FOR THE terminal \N1\: ELECTRICAL;
DESCRIPTION OF THE GRID-TIED 21.0 KWP REAL SOLAR terminal \N2\: ELECTRICAL;
POWER PLANT begin
D : entity EDULIB.DIODE(IDEAL)
Modeling is at the central part of any design process. This port map ( P => N1,
activity fundamentally consists in developing abstract N => N2);
descriptions of some physical reality in such a way that they Rd : entity EDULIB.RESISTOR(IDEAL)
are beneficial for the design process. Models may be used to generic map ( RES => 0.55)
corroborate characteristics of some part of the entire designed port map ( P1 => \N2\,
system, e.g., its functionality or its performance. Such models P2 => ELECTRICAL_REF );
are simulation models or executable models, that produce a
Rs : entity EDULIB.RESISTOR(IDEAL)
response when they are actioned by stimuli. Models may
generic map ( RES => RS)
describe the behavior and/or the structure of the designed
system at various levels of details, or levels of abstraction. port map ( P1 => \N1\,
Selecting the appropriate level is, on the other hand, a matter of P2 => ELECTRICAL_REF );
compromise between model accuracy and model performance, Ipv : entity EDULIB.I_SINE(IDEAL)
and also, a means to handle with system complexity [6]. generic map ( AMPLITUDE => Ipv,
FREQ => 60.0,
Simulation of electrical power systems is fundamentally a PHASE => 0.0 )
step-by- step numerical solution of the system's differential port map ( POS => \N1\,
equations [7]. NEG => ELECTRICAL_REF );
end architecture arch_PVSP;
Using the software SystemVision™ it is possible to model
and simulate any electric power system into a VHDL-AMS After modeling the photovoltaic solar panel, it was obtained
environment. For example, in Fig. 3 is shown the VHDL-AMS a voltage, current and power characterization, shown in Fig. 4.
modeled photovoltaic solar panel.
N1

D
N2 +
Ipv Vpv Rs
-
Rd

Fig. 3. VHDL-AMS modeled photovoltaic solar panel.

Besides the VHDL-AMS basic models of electric


Fig. 4. Modeled solar panel characterization.
components developed in [5], in this work the used
Because of space reasons, in this paper is not written all the Measurements were made for 2 weeks on March of this
code that represents the VHDL-AMS model of the grid-tied year, using the Circuitor device, and it was calculated an
21.0 kWp real solar power plant, but the main results of this average day from 6:00 to 18:00 hours, considering all solar
work can be seen in Fig. 5, which are derived from Table I. hours in this region. In Montería city the solar hours are from
6:00 to 18:00 hours, which means thirteen hours of solar
Furthermore, the VHDL-AMS model incorporates the radiation.
monthly averaged direct normal radiation, which, according to
the NASA Surface meteorology and Solar Energy Web site, for
this Colombian region is equal to 164.17 W/m2 on March.

Fig. 5. Photovoltaic solar plant energy, real measures vs. VHDL-AMS simulations.

V. CONCLUSIONS AND FUTURE WORK


TABLE I. PHOTOVOLTAIC SOLAR PLANT ENERGY, REAL MEASURES
VS. VHDL-AMS CALCULATIONS (VALUES) In this paper was used the VHDL-AMS language for
modeling and simulating a grid-tied 21.0 kWp real solar power
Real
Hour
Measures
VHDL-AMS ERROR plant, admitted as case study.
6:00 423.0 429.9 1.63% From the results it is possible to conclude: 1) Although in
7:00 2,122.0 2,091.9 1.42% this work only it was considered the power electrical system
8:00 3,843.0 3,869.3 0.68% (without the control system), it was possible modeling and
9:00 5,700.0 5,758.6 1.03% simulating a real photovoltaic system using the VHDL-AMS
10:00 7,700.0 7,604.0 1.25%
language and 2) The comparative results obtained from
11:00 9,200.0 9,143.0 0.62%
photovoltaic solar plant real measures versus VHDL-AMS
12:00 9,900.0 10,066.6 1.68%
simulations are satisfactory. The gotten greatest error was
13:00 10,090.0 10,090.5 0.01%
14:00 9,217.0 9,041.0 1.91%
2.95% at 18:00 hours and the minimal error obtained was
15:00 6,843.0 6,951.8 1.59%
0.01% at 13:00 hours.
16:00 4,157.0 4,175.2 0.44% Finally, it should be informed that this paper is as part of
17:00 1,541.0 1,505.3 2.32% initial development for a bigger VHDL-AMS tool that will
18:00 305.0 314.0 2.95% allow the simulation of photovoltaic power systems operating
Total Energy in a synergic (or coordinated) way with hydroelectric power
71,041.0 71,041.0 0.00%
[Wh]
plants previously built, with the aim of optimize the
Maximum Error 2.95%
coordinated operation between hydropower and solar energy,
Average Error 1.35% for periods of rain and hydrological drought. Here, in the
Minimal Error 0.01% tropical zone of Colombia, typically when there is a lot of rain
there is not sun radiation and the temperature is high (30 [3] A. Doboli, R. Vemuri, “Behavioral modeling for high-level synthesis of
Celsius degree), and when there is a lot of sun radiation there is analog and mixed-signal systems from VHDL-AMS,” IEEE Trans.
Comp.-Aided Design Integ. Circuits and Systems, vol. 22, no. 11, pp.
not rain and the temperature is higher (35 or 38 Celsius 1504 - 1520, Nov. 2003.
degree). [4] A. C. R. Da Silva, and I. A., Grout, “MS2SV: Environment for
translation of Matlab / Simulink models to VHDL-AMS models,” IEEE
ACKNOWLEDGMENT Lat. America Trans., vol. 9, no. 5, pp. 663 - 672, Set. 2011.
[5] F. A. Ibarra Hernandez and C. A. Canesin, “Electrical Power
The authors gratefully acknowledge the Universidad del Distribution System modeling with VHDL-AMS for the construction of
Sinú – Elías Bechara Zainúm for financial support to carry out a Real-Time Digital Simulator using FPGAS devices,” in Proc.
this research. Thanks to Universidad Nacional (Medellín) and IEEE/IAS INDUSCON, 2012 vol. 10, pp. 1-7.
Washington State University also for supporting some of the [6] F. Pecheux, C. Lallement, and A. Vachoux, “VHDL-AMS and Verilog-
work reported in this paper. AMS as alternative hardware description languages for efficient
modeling of multidiscipline systems,” Computer-Aided Design of
Integrated Circuits and Systems, IEEE Transactions on Industry
REFERENCES Applications, vol. 24, pp. 204-225, 2005.
[1] D. Damon and E. Christen, “Introduction to VHDL-AMS 1. Structural [7] M. Matar, R. Iravani R. “FPGA-based Real-Time Digital Simulator for
and discrete time concepts,” in Proc. IEEE International Symposium on Power Electronic Systems,” International Conference on Power Systems
Computer-Aided Control System Design, 1996, vol. 4, pp. 264-269. Transients (IPST 2007), Lyon - France on June 4-7, 2007.
[2] T. E. Mcdermott, R. Juchem, and D. Devarajan, “Distribution Feeder
and Induction Motor Modeling with VHDL-AMS,” in Proc. IEEE PES,
2006, vol. 8, pp. 141-146.

Das könnte Ihnen auch gefallen