Sie sind auf Seite 1von 11

c 

 
 














 
 

  c 

c  stands for cHSIC (Very High Speed Integrated Circuits) ardware escription anguage. In
the mid-1980͛s the U.S. Department of Defense and the IEEE sponsored the development of
this hardware description language with the goal to develop very high-speed integrated circuit.
It has become now one of industry͛s standard languages used to describe digital systems. The
other widely used hardware description language is Verilog. Both are powerful languages that
allow you to describe and simulate complex digital systems. A third HDL language is ABEL
(Advanced Boolean Equation Language) which was specifically designed for Programmable
Logic Devices (PLD). ABEL is less powerful than the other two languages and is less popular in
industry. This tutorial deals with VHDL, as described by the IEEE standard 1076-1993.

Although these languages look similar as conventional programming languages, there are some
important differences. A hardware description language is inherently parallel, i.e. commands,
which correspond to logic gates, are executed (computed) in parallel, as soon as a new input
arrives. A HDL program mimics the behavior of a physical, usually digital, system. It also allows
incorporation of timing specifications (gate delays) as well as to describe a system as an
interconnection of different components.

VHDL allows one to describe a digital system at the structural or the behavioral level. The behavioral
level can be further divided into two kinds of styles:   and  !. The dataflow
representation describes how data moves through the system. This is typically done in terms of data
flow between registers (Register Transfer level). The data flow model makes use of concurrent
statements that are executed in parallel as soon as data arrives at the input. On the other hand,
sequential statements are executed in the sequence that they are specified. VHDL allows both
concurrent and sequential signal assignments that will determine the manner in which they are
executed. Examples of both representations will be given later.VHDL is commonly used to write text
models that describe a logic circuit. Such a model is processed by a synthesis program, only if it
is part of the logic design. A simulation program is used to test the logic design using simulation
models to represent the logic circuits that interface to the design. This collection of simulation
models is commonly called a R R .

VHDL has file input and output capabilities, and can be used as a general-purpose language for
text processing, but files are more commonly used by a simulation testbench for stimulus or
verification data. There are some VHDL compilers which build executable binaries. In this case,
it might be possible to use VHDL to write a R R  to verify the functionality of the design
using files on the host computer to define stimuli, to interact with the user, and to compare
results with those expected. However, most designers leave this job to the simulator.

VHDL is not a case sensitive language. One can design hardware in a VHDL IDE (for FPGA
implementation such as Xilinx ISE, Altera Quartus, or Synopsys Synplify) to produce the
RTLschematic of the desired circuit. After that, the generated schematic can be verified using
simulation software which shows the waveforms of inputs and outputs of the circuit after
generating the appropriate testbench. To generate an appropriate testbench for a particular
circuit or VHDL code, the inputs have to be defined correctly. For example, for clock input, a
loop process or an iterative statement is required.

The key advantage of VHDL when used for systems design is that it allows the behavior of the
required system to be described (modeled) and verified (simulated) before synthesis tools
translate the design into real hardware (gates and wires).

Another benefit is that VHDL allows the description of a concurrent system (many parts, each
with its own sub-behaviour, working together at the same time). VHDL is a Dataflow language,
unlike procedural computing languages such as BASIC, C, and assembly.

VHDL is a specific type of hardware description language or HDL. There are many other
examples of HDLs but the other one commonly used is called Verilog. The actual name VHDL is
a acronym that stands for "VHSIC Hardware Description Language". This article contains
information on the rudimentary topics that can be considered necessary to getting started with
VHDL. Additionally there are code examples to illustrate the concepts covered more clearly.

VHDL is a programming language, much like C++, it has its own syntax and semantics. The
big difference from what is considered a traditional programing language is that instead of
describing what instructions a processor will execute, it describes how circuits should be
organized. As it is emulating real hardware it is inherently parallel and also treats timing as
important. This language is a commonly used in the design of field-programmable gate
arrays(FPGA)and application specific integrated circuits(ASIC). What hardware description
languages provide is a way to run simulations, check the logic of the code, and something called
logic synthesis. Logic synthesis is simply the translation of the language in to a physical circuit
implementation. This allows for a much easier and less error prone hardware development
process.
















"  #  $%

&'( )'*(*+*)'), -- - -"-  .


 entity gate is entity gate_tb is
 port(x,y:in BIT; end entity;
 outa,outb,outc,outd,oute,outf:out BIT); architecture gate_arch_tb of gate_tb is
 end entity; component gate is
 architecture gate_arch of gate is port(x,y:in BIT;
 begin outa,outb,outc,outd,oute,outf:out BIT);
 outa<=x and y; end component;
 outb<=x or y; signal in1,in2,o1,o2,o3,o4,o5,o6:BIT;
 outc<=x xor y; begin
 outd<=x nor y; inst:gate port
 oute<= not x; map(in1,in2,o1,o2,o3,o4,o5,o6);
 outf<=x nand Y; process
 end gate_arch; begin
 in1<='0';
 in2<='0';
 wait for 100 ns;

 in1<='0';
 in2<='1';
 wait for 100 ns;

 in1<='1';
 in2<='0';
 wait for 100 ns;

 in1<='1';
 in2<='1';
 wait for 100 ns;
 end process;
 end gate_arch_tb;













"  #  $/

&'(  0 1(*)+'0 *(*

 entitygate_four is entitygate_four_tb is
port(x,y:inBIT_vector(0 to 3); end entity;

outa:outBIT_vector(0 to 3)); architecturearch_gate_four_tb of gate_four_tb is
 end entity; componentgate_four is
architecturearch_gate_four of gate_four is port(x,y:inBIT_vector(0 to
 begin 3);outa:outBIT_vector(0 to 3));
outa(0)<=x(0) and y(0); end component;

outa(1)<=x(1) and y(1); signal in1,in2,o1:BIT_vector(0 to 3);
 outa(2)<=x(2) and y(2); begin
outa(3)<=x(3) and y(3); inst:gate_four port
 endarch_gate_four; map(in1,in2,o1);
process

begin
 in1(0)<='0';
in1(1)<='0';
 in1(2)<='1';
in1(3)<='1';

in2(0)<='0';
 in2(1)<='1';
in2(2)<='0';
 in2(3)<='1';
wait for 100 ns;

end process;
 endarch_gate_four_tb;


"  #  $2

&'( )'*(*33'$

33'

 entityhalfadder is entityhalfadder_tb is
port(x,y:in bit; end entity;

sum,carry:out bit);
 end entity; architecturearch_halfadder_tb of halfadder_tb is
componenthalfadder is
 architecturearch_halfadder of halfadder is port(x,y:in bit;
 begin sum,carry:out bit);
sum<=x xor y; end component;
 carry<=x and y;
endarch_halfadder; signal in1,in2,sum,carry:bit;

begin
 inst:halfadder port map(in1,in2,sum,carry);
process
 begin
in1<='0';

in2<='0';

wait for 100 ns;

in1<='0';

in2<='1';

wait for 100 ns;

in1<='1';


 in2<='0';

 wait for 100 ns;


 in1<='1';
in2<='1';

wait for 100 ns;


 end process;
endarch_halfadder_tb;




"  #  $

!1)*!
*33'*)1 33'

entityfulladder is  entityfulladder_tb is
port(sum, carry, z :in bit; end entity;
sum0,carry0:out bit); 
end entity; architecturearch_fulladder_tb of fulladder_tb is


architecturearch_fulladder of fulladder is  componentfulladder is


port(z,sum,carry:in bit;
componenthalfadder is  sum0,carry0:out bit);
port(x,y :in bit; end component;

sum,carry:out bit);
end component;  signal in1,in2,in3,sum0,carry0 :bit;
begin
 inst:fulladder port map(in1,in2,in3,sum0,carry0);
begin  process
sum0<=sum xor z; begin
carry0<=(sum and z) or carry;  in1<='0';
endarch_fulladder; in2<='0';


 wait for 100 ns;

 in1<='0';
in2<='1';


 wait for 100 ns;

 in1<='1';


in2<='0';

wait for 100 ns;
 in1<='1';
in2<='1';


 wait for 100 ns;

 end process;
endarch_fulladder_tb;






"  #  $

!1)*!-13456
(
(

Das könnte Ihnen auch gefallen