Beruflich Dokumente
Kultur Dokumente
-The inputs have to be taken from the set of switches on the Altera DE2 board. The outputs have to
be shown using the 7-segment displays on the Altera DE2 board.
1) List the variables and/or signals that are related with the solution. Include a description of
the Pin Planner assignments.
The program was run in an Altera DE2-115. CYCLONE IV E-EP4CE115F29C7.
In the pinplanner, the assignments to the input type variables are in the pin type switches and the
output type variables are in the pin type Displays.
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------
);
end taller3;
--------------------------------------------------------------
process(Clock)
begin
data_out<= sg;
when "0111" => HEX1 <= not"0000111"; DEPENDING ON THE VALUE OF THE 4 BITS
end case;
case sg2(3 downto 0) is THE LAST 4 BITS ARE TAKEN.
when "0110" => HEX2 <= not"1111101"; DEPENDING ON THE VALUE OF THE 4 BITS
end case;
end if;
end if;
end process;
process(Clock)
begin
end if;
end process;
end behav;
EXAMPLE:
Conclusion: Thanks to this work we can learn more about the uses of the Memory RAM, in addition
to learning how to handle "when" or "case" conditionals, it is likely to serve us in future works.
Bibliographic references:
[1]"DE2-115 board User Manual", ee ryerson, 2011. [Online]. Available:
http://www.ee.ryerson.ca/~courses/coe608/labs/DE2_115_User_Manual.pdf. [Accessed: 11- Aug-
2017].