Sie sind auf Seite 1von 13

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/311524281

Slurry components in metal chemical mechanical planarization (CMP)


process: A review

Article  in  International Journal of Precision Engineering and Manufacturing · December 2016


DOI: 10.1007/s12541-016-0201-y

CITATIONS READS

8 1,755

3 authors:

Dasol Lee Hyunseop Lee


Pusan National University Tongmyong University
11 PUBLICATIONS   51 CITATIONS    67 PUBLICATIONS   593 CITATIONS   

SEE PROFILE SEE PROFILE

Haedo Jeong
Pusan National University
116 PUBLICATIONS   982 CITATIONS   

SEE PROFILE

All content following this page was uploaded by Dasol Lee on 24 January 2018.

The user has requested enhancement of the downloaded file.


INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12, pp. 1751-1762 DECEMBER 2016 / 1751
DOI: 10.1007/s12541-016-0201-y ISSN 2234-7593 (Print) / ISSN 2005-4602 (Online)

Slurry Components in Metal Chemical Mechanical


Planarization (CMP) Process: A Review

Dasol Lee1, Hyunseop Lee2, and Haedo Jeong1,#


1 School of Mechanical Engineering, Pusan National University, 2, Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan, 46241, South Korea
2 Department of Mechanical Engineering, Tongmyong University, 428, Sinseon-ro, Nam-gu, Busan, 48520, South Korea
# Corresponding Author / E-mail: hdjeong@pusan.ac.kr, TEL: +82-51-510-3210, FAX: +82-51-518-8442

KEYWORDS: Chelating agent, Chemical mechanical polishing (CMP), CMP mechanism, Metal, Oxidizer, Slurry

Chemical mechanical planarization (CMP) is a wet polishing technique employed to smooth the surface of various materials using
a combination of chemical and mechanical forces to achieve finer and longer lines on semiconductor devices. Among the published
papers related to CMP, the CMP metal slurry has been the primary focus, and it appears to be the main driver to improve the CMP
performance and quality for next-generation devices. Slurry can affect the removal rate, uniformity, defects, and selectivity between
the metal and the barrier layer. The material-removal mechanism of metal CMP is determined by the chemical reaction due to
chemical solutions compared to the mechanical action, which is due to the abrasiveness of the slurry. We verify that for each user,
a chemical knowledge of slurry is required to obtain preferred results. The basic chemical factors of metal CMP slurry are oxidizers,
chelating agents, and corrosion inhibitors. This review focuses on the role and effectiveness of each chemical solution by considering
the electrochemical characteristics. It will assist in determining the most appropriate solution to further the development of new slurry
for next-generation metal CMP.

Manuscript received: October 10, 2016 / Revised: November 15, 2016 / Accepted: November 16, 2016 (Invited Paper)

1. Introduction
NOMENCLATURE
Chemical mechanical polishing or planarization (CMP) is a
MRR = material removal rate manufacturing process that involves widely removing or planarizing a
Rc = chemical dissolution reaction rate variety of materials including metal, dielectrics, polymers, and other
Rm = mechanical abrasion rate thin-films associated with semiconductor fabrication. The global 1-5

A = wafer area surface planarity of a layer is critical for building the next layer for
kc = rate constant of the dissolution reaction three-dimensional (3D) integration technology, which aims to reduce
Ncm = number of surface sites available for the chemical dissolution device size. 6-8

or mechanical abrasion The semiconductor fabrication of wafers may be classified as the


Np = number of effective abrasive particles front end of line (FEOL) and back end of line (BEOL). The FEOL is
ΔG = Gibbs free energy change used to produce devices such as transistors within silicon, and the BEOL
n = number of electrons transferred per ion is the second part in which interconnects are formed on the wafer as the
F = Faraday constant metallization layer. 9

0
E = cell potential under standard conditions Metals are used as the conductor between individual electronic
γox = activity coefficients of oxidation metals components for transporting electrons in the device. The metals
γre = activity coefficients of reduction metals incorporated into the IC fabrication of the semiconductor device are
R corr = corrosion rate tantalum (Ta)/ titanium (Ti)/ cobalt (Co), tungsten (W), and copper
10-12
Icorr = corrosion current density (Cu).
Ecorr = corrosion potential W is used to fill the via and hole on the dielectric in order to connect
the interlayer metal line and the plug to improve the step coverage and

© KSPE and Springer 2016


1752 / DECEMBER 2016 INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12

13,14
alleviate electromigration. Copper metallization enables the
development of faster and more reliable integrated circuits than other
materials, which is due to its higher electrical conductivity and superior
15,16
electromigration resistance. Generally, a Cu interconnect line is first
constructed and a barrier metal is covered on the Cu line. Currently, Co
is one of the attractive barrier metals because of its favorable adhesion
to Cu seed layers, as well as the fact that it ensures conductive lining
17
inside trenches with Cu voids. The W plug is formed as an interlayer
metal connection, and another upper Cu line is formed as a multi-level
interconnection.
It is difficult to understand the CMP phenomena due to interactions
between the wafer, slurry, and pad. That is, it uses a combination of Fig. 1 Number of published papers related to CMP from 1995 to 2015
18,19
chemical and mechanical forces. The chemical solutions and
abrasives are referred to as the slurry. Therefore, the slurry is the most
important factor although its formulation for CMP is a complex science,
20
which is due to the synergistic interplay of chemicals and abrasives.
In addition, the slurry consists of a highly basic or acidic solution,
which results in pH changes in natural water and to toxic wildlife.
Further, it is a short lifespan consumable unlike the long life span
consumables such as pads, retaining rings, backing films, and
21-23
conditioner. Thus, the use of slurry is an important aspect of
reducing consumption through the ideal slurry that can increase the
24-26
material removal rate (MRR) and uniformity and defect free.
According to a survey of published papers shown in Fig. 1, there
has been a gradual increase in the number of studies regarding metal Fig. 2 CMP schematic on wafer-slurry-pad contact region
CMP slurry. This trend indicates that the studies into metal CMP still
belongs to uncharted territory. In view of the large volume of published
papers, we limit the scope of this review to the slurry chemical of the chemicals that are homogenously suspended in water. The chemically
metal CMP. Metal CMP slurry also consists of chemical solutions and reacted layer formed by chemical reaction between the wafer and slurry
abrasive particles. However, the chemical reaction at the wafer surface is removed with the mechanical abrasion caused by the sliding of
29
plays a more dominant role compared to the mechanical action caused abrasives and asperities of the pad. In the case of the metal CMP, the
by abrasives in metal CMP, whereas in oxide CMP, it is known that the general removal mechanism is the repetition of a generating passivation
30
film materials are mainly removed owing to mechanical abrasion by and its removal by mechanical abrasion. The passivation layer is a
27
slurry particles. chemically reacted layer, such as stabilized oxide on the surface, to
Therefore, this review paper focuses on the role of metal CMP protect the final surface from corrosion. Thus, the necessity and
slurry component and electrochemical characteristics in the underlying mechanism of metal CMP are generally proposed in terms of the
mechanisms involved in slurry design. Thus, this review provides a chemicals used in the slurry.
fundamental basis for the metal CMP process. In Section 2, we
introduce the material-removal mechanisms of metal CMP in terms of 2.1 Selectivity of metal CMP
chemical science. In Sections 3 and 4, we summarize the chemical Multilevel interconnection layers ae used with Cu and W. The
component of slurry and method used to design slurry by considering barrier materials, such as Ti/TiN, Ta/TaN, and Co, which are deposited
electrochemical behavior. between the wiring metal and dielectric, have a strong affinity for
oxygen. Ti/TiN is usually used to improve the adhesion of W to the
dielectric owing to the poor adhesive properties of W on SiO . Within
2

2. General Material-Removal Mechanism of Metal CMP the Cu module, the barrier metal is required to prevent the diffusion of
31,32
Cu into surrounding materials.
Material removal in the CMP process is a result of the complex The metal CMP process is known as a damascene process, and was
28
interaction between chemical and mechanical forces. Material removal introduced by IBM in 1997; damascene process means technique of
occurs when the rotating wafer surface is pushed against the soft polymer inlaying metal as the metal-deposition processes by CVD over patterned
33
pad attached on the rotating platen in the flooded slurry. The wafer is trenches in dielectric. Therefore, the removal of the overburden is
mounted upside down on a backing film in a rotating carrier, and a carried out via metal CMP for 3D integration because the deposition of
diamond conditioner is used to dress the pad to maintain its surface metal is generally not perfectly uniform, as shown in Fig. 3. The
roughness during polishing. passivation layer of the upper area is removed by the mechanical
Fig. 2 shows the schematic of a wafer-abrasive (slurry)-pad contact abrasion of the polishing pad and the abrasives, resulting in a new
during the CMP process. The CMP slurry consists of abrasives and surface becoming exposed to the slurry. However, the lower area is not
INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12 DECEMBER 2016 / 1753

30
Fig. 4 Material removal mechanism proposed by Kaufman for the
metal CMP process model

Fig. 3 Schematics of chip cross-sectional view and planarization steps

in direct contact with the pad, and is protected by the passivation layer
34
from etching. Because of this repetitive action, the upper area has a
larger MRR than the lower area. This is topographic selectivity caused
by selective mechanical contact, and achieves planarization.
The metal CMP has to finish at the oxide layer after removing the
barrier layer. Thus, the most important task in metal CMP is to minimize
the polishing selectivity between the interconnection material, barrier
material, and dielectric layers such as SiO . Chemical selectivity is the
2

ratio of the removal rate between two polished materials, and it affects
35
CMP defects such as erosion and dishing. The differences in the
properties of the wiring metal and barrier metal lead to variations in Fig. 5 Chemical reactions guided material removal depending on slurry
removal rates, and this results in selectivity problems during CMP. Thus, composition
36
Wang et al. reported that a barrier-removal step is required after the
removal of Cu. Cu is polished very well by slurry, whereas the removal
rate of Ta is low as it is an inactive metal, which is difficult to etch. shown in Fig. 4, chemical corrosion is a repetitive process that involves
Moreover, Fig. 3 shows a galvanic corrosion in which one metal is the formation of a soft oxidation layer and removing it mechanically.
34
preferentially corroded over another metal, and this results from the Paul et al. improved on the mechanism models using simple kinetic
electric contact of electrochemically different materials in the presence expressions with a quantitative description of chemical reactions for the
of slurry (electrolyte). Galvanic corrosion occurs at the interface between MRR. The main idea of Paul’s model is that the complicated chemical
the barrier metal and Cu or W, and this is caused by the open circuit reactions and mechanical abrasion processes are separable. Paul’s
potential (OCP). To reduce the galvanic corrosion of the Cu/Ru/TiN model can be expressed as:
37
films during CMP in the BEOL, Sagi et al. proposed a KMnO -based
38
4
MRR = (Rc + Rm )/A,
slurry in the alkaline region. In addition, Kondo et al. reported that (1)
Rc = kc Ncm , Rm = kc ( Np /A ) Ncm
galvanic corrosion can be prevented by selecting appropriate barrier
metals. where Rc is the chemical dissolution reaction rate, Rm is the mechanical
The selectivity and corrosion are mainly controlled by the chemical abrasion rate, and A is the wafer area. kc is the rate constant of the
solution of slurry. The metal removal caused by the designed slurries dissolution reaction, Ncm and Np are the number of surface sites available
can be achieved with an acceptable level of dishing or erosion toward for the chemical dissolution or mechanical abrasion and of effective
a single-step CMP with the best selectivity performance given an abrasive particles, respectively. Paul’s model can be used to explain the
understanding of the slurry chemicals. MRR as a function of oxidizers by considering each chemical reaction
in more detail.
2.2 Chemical science of material-removal mechanism Generally, the chemical reaction of metal is divided into four cases
An early removal mechanism model was developed by Preston et depending on the slurry composition, as shown in Fig. 5. M, Ox, C, and
39
al., who proposed a removal rate that is caused by the pressure and I are the metal, oxidizer, chelating agent, and corrosion inhibitor,
relative speed applied between the wafer and pad. The Preston model respectively.
considers only mechanical terms. However, the metal CMP process can The soluble oxide state is not good, and leads to a primarily wet
be explained using the chemical corrosion mechanism. Therefore, etch. This results in isotropic removal with no topographic selectivity.
30
Kaufman et al. introduced a more recent model that considers the However, if the oxide layer is insoluble, it blocks further oxidation.
chemical effects of metal CMP as a simple qualitative route, particularly This is called a passivation layer, and has a high surface topographic
for a W CMP. According to the mechanism proposed by Kaufman and selectivity because abrasives in slurry mechanically abrade the oxide
1754 / DECEMBER 2016 INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12

49
layer. It is not possible to achieve an adequate layer using only the CMP cleaning. Surfactants have a hydrophilic polyethylene oxide
50 51
oxidizer in Fig. 5(a). Therefore, some types of chelating agent binding group and a hydrocarbon hydrophobic group. Dylla-Spears et al.
with metal ions were added to the slurry to compensate for the reported that a small amount of surfactants in polishing slurries can
40 52
inadequate layer in Fig. 5(b). As shown in Figs. 5(c) and 5(d), they prevent agglomeration. Li et al. showed that surfactant containing
influence the decrease in dishing and scratch. The presence of a slurry can reduce the coefficient of friction (COF). At low pressures and
corrosion inhibitor prevents chemical attacks of vulnerable areas of velocities, the MRR was independent of the surfactant content, while at
41
anticorrosive polished surfaces, especially patterned wafer. Kondo et high pressures and velocities, surfactant-containing slurries caused an
42
al. proposed an abrasive-free polishing (AFP) using a chemical solution increase in the MRR.
without abrasives for the reduction of surface defects during CMP. The Finally, electrochemical equilibria depend largely on the pH condition
abrasive-free slurry modifies the oxide layer by strengthening the of the slurry. The pH adjustor controls the acidity (i.e., less than pH 7)
chemical reactions of the slurry. This is realized by adding additives or basicity (i.e., greater than pH 7). KOH, NH OH, and TMAH were
4

such as accelerators and suppressors to the CMP solution. Furthermore, used as the pH adjuster to increase the pH of the slurry, while HCl
47,53 54
several chemical parameters also affect CMP results such as the type decreased the pH. Kang et al. reported that the pH of slurry affected
43
and concentration of additives, as well as the pH of the slurry. the etch rate, passivation, corrosion, and the removal mechanism. In the
solution, the metal exists in various states such as metal, metal ions,
and metal hydroxide. Each of these components performs a significant
3. Analysis of Slurry Chemical role during the CMP process.

3.1 Role of slurry components 3.2 Electrochemical tool


During CMP, slurry is spread over the pad. The function of the The thickness of the passivation layer is around 1-3 nm thick, and
slurry is to continuously deliver the chemical components and abrasive forms on the surface of the material to create a shell against corrosion.
particles to the entire wafer and to provide a means of removing The passivation layer is more brittle than metal film, and is removed
reaction layers of the protrusion on the wafer surface. using abrasives. It can be produced only at a certain potential and specific
53
Different materials have different properties and characteristics, and ranges of pH in a given slurry (electrolyte) solution. There are several
44
the CMP processes for each material require different slurries. It is reports on thermodynamic method that can predict the corrosion
therefore important to understand the properties of slurry in order to potential of the metal. The standard Gibbs energies is a thermodynamic
predict its behavior during polishing. potential that is used to calculate the minimum work when a system
55
The abrasive component of slurry is delivered in solution phases reaches chemical equilibrium at constant pressure and temperature.
and abrades in a solid phase to provide the mechanical part of the CMP. The thermodynamic foundation of this approach follows the Nernst
Most metals are thermodynamically unstable because of exposure to equation, which relates the chemical component to the electrical
ambient environment, and they have the tendency to be oxidized. Thus, potential:
the chemical solution parameter of slurry is important in metal CMP,
0 o
whereas the abrasiveness of slurry is vital in dielectric CMP because of ΔG = –nFE = ΔG + RT ln K (2)
45 24,32 46
its hydration mechanism. SiO 2and Al O abrasives are most
2 3

0 RT γ ox
commonly used in metal CMP because of their high hardness and E′ = E + ------- ln -----
- (3)
47
nF γ re
stability. The basic chemical factors of metal CMP slurry are:
where ΔG is the Gibbs free energy change at any moment, ΔG is the 0

(a) Oxidizer: oxidize to metal ion Gibbs free energy change under standard conditions of 1 atm pressure
(b) Chelating agent: form a metal complex from oxidized metal and 298 K, n is the number of electrons transferred per ion, and F is
(c) Corrosion inhibitor: provide metal corrosion protection the Faraday constant (9.65 × 10 C). R is the gas constant (8.317 J/mol
4

(d) Surfactant: change the properties of the wafer surface K), T is the absolute temperature, and K is the equilibrium constant. E’
(e) pH adjustor: control the pH of the slurry is the cell potential under specific conditions, E is the cell potential
0

under standard conditions, and γox and γre are the activity coefficients of
The oxidizer is a chemical species that removes an electron from a the oxidation and reduction metals, respectively. It is proposed that the
metal surface. The anions of the carboxylic acid react with metal ions electrochemical reaction depends on E and the electrolyte, temperature.
0 56

to form insoluble or soluble salts as a passivation layer. In addition, the For metal CMP, different electrochemical techniques have been used to
chelating agent forms complexes with metal ions. A combination of the study the reaction tendency and corrosion reactions of metal.
oxidizer and chelating agent would help to obtain a better passivation Electrochemical measurements are used commonly via a three-
layer and high dissolution rate of the metal. The corrosion inhibitor electrode system (reference, counter, and working electrode). The current
protects the surface of the metal from the oxidizer in order to minimize flows between the counter and the working electrode, and is controlled
dishing and erosion defects. There is a need for metal slurry because by the voltage to maintain the potential difference between the working
57
the excessive chemical etching and oxidation deteriorate the surface and reference electrodes.
48
roughness of wafers. The potentiodynamic polarization technique is generally used to
A surfactant is a compound that changes the interfacial properties produce a qualitative reaction, where the potential of the electrode is
by lowering the surface tension between a liquid and a solid for post varied at a selected rate by applying a current through the slurry for
INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12 DECEMBER 2016 / 1755

Fig. 6 The schematic illustration of potentiodynamic polarization curves Fig. 7 Typical corrosion plot showing anodic and cathodic components
of Cu-H O-glycine at different pH
2 of current using the Tafel extrapolation analysis

58
corrosion testing. Fig. 6 is a general polarization plot that shows the
59
theoretical potentiodynamic behavior.
59
Tripathi et al. investigated the Cu passivation kinetics using
potentiodynamic polarization curves. There is active corrosion at all
anodic potentials with a pH of 4, whereas for a pH of 11, there are
passivation zones at anodic potentials. The different pH values
demonstrate the different behaviors of metal illustrated in the curves.
The hydrogen evolution and oxygen reduction regions during cathodic
polarization are in the immunity domain in which the metal is not
undergoing oxidation. In anodic polarization, there are potentials in the
active, passive, and transpassive regions. The active region with the
oxidation reaction is in the dissolution domain, which means that the
metal is being oxidized. The decrease in the current is associated with Fig. 8 Pourbaix diagram (left) of Co-H O system and three-dimensional
2

ionic adsorption on the surface. When the active state changes to the pH-potential diagram (right) of Co-design slurry system (Adapted from
passive state, corrosion rate is reduced. In the passive state, the Ref. 65 on the basis of OA)
corrosion rate of the metal is very slow. The passivation region
experiences little change in current as the potential is increased. In
addition, it tends to be destroyed because of its relative instability. The The Pourbaix diagram is widely used to discuss corrosion issues
64
transpassive region in which oxygen formation begins is broken down according to the relationship between the electric potential and the pH.
60
into passivating films. Several published reports about the metal CMP It is obtained by performing thermodynamic equilibrium calculations,
show that the curves are seen at potentials at which metal dissolves and was developed to enable the prediction of various metallic stable
64
actively as well as potentials at which metal is passivated. forms. Aksu et al. showed that glycine significantly extended the
Then, the equation can be expressed as a corrosion rate, R corr:
61
solubility range of Cu by comparing the Pourbaix diagram of the Cu-
H O-glycine system and the Cu-H O system.
2 2

Rcorr = Ia/nF (4) However, many researchers have observed that many chemicals in
the slurry have behavior that differ from what is expected using only
where n and F are the same as described in Eq. (3); I is the current the Pourbaix diagram of metal-H O-one of chemicals. Furthermore,
2

density, and a is the atomic weight. Icorr is proportional to the corrosion from the diagram, it is difficult to obtain the relative corrosion rate, as
rate. well as calculations in the case where the slurry contains many chemical
To evaluate the corrosion rate from such polarization measurements, species.
65
we measured the current density using the scanning electrode potential Thus, Ichige et al. proposed a 3D pH-potential diagram that includes
(Tafel plot), as shown in Fig. 7. the current density. Fig. 8 shows that the changes in the passivation area
The Tafel extrapolation analysis is effective in that it helps us to depend on the combination of the metal and chemical solution. The two-
obtain detailed information of the oxidation-reduction reaction shown dimensional conventional Pourbaix diagram expresses various stabilities
62 63
by the metal and slurry in the CMP. Zheng et al. reported that the of oxidized and reduced species between the metal and limited chemical
corrosion rates could be calculated from the intersection of the cathodic species only. On the other hand, the 3D pH-potential diagram was found
line and anodic line at the Tafel extrapolation used for metal CMP. to be a powerful method to analyze the corrosion issue between metal
They showed that the Tafel plot changed according to the pH. and the slurry design slurry for an actual CMP process because it
1756 / DECEMBER 2016 INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12

experimentally obtained a result of the potential-current density diagram Table 1 A classified table of oxidizers for metal CMP
in all pH regions. Oxidizer Cell potential 71
Example
Another method is surface analysis. X-ray photoelectron spectroscopy Peroxide group E 2 2 2 0
H O /H O 72 24,47
Na O , H O
2 2 2 2

(XPS) analysis provides detailed information on the bonding (-O-O-) =1.766 V


0 - - 73 74 75

characteristics and elements of surfaces such as Cu O on the Cu wafer.


2
66 Halogen E 3 IO /I NaClO , H IO , HBrO ,
3 5 6 4

76
(F, Cl, Br, I) =1.085 V KIO
Each element produces a characteristic set of XPS peaks at characteristic 0 - -
3

E 3 2 NO /NO 73 77 78,79
binding energy values. XPS can be explained considering the effect of Nitrate ion HNO , Cu(NO ) , Fe(NO )
3 3 2 3 3
=1.085 V
oxidation in surface (top 0-10 nm) by irradiating a solid surface with Chromate/Sulfate/ E 0 2+
4 MnO /Mn 74 80 37
67 68
a beam of X-rays. Deshpande et al. studied the role of CMP slurry K Cr O , Na SO , KMnO
2 2 7 2 4 4
Manganate =1.491 V
additives using XPS and SIMS (secondary ion mass spectroscopy).
SIMS is used to analyze the composition of solid surfaces by using
secondary ions to sputter a primary ion beam to reveal the elemental
composition of the surface. This was carried out to understand the
interaction of additives and any changes in the metal surface chemistry.
XPS analysis is used to authenticate the results obtained using SIMS.
However, it cannot be directly applied to CMP because the chemical
state of the surface may be altered during the sample preparation. Thus,
a combined method involving both thermodynamic prediction from the
Pourbaix diagram and XPS analysis would be the best approach to
predicting the chemical state of the surface that is formed during CMP.
Consequently, the electrochemical reactions of metals, such as
dissolution, oxidation, and corrosion, are key parameters in slurry
design for metal CMP. The MRR of metal CMP is determined by the
generation rate and quality of the passivation layer.
Fig. 9 Potentiostatic polarization behavior of a metal in a solution
containing H O at different concentrations: (a) no H O (b) 1% H O
2 2 2 2 2 2

4. Chemical Components in Metal CMP Slurry (c) 3-5% H O (d) 7-10% H O


2 2 2 2

Many kinetic factors control the relative rates of the surface-film


6
formation and its removal. It is important to find the right balance electronegativity that draws bonding electrons to themselves. A nitric
between an appropriate removal rate and the uniformity of the surface. oxide compound, chromium compound, sulfate compound, and
Slurries for the metal CMP typically contain chemicals that assist in manganese compound are fairly strong oxidizing agents. Usually,
forming a passivating layer on the wafer surface. In this section, we between five and seven electrons are added to these atoms, reducing it
evaluated various chemicals as chemical components in metal slurry to the highest oxidation state +5 (N) +6 (Cr, S) +7 (Mn) because these
based on the variation in electrochemical change, which depends on the compounds have many electro-negative oxygen atoms that can easily
82
addition of chemicals. remove electrons from metal. These compounds affect the improvement
83 84 85
of the MRR of hard materials such as SiC, GaN, and sapphire. The
4.1 Oxidizers positive ion can be either H or different alkali elements such as Ca, Na,
Many researchers have studied the influence of oxidizers on the and K.
CMP removal rate, static etch rate, and surface contamination. For Of the oxidizers, ferric nitrate Fe(NO ) was used as the first oxidizer 3 3

78 79
metal slurries, it is common to use oxidizers to increase the removal during the early stage. Lim et al. and Seo et al. proposed Fe(NO ) , 3 3

rate. Oxidizers remove electron or donor oxygen from other atoms. KIO and H O individually or in combination as oxidants for W CMP.
3 2 2

They generate metal ions from metal to increase the oxidation state of However, Fe(NO ) causes excessive oxidation and results in
3 3

69,70 3+
the wafer via an oxidation-reduction (redox) reaction with metal. contamination by Fe ion-formed tungsten oxidation of FeCuO or 2

For example: FeWO . 4

Oxidation reaction: M → M + 2e 2+ -
Hydrogen peroxide (H O ) is a widely used oxidizer in CMP slurry
2 2

Reduction reaction: Oxidizer (ex. H O ) + 2e− → 2OH−


2 2 because it is the simplest and most stable peroxide, and a powerful
Precipitation formation: M + 2OH− → M(OH)
2+
2 oxidizing agent without contaminated wafers. Du et al. showed the 86

M(OH) is a passivation layer. Table 1 shows the most frequently


2 effect of H O on the oxidation of Cu in CMP slurry. Electrochemistry
2 2

used oxidizer, which is the oxygen atom transfer agent. Strong oxidizers was used as a tool to examine the role of oxidizers because the chemical
have more positive E . 0
reactions of oxidizers were electron-transfer reactions.
The oxygen-oxygen chemical bond of peroxide is unstable and Fig. 9 shows the effect of H O concentration on the electrochemical
2 2

86
easily split into reactive radicals via homolytic cleavage due to the behavior under static conditions at a certain pH. It suggests that control
81
existence of only small quantities in nature. Compounds containing of the quantities of oxidizer additives is crucial. The corrosion potential
halogen are highly reactive for removing electrons because of the high increases with an increase in H O concentrations. Many researchers 2 2
INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12 DECEMBER 2016 / 1757

explained that these results may be corroded because of the inhibited


cathodic reaction and the enhanced anodic reaction that form metal
ions. It is believed that the formation of a passive layer increases the
barrier to the transport of ionic and electronic currents.
However, the maximum corrosion current density appears at a
87
concentration of about 1% H O . Hernandez et al. reported the removal
2 2

rate with H O concentration in Cu CMP slurry using XPS. Their


2 2

results show that the concentration of H O determines the thickness of


2 2

the passivation film as Cu O. The passivation film becomes thicker and


2

denser at higher concentrations of H O . The thick oxidation layer acts


2 2
Fig. 10 Formation of metal-glycine complex by chemical reaction with
as a boundary layer, preventing the diffusion of chemical reactants. glycine as a chelating agent and metal ions
Oxidation depends on the diffusion of oxygen into the metal, and it thus
causes a decrease in the diffusion length. The thinning of the passivation
92
layer leads to higher ionic diffusion rates for repeating the formation ethylene-diamine and citric acid on Cu CMP. Gorantla et al. reported
and removal of the layer, and therefore a higher removal rate. It is the effects of three different amino acids, namely glycine, serine, and
preferred that the oxidizer be present in the slurry in an amount ranging cysteine in the Cu slurry containing H O . Their results indicated that
2 2

from about 0.5% to 5%. the concentration of generated -OH radical alone may not be responsible
88
In addition, Chathapuram et al. studied the CMP of Ti and TiN in for the enhancement of Cu MRR, but other parameters such as the pH
aqueous solutions with and without 5% H O using electrochemical
2 2 and metal-complex interaction may also be involved. In addition, Patri
93
techniques. The removal rate of TiN is higher compared to Ti. In spite et al. reported that the MRR of Cu decreased with an increase in the
of the slurry at the same concentration of H O , potentiodynamic 2 2 distance between the -NH and -COOH in an amino acid at all pH values
2

94
polarization has a different behavior when other additives are added to except for a pH of 4. Seal et al. reported the surface modification of
the slurry or when the target material is different. Cu along with the glycine concentration by electrochemical analysis
and XPS. I is increased and E is decreased as the complexing agent
corr corr

95
4.2 Chelating agents concentration is increased. Li et al. investigated the effects of chelating
Chelating agents are often referred to by several names, such as agents on reducing the galvanic corrosion between Co and Cu in alkaline
complexing agent or binding agent. The passivation layer generated by slurry. These results implied that chelating agents could inhibit the
only an oxidizer has a weak ionization balance and generates metal corrosion of Co with a higher erosion potential than Cu by increasing
ions. Chelating agents promote the production of new metal ions to the pH of the slurries with -OH.
catalyze oxidation and the degrading of emulsions by reducing metal In short, chelating agents:
89
ions. Liu et al. investigated the effect of the synergic ratios of the (a) must contain at least a lone pair of electrons
chelating agent oxidizer in terms of the dishing value and MRR of Cu. (b) tend to have higher chelating effects of multiple bonded ligands
It can be well passivated when the oxidizer is three times the value of than a single ligand
the chelating agent in the slurry. (c) has a stability state on a pentagon or hexagon ring
Their anions form passivation films as metal-chelating agent (d) can be ordered by their hardness such that hard metal ions
complexes by reacting with metal ions. The metal complex is a chemical prefer weak field ligands
species that has a metal atom in a central position to which various Chelating agents with monodentate ligands are usually less stable
90
ligand species are bonded as coordinate covalent bonds. than those with polydentate (multiple bonded) ligands. They form a very
Ligands are ions or molecules that bond to a central metal atom or strong metal complex with five or six chelate rings. In addition, certain
ion, and which have unshared pairs of electrons such as O, N, and S metal ions have a preference for certain ligands. In general, soft metal
donor atoms. The coordinate covalent bond is formed when both ions prefer strong field ligands, whereas hard metal ions prefer weak
electrons forming the bonding pair of electrons are provided by the field ligands.
same atom. Ligands act as Lewis bases (electron pair donors), and the
central atom acts as a Lewis acid (electron pair acceptor) to form a 4.3 Corrosion inhibitor
91
covalent bond. Corrosion inhibitors in metal CMP have been used to protect the
Acids containing carboxyl (-C(=O)OH; succinic acid, acetic acid, surfaces of metals from oxidization in order to control the dissolution
oxalic acid) or amine groups (-NH ; ethylene-diamine) or amino acids
2 rate and prevent defects during CMP. They are adsorbed on the metallic
41
(-COOH and -NH ; amino butyric acid, glycine) or hydroxyl (-OH;
2 surface, in the process blocking the active corrosion sites.
citric acid) are widely used as complexing agents for metal slurry as The chemical nature, i.e., organic or inorganic, which is categorized
well as for post CMP cleaning solutions. For instance, glycine reacts according to whether or not they contain carbon, can be either synthetic
with metal ions and then forms a metal-glycine complex, as shown in or natural chemicals. Most inhibitors are organic compounds that contain
Fig. 10. at least one benzene ring attached directly to a nitrogen atom. The
62
Jang et al. showed a different chelating effect with three chelating presence of nitrogen assists adsorption by a lone pair, as the lone pair
agents of different groups, respectively, using polishing experiments. can be donated to the metal surface according to classical Lewis acid/
According to their research, glycine is the optimum agent type among base theory. Corrosion by only nitrogen is intended to be limited. It is
1758 / DECEMBER 2016 INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12

Fig. 11 Chemical structure and proposed structure of the polymeric


[Metal-inhibitor] of (a) Benzotriazole (b) 1, 2, 4-triazloe

Fig. 12 Potentiostatic polarization diagram: electrochemical behavior


known that a benzene ring is an excellent donor of electrons. The of a metal in slurry (a) versus without inhibitor (b) with organic
greater the electron density around the nitrogen, the stronger is the bond inhibitor
that is formed between the nitrogen and metal. The layer caused by this
bond is essential to prevent the corrosion of the metal itself, and provides
100
a barrier to the dissolution of metal in the slurry. polarization curve of a slurry with and without inhibitor. After the
Typical compounds can function as inhibitors, such as benzhydryl addition of the inhibitor, the corrosion potential remains the same, but
compounds and azole. C-N-C bond angles perform best of all at the current density decreases from Icorr to I'corr. It can be seen that the
corrosion inhibition. Benzhydryl compounds contain two benzene rings addition of the inhibitor reduces the metal corrosion rate.
adjoining a single carbon atom. Azoles are a class of five-membered
heterocyclic compounds containing at least one other non-carbon atom
96
(N, S, O) as a part of the ring. 5. Future Study
Generally, amines with higher electron densities on their nitrogen
atoms bind more strongly to metal surfaces, and they are more effective Many attempts have been made to realize an ideal metal CMP slurry
inhibitors. Because amines are considered as hard bases, they adsorb by varying the chemical parameters of slurry such as the types of additive,
efficiently to metal surfaces, which are considered as hard acids. A hard the concentration of additives, and the pH of slurry. Ahead of the
base reacts more easily with a hard acid than with a soft one. understanding of fundamental principles of the chemical components,
The presence of an adsorbed metal-inhibitor layer on the metal the slurry can be designed to provide effective polishing to metal layers
surface creates a zone of high ohmic resistance between the metal surface at a desired removal rate and uniformity, while minimizing surface
and the electrolyte. This resistance slows down or stops galvanic defects. This depends on the choice of the oxidizing agent, chelating
reactions and the loss of electrons from the metal surface. agent, corrosion inhibitor, and other useful additives.
Well-known inhibitors are benzotriazole (BTA) and 1, 2, 4-triazloe However, it is sensitive to the chemical environment associated with
96
(TAZ), as depicted in Fig. 11. CMP. The global environmental issue requires the use of greener
Over the past two decades, many researchers have extensively studied technology in order to reduce slurry consumption. In addition, there is
the effect of BTA on metal corrosion. Many reports indicate that the the post-CMP cleaning, which is accompanied by the CMP process, and
metal residue and dishing are a trade-off in slurry formation because the removal of the particle and chemical contamination caused by the
the addition of the inhibitor into CMP slurry reduces the removal rate. slurry. This process wastes a large amount of chemicals and water, and
97
Chen et al. clarified that the localized thickness variation is resolved has a negative effect on CMP. Thus, corrosion inhibitor-free slurry and
98
by a lower concentration of the inhibitor in Cu slurry. Brusic et al. abrasive-free slurry are currently being developed as CMP slurry for a
reported that the resulting BTA-Cu film was not removed effectively, post-CMP cleaning step to enable the easy removal of organic-Cu
and that high levels of organic residues could form on the Cu surface, residues on wafers.
reducing yields. It has been proposed that the development of next-generation
76
Jiang et al. reported a synergetic effect between BTA and the non- slurries is required to overcome CMP challenges. The slurry remains a
ionic surfactant in slurries so as to yield a desirable MRR by the challenging issue with respect to the need for new materials, complex
99
formation of an incomplete Cu-BTA thin film. Besides, Jiang et al. integration schemes, the need to be defect-free, and cleaning
considered TAZ as a promising alternative to the conventional BTA. requirements.
The surface planarity of Cu was improved by the addition of TAZ To verify the reliability of the device yield of products, it is important
although there was a little compromise in the removal rate. to understand fundamental slurry chemicals and new chemical analysis
Organic inhibitors have both cathodic and anodic actions to protect such as 3D pH-potential diagram, and this can be done using new
using a film adsorption. Fig. 12 shows a theoretical potentiostatic strategies, as well as the development of new chemistry studies.
INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12 DECEMBER 2016 / 1759

ACKNOWLEDGEMENT for Fine Metal Mask,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol.
2, No. 3, pp. 225-230, 2015.
This work was partially supported by the National Research
12. Lee, W.-S., Kim, S.-Y., Seo, Y.-J., and Lee, J.-K., “An Optimization
Foundation of Korea (NRF) grant funded by the Korea government
of Tungsten Plug Chemical Mechanical Polishing (CMP) using
(MSIP) (No, 2016R1A2B4011466), and was partially supported by
Different Consumables,” Journal of Materials Science: Materials in
Basic Science Research Program through the National Research
Electronics, Vol. 12, No. 1, pp. 63-68, 2001.
Foundation of Korea (NRF) funded by the Ministry of Education
(NRF-2015R1D1A1A01059266). 13. Duong, T.-H. and Kim, H.-C., “Electrochemical Etching Technique
for Tungsten Electrodes with Controllable Profiles for Micro-
Electrical Discharge Machining,” Int. J. Precis. Eng. Manuf., Vol.
REFERENCES 16, No. 6, pp. 1053-1060, 2015.

14. Duong, T.-H. and Kim, H.-C., “Electrochemical Etching Technique


1. Park, Y., Jeong, H., Choi, S., and Jeong, H., “Planarization of Wafer
for Tungsten Electrodes with Controllable Profiles for Micro-
Edge Profile in Chemical Mechanical Polishing,” Int. J. Precis. Eng.
Electrical Discharge Machining,” Int. J. Precis. Eng. Manuf., Vol.
Manuf., Vol. 14, No. 1, pp. 11-15, 2013.
16, No. 6, pp. 1053-1060, 2015.
2. Tian, Y., Zhong, Z., and Ng, J. H., “Effects of Chemical Slurries on
15. Lee, J., Park, S., Park, J., Cho, Y. S., Shin, K.-H., and Lee, D.,
Fixed Abrasive Chemical-Mechanical Polishing of Optical Silicon
“Analysis of Adhesion Strength of Laminated Copper Layers in
Substrates,” Int. J. Precis. Eng. Manuf., Vol. 14, No. 8, pp. 1447-
1454, 2013. Roll-to-Roll Lamination Process,” Int. J. Precis. Eng. Manuf., Vol.
16, No. 9, pp. 2013-2020, 2015.
3. Kim, D., Kim, H., Lee, S., and Jeong, H., “Effect of Initial Deflection
of Diamond Wire on Thickness Variation of Sapphire Wafer in 16. Yu, J. H., Rho, Y., Kang, H., Jung, H. S., and Kang, K.-T., “Electrical
Multi-Wire Saw,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, Behavior of Laser-Sintered Cu based Metal-Organic Decomposition
No. 2, pp. 117-121, 2015. Ink in Air Environment and Application as Current Collectors in
Supercapacitor,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No.
4. Lee, Y., Seo, Y.-J., Lee, H., and Jeong, H., “Effect of Diluted 4, pp. 333-337, 2015.
Colloidal Silica Slurry Mixed with Ceria Abrasives on CMP
Characteristic,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 3, No. 17. Humpston, G., “Cobalt: A Universal Barrier Metal for Solderable
1, pp. 13-17, 2016. under Bump Metallisations,” Journal of Materials Science: Materials
in Electronics, Vol. 21, No. 6, pp. 584-588, 2010.
5. Lee, H., Kim, M., and Jeong, H., “Effect of Non-Spherical Colloidal
Silica Particles on Removal Rate in OXIDE Cmp,” Int. J. Precis. 18. Park, S.-J., Lee, H.-S., and Jeong, H., “Signal Analysis of CMP
Eng. Manuf., Vol. 16, No. 13, pp. 2611-2616, 2015. Process based on AE Monitoring System,” Int. J. Precis. Eng.
Manuf.-Green Tech., Vol. 2, No. 1, pp. 15-19, 2015.
6. Maeng, J.-H., Kim, D.-H., Park, S.-M., and Kim, H.-J., “The Effect
of Chemical Treatment on the Strength and Transmittance of Soda- 19. Singh, R. K., Lee, S.-M., Choi, K.-S., Basim, G. B., Choi, W., et al.,
Lime Cover Glass for Mobile,” Int. J. Precis. Eng. Manuf., Vol. 15, “Fundamentals of Slurry Design for CMP of Metal and Dielectric
No. 9, pp. 1779-1783, 2014. Materials,” MRS Bulletin, Vol. 27, No. 10, pp. 752-760, 2002.

7. Lee, C., Park, J., Kinoshita, M., and Jeong, H., “Analysis of Pressure 20. Chu, W.-S., Kim, C.-S., Lee, H.-T., Choi, J.-O., Park, J.-I., et al.,
Distribution and Verification of Pressure Signal by Changes Load “Hybrid Manufacturing in Micro/Nano Scale: A Review,” Int. J.
and Velocity in Chemical Mechanical Polishing,” Int. J. Precis. Eng. Precis. Eng. Manuf.-Green Tech., Vol. 1, No. 1, pp. 75-92, 2014.
Manuf., Vol. 16, No. 6, pp. 1061-1066, 2015.
21. Yuh, M., Jang, S., Kim, H., Lee, H., and Jeong, H., “Development
8. Kang, E.-G., Kim, J.-S., Lee, S.-W., Min, B.-K., and Lee, S.-J., of Green CMP by Slurry Reduction through Controlling Platen
“Emission Characteristics of High-Voltage Plasma Diode Cathode Coolant Temperature,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol.
for Metal Surface Modification,” Int. J. Precis. Eng. Manuf., Vol. 2, No. 4, pp. 339-344, 2015.
16, No. 1, pp. 13-19, 2015.
22. Wang, Y.-G., Chen, Y., and Zhao, Y.-W., “Chemical Mechanical
9. Clark, L. T., Vashishtha, V., Shifren, L., Gujja, A., Sinha, S., et al., Planarization of Silicon Wafers at Natural pH for Green
“ASAP7: A 7-nm finfet Predictive Process Design Kit,” Manufacturing,” Int. J. Precis. Eng. Manuf., Vol. 16, No. 9, pp.
Microelectronics Journal, Vol. 53, pp. 105-115, 2016. 2049-2054, 2015.

10. Sung, S., Kim, C.-H., Lee, J., Jung, J.-Y., Jeong, J.-H., et al., 23. Campatelli, G., Scippa, A., Lorenzini, L., and Sato, R., “Optimal
“Advanced Metal Lift-Offs and Nanoimprint for Plasmonic Metal Workpiece Orientation to Reduce The Energy Consumption of a
Patterns,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 1, No. 1, pp. Milling Process,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2,
25-30, 2014. No. 1, pp. 5-13, 2015.

11. Heo, J., Min, H., and Lee, M., “Laser Micromachining of Permalloy 24. Lee, D., Lee, H., and Jeong, H., “The Effects of a Spray Slurry
1760 / DECEMBER 2016 INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12

Nozzle on Copper CMP for Reduction in Slurry Consumption,” 37. Sagi, K. V., Amanapu, H. P., Alety, S. R., and Babu, S. V.,
Journal of Mechanical Science and Technology, Vol. 29, No. 12, “Potassium Permanganate-based Slurry to Reduce the Galvanic
pp. 5057-5062, 2015. Corrosion of the Cu/Ru/Tin Barrier Liner Stack During CMP in the
BEOL Interconnects,” ECS Journal of Solid State Science and
25. Lee, H., Dornfeld, D. A., and Jeong, H., “Mathematical Model-based
Technology, Vol. 5, No. 5, pp. P256-P263, 2016.
Evaluation Methodology for Environmental Burden of Chemical
Mechanical Planarization Process,” Int. J. Precis. Eng. Manuf.-Green 38. Kondo, S., Sakuma, N., Homma, Y., and Ohashi, N., “Slurry
Tech., Vol. 1, No. 1, pp. 11-15, 2014. Chemical Corrosion and Galvanic Corrosion during Copper
Chemical Mechanical Polishing,” Japanese Journal of Applied
26. Lee, H. S. and Jeong, H. D., “Chemical and Mechanical Balance in
Physics, Vol. 39, No. 11R, pp. 6216-6222, 2000.
Polishing of Electronic Materials for Defect-Free Surfaces,” CIRP
Annals-Manufacturing Technology, Vol. 58, No. 1, pp. 485-490, 39. Preston, F., “The Theory and Design of Plate Glass Polishing
2009. Machines,” Journal of the Society of Glass Technology, Vol. 11, pp.
214-256, 1927.
27. Lee, H., Park, Y., Lee, S., and Jeong, H., “Preliminary Study on the
Effect of Spray Slurry Nozzle in CMP for Environmental 40. Li, X., Pan, G., Wang, C., Guo, X., He, P., and Li, Y., “Effect of
Sustainability,” Int. J. Precis. Eng. Manuf., Vol. 15, No. 6, pp. 995- Chelating Agent on Reducing Galvanic Corrosion between Cobalt
1000, 2014. and Copper in Alkaline Slurry,” ECS Journal of Solid State Science
and Technology, Vol. 5, No. 9, pp. P540-P545, 2016.
28. Luo, J. and Dornfeld, D. A., “Material Removal Mechanism in
Chemical Mechanical Polishing: Theory and Modeling,” IEEE 41. Keswani, M. K., Lee, H., Babu, S., Patri, U., Hong, Y., et al., “Study
Transactions on Semiconductor Manufacturing, Vol. 14, No. 2, pp. of Inhibition Characteristics of slurry Additives in Copper CMP
112-133, 2001. using Force Spectroscopy,” ECS Transactions, Vol. 2, No. 2, pp.
515-522, 2006.
29. Lee, H., Lee, D., and Jeong, H., “Mechanical Aspects of the
Chemical Mechanical Polishing Process: A Review,” Int. J. Precis. 42. Kondo, S., Sakuma, N., Homma, Y., Goto, Y., Ohashi, N.,
Eng. Manuf., Vol. 17, No. 4, pp. 525-536, 2016. Yamaguchi, H., and Owada, N., “Abrasive-Free Polishing for
Copper Damascene Interconnection,” Journal of the Electrochemical
30. Kaufman, F., Thompson, D., Broadie, R., Jaso, M., Guthrie, W.,
Society, Vol. 147, No. 10, pp. 3907-3913, 2000.
Pearson, D., and Small, M., “Chemical-Mechanical Polishing for
Fabricating Patterned W Metal Features as Chip Interconnects,” 43. Pirayesh, H. and Cadien, K., “The Effect of Slurry Properties on the
Journal of the Electrochemical Society, Vol. 138, No. 11, pp. 3460- CMP Removal Rate of Boron Doped Polysilicon,” ECS Journal of
3465, 1991. Solid State Science and Technology, Vol. 5, No. 5, pp. P233-P238,
2016.
31. Seo, Y.-J. and Lee, W.-S., “Effects of Oxidant Additives for Exact
Selectivity Control of W-and Ti-CMP Process,” Microelectronic 44. Banerjee, G. and Rhoades, R. L., “Chemical Mechanical
Engineering, Vol. 77, No. 2, pp. 132-138, 2005. Planarization Historical Review and Future Direction,” ECS
Transactions, Vol. 13, No. 4, pp. 1-19, 2008.
32. Lee, H. and Jeong, H., “A Wafer-Scale Material Removal Rate
Profile Model for Copper Chemical Mechanical Planarization,” 45. Lee, H. and Jeong, H., “Analysis of Removal Mechanism on Oxide
International Journal of Machine Tools and Manufacture, Vol. 51, CMP using Mixed Abrasive Slurry,” Int. J. Precis. Eng. Manuf.,
No. 5, pp. 395-403, 2011. Vol. 16, No. 3, pp. 603-607, 2015.

33. Andricacos, P. C., Uzoh, C., Dukovic, J. O., Horkans, J., and 46. Jeong, M. K., Jo, S. J., Lee, H. S., Lee, A. R., Kang, C. K., et al.,
Deligianni, H., “Damascene Copper Electroplating for Chip “Chemical Mechanical Planarization of Copper Bumps on Printed
Interconnections,” IBM Journal of Research and Development, Vol. Circuit Board,” Int. J. Precis. Eng. Manuf., Vol. 12, No. 1, pp. 149-
42, No. 5, pp. 567-574, 1998. 152, 2011.

34. Paul, E., “A Model of Chemical Mechanical Polishing,” Journal of 47. Lee, H., Park, B., and Jeong, H., “Influence of Slurry Components
the Electrochemical Society, Vol. 148, No. 6, pp. G355-G358, on uniformity in Copper Chemical Mechanical Planarization,”
2001. Microelectronic Engineering, Vol. 85, No. 4, pp. 689-696, 2008.

35. Noh, K., Saka, N., and Chun, J.-H., “Effect of Slurry Selectivity on 48. Moon, M. S., Woo, K. D., Kang, S. J., Song, J. H., Oh, J. H., and
Dielectric Erosion and Copper Dishing in Copper Chemical- Yang, S. M., “A Study of the Corrosive Behavior of STS304 and
Mechanical Polishing,” CIRP Annals-Manufacturing Technology, STS 430, Depending on Surface Pre-Treatment Conditions, In
Vol. 53, No. 1, pp. 463-466, 2004. PEMFC While in Operation,” Int. J. Precis. Eng. Manuf., Vol. 15,
No. 6, pp. 1201-1205, 2014.
36. Wang, C., Gao, J., Tian, J., Niu, X., and Liu, Y., “Chemical
Mechanical Planarization of Barrier Layers by using a Weakly 49. Shin, W.-K., An, J.-H., and Jeong, H., “Investigation of Particle
Alkaline Slurry,” Microelectronic Engineering, Vol. 108, pp. 71-75, Adhesion Force for Green Nanotechnology in Post-CMP Cleaning,”
2013. Int. J. Precis. Eng. Manuf., Vol. 13, No. 7, pp. 1125-1130, 2012.
INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12 DECEMBER 2016 / 1761

50. Lee, H., Chung, M., Ahn, H.-G., Kim, S.-J., Park, Y.-K., and Jung, on Copper CMP,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 3,
S.-C., “Effect of the Surfactant on Size of Nickel Nanoparticles No. 2, pp. 155-159, 2016.
Generated by Liquid-Phase Plasma Method,” Int. J. Precis. Eng.
63. Zheng, J. P. and Roy, D., “Electrochemical Examination of Surface
Manuf., Vol. 16, No. 7, pp. 1305-1310, 2015.
Films Formed during Chemical Mechanical Planarization of Copper
51. Dylla-Spears, R., Wong, L., Miller, P. E., Feit, M. D., Steele, W., and in Acetic Acid and Dodecyl Sulfate Solutions,” Thin Solid Films,
Suratwala, T., “Charged Micelle Halo Mechanism for Agglomeration Vol. 517, No. 16, pp. 4587-4592, 2009.
Reduction in Metal Oxide Particle based Polishing Slurries,” Colloids
64. Aksu, S. and Doyle, F. M., “The Role of Glycine in the Chemical
and Surfaces A: Physicochemical and Engineering Aspects, Vol.
Mechanical Planarization of Copper,” Journal of the Electrochemical
447, pp. 32-43, 2014.
Society, Vol. 149, No. 6, pp. G352-G361, 2002.
52. Li, Z., Ina, K., Lefevre, P., Koshiyama, I., and Philipossian, A.,
65. Ichige, Y., Ouchi, M., Mishima, K., Haga, K., and Kondo, S., “Three
“Determining the Effects of Slurry Surfactant, Abrasive Size, and
Abrasive Content on the Tribology and Kinetics of Copper CMP,” Dimensional pH-Potential Diagram of Cobalt Slurry with Corrosion
Journal of The Electrochemical Society, Vol. 152, No. 4, pp. G299- Rate,” Proc. of International Conference on Planarization/CMP
G304, 2005. Technology (ICPT), pp. 1-4, 2015.

53. Eom, D. H., Ryu, J., Park, J. G., Myung, J., and Kim, K. S., 66. Kwon, M. H., Jee, W. Y., and Chu, C. N., “Fabrication of
“Chemical and Mechanical Characterizations of the Passivation Hydrophobic Surfaces using Copper Electrodeposition and
Layer of Copper in Organic Acid based Slurries and Its CMP Oxidation,” Int. J. Precis. Eng. Manuf., Vol. 16, No. 5, pp. 877-882,
Performance,” Key Engineering Materials, Vols. 257-258, pp. 389- 2015.
394, 2004. 67. Kim, H., “Atomic Layer Deposition of Metal and Nitride Thin
54. Kang, Y.-J., Eom, D. H., Song, J. H., and Park, J. G., “The Effect of Films: Current Research Efforts and Applications for Semiconductor
pH Adjustor in Cu Slurry on Cu CMP,” PacRim-CMP, pp. 197-204, Device Processing,” Journal of Vacuum Science & Technology B,
2004. Vol. 21, No. 6, pp. 2231-2261, 2003.

55. Alberty, R. A., “Standard Gibbs Free Energy, Enthalpy, and Entropy 68. Deshpande, S., Kuiry, S., Klimov, M., and Seal, S., “Elucidating
Changes as a Function of pH and pMg for Several Reactions Cu-Glycine and BTA Complexations in Cu-CMP using SIMS and
Involving Adenosine Phosphates,” Journal of Biological Chemistry, XPS,” Electrochemical and Solid-State Letters, Vol. 8, No. 4, pp.
Vol. 244, No. 12, pp. 3290-3302, 1969. G98-G101, 2005.

56. Lee, K. H., Jeong, J., and Chu, C. N., “Machining Characteristics on 69. Collman, J. P., Kubota, M., and Hosking, J. W., “Metal Ion
Electrochemical Finish Combined with Micro EDM using Deionized Facilitation of Atom-Transfer Oxidation-Reduction Reactions,”
Water,” Int. J. Precis. Eng. Manuf., Vol. 15, No. 9, pp. 1785-1791, Journal of the American Chemical Society, Vol. 89, No. 18, pp.
2014. 4809-4811, 1967.

57. Suzuki, H., Hirakawa, T., Sasaki, S., and Karube, I., “An Integrated 70. Lee, J.-O., Park, G., Park, J., Cho, Y., and Lee, C. K., “Study of
Three-Electrode System with a Micromachined Liquid-Junction Electrochemical Redox of Gold for Refining in Non-Aqueous
Ag/AgCl Reference Electrode,” Analytica Chimica Acta, Vol. 387, Electrolyte,” Int. J. Precis. Eng. Manuf., Vol. 16, No. 7, pp. 1229-
No. 1, pp. 103-112, 1999. 1232, 2015.
58. Lee, W., Nam, E., Lee, C.-Y., Jang, K.-I., and Min, B.-K., 71. De Bethune, A. J., Loud, N. A. S., and King, C. V., “Standard
“Electrochemical Oxidation Assisted Micromachining of Glassy Aqueous Electrode Potentials and Temperature Coefficients at
Carbon Substrate,” Int. J. Precis. Eng. Manuf., Vol. 16, No. 3, pp. 25°C,” Journal of The Electrochemical Society, Vol. 112, No. 4, pp.
419-422, 2015. 107C-108C, 1965.
59. Tripathi, S., Doyle, F. M., and Dornfeld, D. A., “Fundamental 72. Gu, S. N., Shi, Y., Wang, L. Y., Liu, W. L., and Song, Z. T.,
Mechanisms of Copper CMP-Passivation Kinetics of Copper in “Modification of Colloidal Silica with Sodium Aluminate,” Applied
CMP Slurry Constituents,” MRS Proceedings, Vol. 1157, 1157-E06- Mechanics and Materials, Vol. 468, pp. 39-42, 2014.
02, 2009.
73. Luo, Q., Mackay, R. A., and Babu, S. V., “Copper Dissolution in
60. Stern, M. and Geary, A. L., “Electrochemical Polarization I. A
Aqueous Ammonia-Containing Media during Chemical Mechanical
Theoretical Analysis of the Shape of Polarization Curves,” Journal
Polishing,” Chemistry of Materials, Vol. 9, No. 10, pp. 2101-2106,
of the Electrochemical Society, Vol. 104, No. 1, pp. 56-63, 1957.
1997.
61. Mansfeld, F., “Tafel Slopes and Corrosion Rates Obtained in the
74. Lee, B.-C., Duquette, D. J., and Gutmann, R. J., “The Chemical-
Pre-Tafel Region of Polarization Curves,” Corrosion Science, Vol.
Mechanical Polishing of Copper with Model Slurries,” Proc. of
47, No. 12, pp. 3178-3186, 2005.
Electrochemical Science and Technology of Copper: Proceedings
62. Jang, S., Jeong, H., Yuh, M., Park, I., and Park, J., “Effect of Glycine of the International Symposium, Vol. 2000, pp. 103-116, 2002.
1762 / DECEMBER 2016 INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING Vol. 17, No. 12

75. Yang, K., Gutmann, R. J., Murarka, S. P., Stonebraker, E., and 88. Chathapuram, V. S., Du, T., Sundaram, K. B., and Desai, V., “Role
Atkins, H., “Chemical-Mechanical Polishing of Tungsten with of Oxidizer in the Chemical Mechanical Planarization of the Ti/TiN
Hologen-based Slurries,” MRS Proceedings, Vol. 337, DOI No. 10. Barrier Layer,” Microelectronic Engineering, Vol. 65, No. 4, pp.
1557/PROC-337-145, 1994. 478-488, 2003.

76. Jiang, L., He, Y., Niu, X., Li, Y., and Luo, J., “Synergetic Effect of 89. Weijuan, L. and Yuling, L., “Synergic Effect of Chelating Agent
Benzotriazole and Non-Ionic Surfactant on Copper Chemical and Oxidant on Chemical Mechanical Planarization,” Journal of
Mechanical Polishing in KIO -based Slurries,” Thin Solid Films,
4 Semiconductors, Vol. 36, No. 2, Paper No. 026001, 2015.
Vol. 558, pp. 272-278, 2014.
90. Liu, S., “A Review on Protein Oligomerization Process,” Int. J.
77. Steigerwald, J. M., Murarka, S. P., Gutmann, R. J., and Duquette, Precis. Eng. Manuf., Vol. 16, No. 13, pp. 2731-2760, 2015.
D. J., “Effect of Copper Ions in the Slurry on the Chemical-
91. Pearson, R. G., “Hard and Soft Acids and Bases,” Journal of the
Mechanical Polish Rate of Titanium,” Journal of The
American Chemical Society, Vol. 85, No. 22, pp. 3533-3539, 1963.
Electrochemical Society, Vol. 141, No. 12, pp. 3512-3516, 1994.
92. Gorantla, V. R. K., Matijevic, E., and Babu, S. V., “Amino Acids as
78. Lim, G., Lee, J.-H., Kim, J., Lee, H.-W., and Hyun, S.-H., “Effects
complexing Agents in Chemical-Mechanical Planarization of
of Oxidants on the Removal of Tungsten in CMP Process,” Wear,
Copper,” Chemistry of Materials, Vol. 17, No. 8, pp. 2076-2080,
Vol. 257, No. 9, pp. 863-868, 2004.
2005.
79. Seo, Y.-J., Park, S.-W., and Lee, W.-S., “Application of Potential-
93. Patri, U. B., Aksu, S., and Babu, S. V., “Role of the Functional
pH Diagram and Potentiodynamic Polarization of Tungsten,”
Groups of Complexing Agents in Copper Slurries,” Journal of the
Transactions on Electrical and Electronic Materials, Vol. 7, No. 3,
Electrochemical Society, Vol. 153, No. 7, pp. G650-G659, 2006.
pp. 108-111, 2006.
94. Seal, S., Kuiry, S. C., and Heinmen, B., “Effect of Glycine and
80. Ein-Eli, Y., Abelev, E., and Starosvetsky, D., “Electrochemical
Hydrogen Peroxide on Chemical-Mechanical Planarization of
Aspects of Copper Chemical Mechanical Planarization (CMP) in
Copper,” Thin Solid Films, Vol. 423, No. 2, pp. 243-251, 2003.
Peroxide based Slurries Containing BTA and Glycine,”
Electrochimica Acta, Vol. 49, No. 9, pp. 1499-1503, 2004. 95. Li, X., Pan, G., Wang, C., Guo, X., He, P., and Li, Y., “Effect of
Chelating Agent on Reducing Galvanic Corrosion between Cobalt
81. Attia, A. A. A. A., Cioloboc, D., Lupan, A., and Silaghi-Dumitrescu,
and Copper in Alkaline Slurry,” ECS Journal of Solid State Science
R., “Fe-O Versus O-O Bond Cleavage In Reactive Iron Peroxide
and Technology, Vol. 5, No. 9, pp. P540-P545, 2016.
Intermediates of Superoxide Reductase,” JBIC Journal of Biological
Inorganic Chemistry, Vol. 18, No. 1, pp. 95-101, 2013. 96. Kovačević, N. and Kokalj, A., “Chemistry of the Interaction between
Azole Type Corrosion Inhibitor Molecules and Metal Surfaces,”
82. Li, K. and Xue, D., “Estimation of Electronegativity Values of
Materials Chemistry and Physics, Vol. 137, No. 1, pp. 331-339,
Elements in Different Valence States,” The Journal of Physical
2012.
Chemistry A, Vol. 110, No. 39, pp. 11332-11337, 2006.
97. Chen, K.-W., Chou, T.-H., Wu, S.-R., Chen, C.-F., Hung, Y.-T., et
83. Lee, H. S., Kim, D. I., An, J. H., Lee, H. J., Kim, K. H., and Jeong,
al., “Slurry Selectivity to Local Thickness Variations Control in
H., “Hybrid Polishing Mechanism of Single Crystal SiC using Mixed
Advanced Cu CMP Process,” Proc. of Semiconductor Technology
Abrasive Slurry (MAS),” CIRP Annals-Manufacturing Technology,
International Conference (CSTIC), pp. 1-3, 2015.
Vol. 59, No. 1, pp. 333-336, 2010.
98. Brusic, V., Frisch, M. A., Eldridge, B. N., Novak, F. P., Kaufman, F.
84. Hayashi, S., Koga, T., and Goorsky, M., “Chemical Mechanical
B., et al., “Copper Corrosion with and without Inhibitors,” Journal
Polishing of Gan,” Journal of the Electrochemical Society, Vol. 155,
of the Electrochemical Society, Vol. 138, No. 8, pp. 2253-2259,
No. 2, pp. H113-H116, 2008.
1991.
85. Park, C., Kim, H., Lee, S., and Jeong, H., “The Influence of
99. Jiang, L., He, Y., Li, J., and Luo, J., “Passivation Kinetics of 1, 2, 4-
Abrasive Size on High-Pressure Chemical Mechanical Polishing of
Triazole in Copper Chemical Mechanical Polishing,” ECS Journal
Sapphire Wafer,” Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2,
of Solid State Science and Technology, Vol. 5, No. 5, pp. P272-
No. 2, pp. 157-162, 2015.
P279, 2016.
86. Du, T., Vijayakumar, A., and Desai, V., “Effect of Hydrogen Peroxide
100. Joo, S., Lee, H., and Jeong, H., “Analysis of Cu CMP according to
on Oxidation of Copper in CMP Slurries Containing Glycine and
the Variation of Corrosion Inhibitor Concentration,” Proc. of the
Cu Ions,” Electrochimica Acta, Vol. 49, No. 25, pp. 4505-4512,
Korean Society of Manufacturing Process Engineers Conference,
2004.
Vol. 2008, pp. 121-124, 2008.
87. Hernandez, J., Wrschka, P., and Oehrlein, G., “Surface Chemistry
Studies of Copper Chemical Mechanical Planarization,” Journal of
the Electrochemical Society, Vol. 148, No. 7, pp. G389-G397,
2001.

View publication stats

Das könnte Ihnen auch gefallen