Sie sind auf Seite 1von 11

FASE 3 – DISEÑO

PROYECTO DE GRADO

SISTEMA DE CONTROL AUTOMÁTICO PARA EL LLENADO Y EL VACIADO


DE TANQUES DE RESERVA DE AGUA

ELBER FERNANDO CAMELO


DIRECTOR

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA – UNAD


ESCUELA DE CIENCIAS BÁSICAS, TECNOLOGÍA E INGENIERÍA
CARTAGENA
2019

13
INDICE

Pág.
1. Introducción. 2
2. Objetivos 3
3. Definición del problema. 4
4. Justificación. 5
5. Marco conceptual 6
6. Estado del arte 8
7. Cronograma 11
8. Recursos 11
9. Diseño 12
10. Conclusiones. 18
11. Referencias. 19

1
1. INTRODUCCIÓN

El control automático hoy en día es una aplicación bastante regular. Se hace necesario el
manejo de una variable con el fin de mantener resultados deseados. En nuestro caso, se
pretende aplicar el concepto de control sobre un sistema de llenado de tanques de reserva de
agua. Para esto, necesitamos controlar específicamente la variable de nivel del RT.

En el transcurso del presente trabajo se pretende, aprender y aplicar conceptos básicos sobre
programación de microcontroladores, control automático, programación gráfica y manejo de
herramientas de simulación previa a la implementación del prototipo. Se manejarán
herramientas informáticas como PIC-C, ISISS PROTEUS, NI LABVIEW, entre otros.

2
2. DISEÑO

Para la solución de la problemática, partimos de la variable a controlar. En nuestro caso el


nivel de agua de los tanques de reserva. A partir de allí se analizaran los distintos
componentes del sistema.

Diagrama General y Componentes

PIC - Procesamiento

Tank

Electroválvula de llenado

Sensores de Nivel:
- Alto
- Medio
- Bajo

Electroválvula de vaciado

El sistema lo podemos dividir en tres grandes grupos, SENSORES, PROCESAMIENTO Y


ACTUADORES. Se usarán sensores tipo flotador de posición vertical. Estos medirán los tres
niveles posibles del tanque, alto, medio y bajo. Las señales serán enviadas a la etapa de
PROCESAMIENTO para determinar acción a tomar con base a dichas lecturas.

3
Circuito electrónico parcial.

Circuito de funcionamiento. Simulación de los tres sensores de nivel y sus estados. Se utiliza PIC 16f877a para ejecución, programado
en C con el software PIC C Compiler. Las salidas para las electroválvulas serán los pines del PIC B0 y B1. Tanto para el llenado como
para el vaciado del tanque. El puerto D del PIC se usa como visualización, pantalla LCD 2x16.

13
Algoritmo parcial en lenguaje C para microcontrolador.
#include <16f877a.h>
#use delay (clock=4M)
#include <lcd.c>

void main(){
output_low(pin_b0);
while(true){
lcd_init();
//printf (lcd_putc,"Indicador de nivel" );
if(!input (pin_a0)&& !input(pin_a1)&& !input(pin_a2)){
lcd_init();
printf (lcd_putc,"Tanque vacio" );
output_high(pin_b0);
delay_ms(1000);
output_low(pin_b0);
delay_ms(1000);
}
if(!input (pin_a0)&& !input(pin_a1)&& input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque bajo" );
}
if(!input (pin_a0)&& input(pin_a1)&& input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque medio" );
}
if(!input (pin_a0)&& input(pin_a1)&& !input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque medio" );
}
if(input (pin_a0)&& input(pin_a1)&& input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque lleno" );
}
if(input (pin_a0)&& !input(pin_a1)&& !input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque lleno" );
}
if(input (pin_a0)&& input(pin_a1)&& !input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque lleno" );
}
if(input (pin_a0)&& !input(pin_a1)&& input(pin_a2)){
lcd_init();
printf (lcd_putc,"tanque lleno" ); }}}

13
COMPONENTES.
Sensores de nivel: Este sensor de nivel es un interruptor de flotador diseñado en ángulo recto
utilizado para detectar el nivel del líquido en un tanque. Este sensor es de alta precisión, alto
rendimiento y alta estabilidad lo que conlleva a ser usado comúnmente para accionar una
bomba, un indicador, una alarma u otro dispositivo. Puede ser fácilmente convertido de
normalmente abierto a normalmente cerrado invirtiendo la posición del flotador. Debido a
que la corriente que el interruptor puede llevar es muy baja, se sugiere usar un Relé o alguna
interfaz de potencia si se conecta a algún dispositivo que consuma más de esta corriente. Se
recomienda no usar este sensor en líquidos que contengan mercurio.

Características

Voltaje: 100 VDC (máx.)

Corriente: 0.5 A (máx.)

Resistencia de contacto: 100 MΩ (máx.)

Material del flotador: Polipropileno (PP)

Material del cuerpo: Polipropileno (PP)

Temperatura de trabajo: -10º C a 85º C

Longitud del cable: 40 cm

6
PIC16f877a: Se denomina microcontrolador a un dispositivo programable capaz de
realizar diferentes actividades que requieran del procesamiento de datos digitales y del
control y comunicación digital de diferentes dispositivos.
Los microcontroladores poseen una memoria interna que almacena dos tipos de datos; las
instrucciones, que corresponden al programa que se ejecuta, y los registros, es decir, los
datos que el usuario maneja, así como registros especiales para el control de las diferentes
funciones del microcontrolador.1

1
Tomado de: Descripción del PIC 16F877
https://www.monografias.com/trabajos18/descripcion-pic/descripcion-pic.shtml

7
Electroválvula: Válvula Solenoide metálica, compatible con rosca G1/2'', se encuentra
normalmente cerrada. Esta puede ser instalada en cualquier ángulo, pese a ello, se
recomienda posicionarla en dirección horizontal. Se utiliza para controlar automáticamente
el flujo de agua, trabaja con un voltaje de 12 VDC. Pese a su pequeño tamaño, es bastante
práctica, alcanzando un nivel de presión de hasta 0.8 Mpa. Este modelo está equipado de una
caja plástica que permite proteger los cables de alimentación de la lluvia, permitiendo así que
la electroválvula sea instalada en la intemperie

Características
Modelo: DCF-HT15

Medio de funcionamiento: Agua y fluidos de baja viscosidad

Voltaje de operación: 12 VDC

Potencia nominal: 5W

Modo de operación: Acción directa

Tipo de accionamiento: Normalmente cerrada

Diámetro nominal: 8 mm

Tamaño de puerto: G1/2''

Presión salida de agua: 0.02 a 0.8 Mpa

Temperatura de operación: -5° C a 60° C

8
3. CONCLUSIONES
- La definición de un problema es la parte más fundamental del inicio de un proyecto,
sea tecnológico o de cualquier índole. En esta fase se conoce la necesidad o la
problemática a la cual se le quiere dar solución.

- Usar la tecnología o la innovación para mejorar la calidad de vida de los seres


humanos es una actividad que se viene realizando desde el inicio de los tiempos y es
la razón por la cual hemos logrado tener y disfrutar los avances y mejoras en nuestro
vivir cotidiano.

- El control automático, o la automatización, es una aplicación de la ingeniería


electrónica para mejorar y optimizar los procesos ya establecidos pero de baja
eficiencia. En nuestro caso, se aplica con la finalidad de garantizar un mejor resultado
al obtenido de forma tradicional, es decir, realizar el proceso de llenado y vaciado de
forma automática o mantener el nivel del agua en un rango de variación
preestablecido dentro del tanque.

9
BIBLIOGRAFÍA

- Carvajal Gomez, Danny Alejandro. Prototipo Sistema Automatizado De recolección


De Agua Lluvia Domestico. Bogotá 2016. [En Línea].
<https://repository.uniminuto.edu/bitstream/handle/10656/4409/TTE_CarvajalGom
ezDannyAlejandro_2016.pdf?sequence=1>

- Wikipedia, Regulación automática. 2019.[ En Línea]


<https://es.wikipedia.org/wiki/Regulaci%C3%B3n_autom%C3%A1tica>

- Arias Lozada, John Alexander. Marulanda Grajales, Alejandro. Control Y Medida


De Nivel De Líquido Por Medio De Un Sensor De Presión Diferencial. Pereira
2010. [En Línea].
<http://repositorio.utp.edu.co/dspace/bitstream/handle/11059/1772/;jsessionid=CCF
54DB88235808F9CBD6B5D45B0B55D?sequence=1>

- Bohorquez Valderrama, Karol. Fonseca Sanabria, Diego. Gutiérrez Puertas,


Santiago. Sistema Didáctico Para El Control De Nivel Con Tanques Acoplados.
Bogotá 2017. [En Línea].
<https://repository.ucatolica.edu.co/bitstream/10983/15297/1/Documento%20Tanqu
es%20Acoplados%2012-12-2017%20Versi%C3%B3n%20Final.pdf>

- Wikipedia, Electroválvula. 2019.[ En Línea]


<https://es.wikipedia.org/wiki/Electrov%C3%A1lvula>

- National Instruments, ¿Qué es LabVIEW? 2019.[ En Línea]


<http://www.ni.com/es-co/shop/labview.html>

- H Páez-Logreira, R. Zamora-Musa & J. Bohórquez-Pérez, "Programación De


Controladores Lógicos (Plc) Mediante Ladder Y Lenguaje De Control Estructurado
(Scl) En Matlab", Fac. Ing., vol. 24 (39), pp. 109– 119, Mayo-Ago. 2015.
<http://www.scielo.org.co/scielo.php?script=sci_arttext&pid=S0121-
11292015000200010&lang=es>

- Gómez quintero, maría paula, zabala dominguez, gustavo andrés, dávila rincón, javier
andrés, USO DE LABVIEW PARA SISTEMAS DE CONTROL EN INGENIERÍA
QUÍMICA.
<http://www.redalyc.org/articulo.oa?id=49622390017I>

10

Das könnte Ihnen auch gefallen