Sie sind auf Seite 1von 19

15NT305: Micro and Nano Fabrication

Unit - II

Dr. Abhay A. Sagade


SRM Research Institute, and
Department of Physics & Nanotechnology
University building (central library), Room no. 619

http://www.srmuniv.ac.in/research-opportunities-details/10612

B. Tech., Semester 6, 2018


Date: 14/02/2018 Etching
Etching refers to the removal of material from the wafer surface. The process is usually combined with
lithography in order to select specific areas on the wafer from which material is to be removed. Etching
represents one way of permanently transferring the mask pattern from the photoresist to the wafer surface.
The complementary process to etching is deposition (or growth), where new material is added.

There are two main types of etching: wet and dry.

When a material is attacked by a liquid or vapor etchant, it is removed isotropically (etch rate is uniform in all
directions) or anisotropically (etch rate is orientation dependent). The difference between isotropic etching and
anisotropic etching is shown in Figure 1. Material removal rate for wet-etching is usually faster than the rates for
many dry etching processes and can easily be changed by varying temperature or the concentration of active
species.

(a) Completely anisotropic (b) Partially anisotropic and (c) Isotropic etching of silicon
Wet etching
Wet chemical etching is used extensively in semiconductor processing. Starting from the sawing of semiconductor
wafers, lapping and polishing to give an optically flat, damage-free surface.

The mechanisms for wet chemical etching involve three essential steps: i) the reactants are transported by
diffusion to the reacting surface, ii) chemical reactions occur at the surface, and iii) the products from the surface
are removed by diffusion. These reactions are either oxidation or reduction type.
The agitation and the temperature of the etchant solution can
influence the etch rate. Generally wet etching is Isotropic.

In IC processing, most wet chemical etchings proceed by immersing


the wafers in a chemical solution or by spraying the wafers with the
etchant solution.

Etch rates must be uniform across a wafer, from wafer to wafer, and
from run to run. Etch rate uniformity is given by:

×
Silicon Etching
For semiconductor materials, wet chemical etching usually starts with oxidation followed by dissolution of the
oxide by a chemical reaction. For silicon, the most commonly used etchants are mixtures of nitric acid (HNO3) and
hydrofluoric acid (HF) in water or acetic acid (CH3COOH). Nitric acid oxidizes silicon to form a SiO2 layer.
The oxidation reaction is

Hydrofluoric acid is used to dissolve the SiO2 layer. The reaction is:

Some etchants dissolve a given crystal plane of single-crystal silicon much faster than another plane; this results
in orientation-dependent etching. For a silicon lattice, the (111)-plane has more available bonds per unit area
than the (110)- and (100)-planes; therefore, the etch rate is expected to be slower for the (111)-plane.

A commonly used orientation-dependent etch for silicon consists of a mixture of KOH in water and isopropyl
alcohol. For example, a solution with 19 wt% KOH in deionized (DI) water at about 80°C removes the (100)-plane
at a much greater rate than the (110)- and (111)-planes. The ratio of the etch rates for the (100)-, (110)-, and
(111)-planes is 100:16:1.
Orientation-dependent etching of <100>-oriented silicon
through a patterned silicon dioxide mask creates precise
V-shaped grooves, as shown in Fig. 2a, the edges being
(111)-planes at an angle of 54.7°. If the window in the
mask is sufficiently large or if the etching time is short, a
U-shaped groove will be formed. The width of the bottom
surface is given by

where W0 is the width of the window on the wafer


surface and l is the etched depth.

If <110>-oriented silicon is used, essentially straight-
walled grooves with sides of (111)-planes can be formed,
as shown in Fig. 2b. The large orientation dependence in
the etch rates can be used to fabricate device structures
with submicron feature lengths.
Silicon Dioxide Etching
The wet etching of silicon dioxide is commonly achieved in a dilute solution of HF with or without the addition of
ammonium fluoride (NH4F). Adding NH4F is referred to as a buffered HF solution (BHF), also called bufferedoxide-
etch (BOE). The addition of NH4F to HF controls the pH value and replenishes the depleted fluoride ions, thus
maintaining stable etching performance. The overall reaction for SiO2 etching is the same as that in Equation
[write it from Si section].
The etch rate of SiO2 etching depends on the etchant solution, etchant concentration, agitation, and temperature.
In additional, density, porosity, microstructure, and the presence of impurities in the oxide also influence the etch
rate. Typical etch rates used are 5-250 nm/min.
Silicon Nitride Etching
Silicon nitride films can be etched at room temperature in concentrated HF or buffered HF and in a boiling
phosphoric acid (H3PO4) solution. Selective etching of nitride to oxide is done with 85% H3PO4 at 180ºC because
this solution attacks silicon dioxide very slowly. The etch rate is typically 10 nm/min for silicon nitride, but less
than 1 nm/min for silicon dioxide.
Gallium Arsenide Etching
The most commonly used GaAs etchants are the H2SO4-H2O2-H2O and H3PO4-H2O2-H2O systems. For an etchant
with an 8:1:1 volume ratio of H2SO4-H2O2-H2O, the etch rate is 0.8 μm/min for the <111>-Ga face and 1.5 μm/min
for all other faces.
Aluminium Etching
Aluminium is easily etched in a warm phosphoric acid (H3PO4) + water solution. It is highly selective etching. It can
also be extended to etch aluminium oxide (Al2O3). Typical etch rates used are 1-10 nm/s.
Date: 19/02/2018 Dry etching
Dry etching, as the name suggest, is 1. Wet etching is used for large pattern sizes, usually larger than 2 µm.
removal of material in the absence of 2. It is an isotropic process - sloped sidewalls rather than straight walls.
solvent. The process was introduced 3. Wet etch has to be combined with subsequent rinse and dry steps.
because wet etching has following 4. Hazardous chemicals and conditions are used, so safety is an issue.
disadvantages: Safe disposal of chemicals is essential.
5. Undercutting and resist peel off can happen if time is not controlled
or etch conditions change during process.

Dry etching is one of the most widely used processes in semiconductor manufacturing since it is easier to control,
is capable of defining feature sizes smaller than 100 nm, and produces highly anisotropic etching. It may remove
the materials by chemical reactions (using chemical reactive gases or plasma), by purely physical methods (e.g.,
sputtering and ion beam-induced etching), or with a combination of both chemical reaction and physical
bombardment (e.g., reactive ion etching).

i) Non-plasma based : Uses spontaneous reaction of appropriate reactive gas mixture


ii) Plasma based : Uses Radio Frequency (RF) power to drive the etching
i) Non-plasma based : Uses spontaneous reaction of appropriate reactive gases mixture
 It is usually isotropic etching.
 Typically fluorine-containing gases (fluorides or interhalogens) are used.
 Highly selective to masking layers.
 Controllable via temperature and partial pressure of reactants.

Example of etching silicon with Xenon Difluoride (XeF2). The reaction and its sequence is:

1. Non-dissociative adsorption of XeF2 at the silicon surface


2. Dissociation of the absorbed gas
3. Reaction between the adsorbed atoms and the silicon surface to form an product molecule, SiF4
4. Desorption of the product molecule (SiF4) into the gas phase
5. Volatilization of non-reactive residue (dissociated Xe) from the etched surface

Chamber pressures of few Torr is sufficient. It is possible to use it in pulsed or constant pressures. Typical etch
rates of 1 to 3 μm/min can be achieved. This reaction is exothermic and generate lot of heat, hence the stage or
wafer temperature is lowered to protect the resist based mask.

Other, interhalogens based gases used are BrF3 and ClF3. These processes are most famous in MEMS applications.
ii) Plasma based : Uses Radio Frequency (RF) power to drive the etching. Some of its types are
Physical Etching, Chemical Etching, Reactive Ion Etching, Deep Reactive Ion Etching

The mechanism of dry etching is very similar to the wet etching mechanism. First, a feed gas is introduced into
the chamber, where it is broken down into chemically reactive species to form plasma. Reactive species diffuse
to the surface to be etched and are then adsorbed on this surface. Once the reactive species reach the surface,
they move around on the surface via diffusion until they react with the exposed film. It leads to formation of
products of the reaction which desorb from the surface and diffuse away through the gas steam. In some cases,
the sample chuck temperature is intentionally elevated to increase volatility of the products.
There are two types of biasing schemes in production of plasma: (left) grounding of wafer, (right) biasing of wafer.

In a capacitively coupled plasma (CCP) etcher, etchant gases are injected between two parallel metallic electrodes with
symmetrical size and position to which voltage is applied on one electrode. The potential drop across the gas breaks it down
and generates the plasma. A significant fraction of the input power is consumed by ions accelerating in the sheaths, and is
dissipated at the electrode surfaces during ion bombardment. Therefore, a small fraction of the input power is used for
plasma generation. The gas dissociation fraction is low and electron density is also low (~ 109 to 1010 cm-3). Typically
operation pressures is ~ 50 to 500 mTorr. Due to high pressure, the scattering of gas species is large which prevents their use
for fabrication of extremely small features
Inductively coupled plasma (ICP) etchers were developed to obtain high-aspect-ratio features with high selectivity. ICP
etchers are operated at lower gas pressure (~3 to 50 mtorr) than CCP etchers. The lower pressure reduces gas collisions and
assist in enhanced anisostropic etching. The increased mean free path of etchants can move them easily into and out of high-
aspect ratio features. As most of the input power is consumed by electrons, the electron density is substantially larger (~
1011- 1012 cm-3) in ICP etchers than in CCP. Therefore, the ICP etcher is a high-density plasma (HDP) etcher.
Physical Etching (Sputter Etching):
• The process is based on physical bombardment with ions or atoms, hence it is non-selective.
• Chemically inert projectile is accelerated by applying high voltages to electrodes, which also creates plasma.
The plasma is generated by capacitive coupling between the eletrodes.
• Knocking off of surface atoms occurs by momentum transfer from projectile during the collision.
• Substrate atoms are dislodged if projectile energy exceeds bonding energy.
• Very similar to ion implantation, but low-energy ions are used to avoid implantation damage.
• Highly anisotropic
• Etch rates for most materials are comparable
PE is the basic working principle of Ion milling and focused ion beam etching
which uses lower pressures, often as low as 10−4 Torr. It bombards the wafer
with energetic ions of gases (Ar and Ga). It is widely used in patterning
intricate features.

TEM sample Nano toilet


Nano-stairs
Reactive Ion Etching (RIE):
RIE is achieved with capacitively coupled plasma assisted by RF of 13.56 MHz. Most plasma etching processes
rely primarily on reactive ion etching for material removal. RIE involves simultaneous bombardment of energetic
ions and reactive neutral radicals onto the material surface. Ions bombard the substrate surface almost normally
and etching occurs anisotropically. RIE is similar to sputtering, but more selective than physical sputtering due to
its partially chemical nature from reactive radicals.

A simple example of chemical plasma etching is Si etching using F, which has a high etch rate even at room
temperature:

Chemical etching is often isotropic as incoming neutral etchants have a uniform angular distribution. However,
for crystalline materials, chemical etching can be sensitive to crystallographic orientation. Processing conditions
are therefore chosen so as to maintain both isotropic and anisotropic etching. Etch rates of 2 μm/min can be
achieved in RIE.

Factors such as applied coil or electrode power, reactant gas flow rates, duty cycles, chamber pressures,
substrate temperature and mixture concentrations are the variable parameters in optimization of process.
Material Chemistry
SiO2 CF4, SF6, NF3
Si3N4 CF4, SF6, NF3, CHF3
Aluminium Cl2, CCl4, SiCl4, BCl3
Deep Reactive Ion Etching (DRIE):
DRIE is achieved with inductively coupled plasma. Further it is possible to produce plasma at two different RF
frequencies such as 13.56 MHz and 2.45 GHz. [write ICP note from previous slide for 12 marks]

The alternative DRIE plasma generation technique is known as


Electron Cyclotron Resonance (ECR) Plasma Etcher. The ECR
plasma etcher shown in Figure, which is similar to an ICP etcher,
uses resonant wave-plasma interaction. In an ECR etcher,
microwave is launched into a magnetized chamber containing the
etchant gas at low pressure (< 10 mTorr). Electron cyclotron
resonance occurs at spatial locations where the local electron
cyclotron frequency (eB/me) matches the applied frequency.
By carefully designing the magnetic field profile, one can obtain
high-density uniform plasma above the substrate surface. Plasma
densities in ECR etchers are higher or comparable to ICP reactors
(known as high density plasma, HDP).

ECR etchers are also operated at lower gas pressures than


capacitively coupled plasma etchers and allow independent
biasing of the substrate. Similarly to ICP, ECR etchers are
characterized by high degrees of gas dissociation.
One of the famous DRIE processes is the Bosch process, named after the German company Robert Bosch GmbH. This
process is also known as pulsed or time-multiplexed etching.

It relies on the source gases being broken down in a high-density plasma region before reaching the wafer, which has a small
but controlled voltage drop from the plasma. This technique cannot be performed in reactive ion etch systems (RIE), as these
have the wrong balance of ions to free radical species. This balance can be achieved in high-density plasma systems (HDP).

It is a two step process:


1. A standard, nearly isotropic plasma etch. Some of the plasma ions attack the wafer from a nearly vertical direction.
2. Deposition of a chemically inert passivation layer. For example, C4F8 (Octafluorocyclobutane) source gas yields a substance
similar to Teflon.

Sulphur hexafluoride (SF6) is the source gas used to provide the fluorine
for silicon etching.

This molecule readily break up in high-density plasma to release free


radical fluorine. The sidewall passivation and mask protection is provided
by Octafluorocyclobutane. It breaks open to produce CF2 and longer chain
radicals in the high-density plasma. This fluorocarbon polymer is get
deposited on the walls.
Each step lasts for several seconds. The passivation layer protects the entire substrate from further chemical attack and
prevents further etching. However, during the etching phase, the directional ions that bombard the substrate, attack the
passivation layer at the bottom of the trench but not along the sides. They collide with it and sputter it off, exposing the
substrate to the chemical etchant.
These etch/deposit steps are repeated many times resulting in a large number of very small isotropic etch steps taking place
only at the bottom of the etched pits. For example, to etch through a 0.5 mm silicon wafer, 100–1000 etch/deposit steps are
needed. The cycle time can be adjusted: short cycles yield smoother walls, and long cycles yield a higher etch rate. The
highest etch rates of silicon using this technique can be >10 µm/min.
The high yield of Bosch etching system depends on:
Fast Pumping
Fast Response Mass Flow Controllers
Separation Between Wafer and ICP Region
Purely Inductive Coupling of Power in the ICP Region
Heating the Walls, Lid and Pump Lines
Short Mixed Gas Line
High Efficiency Wafer Cooling

Applications -
• MEMS
• Microfluidics
• Medical
Question bank

For 5 marks

 Explain wet etching with examples of Silicon and Silicon Oxide/Nitride.


 Discuss dry etching with the help of sputter etching and reactive ion etching.

For 12 marks

 Give detailed account of dry etching for non-plasma and capacitively coupled plasma processes.
 Explain in detail dry etching using inductive coupled plasma with deep reactive ion etching process.

Das könnte Ihnen auch gefallen