Sie sind auf Seite 1von 6

Release Notes For ModelSim Student Edition 10.

4a

Mar 24 2015
Copyright 1991-2015 Mentor Graphics Corporation
All rights reserved.
This document contains information that is proprietary to Mentor
Graphics
Corporation. The original recipient of this document may duplicate this
document in whole or in part for internal business purposes only,
provided
that this entire notice appears in all copies. In duplicating any part
of
this document the recipient agrees to make every reasonable effort to
prevent the unauthorized use and distribution of the proprietary
information.
TRADEMARKS: The trademarks, logos and service marks ("Marks") used
herein
are the property of Mentor Graphics Corporation or other third parties.
No one is permitted to use these Marks without the prior written
consent
of Mentor Graphics or the respective third-party owner. The use herein
of a third-party Mark is not an attempt to indicate Mentor Graphics as
a
source of a product, but is intended to indicate a product from, or
associated with, a particular third party. The following are trademarks
of
of Mentor Graphics Corporation: Questa, ModelSim, JobSpy, and Signal
Spy.
A current list of Mentor Graphics trademarks may be viewed at
www.mentor.com/terms_conditions/trademarks.cfm.
End-User License Agreement: You can print a copy of the End-User
License
Agreement from: www.mentor.com/terms_conditions/enduser.cfm.
_______________________________________________________________________

* How to Get Support


ModelSim Student Edition is not provided with any expressed or
implied support.
_______________________________________________________________________

Index to Release Notes

* [1]Key Information
* [2]Release Announcements in 10.4a
* [3]Base Product Specifications in 10.4a
* [4]Compatibility Issues with Release 10.4a
* [5]General Defects Repaired in 10.4a
* [6]User Interface Defects Repaired in 10.4a
* [7]SystemVerilog Defects Repaired in 10.4a
* [8]VHDL Defects Repaired in 10.4a
* [9]SystemC Defects Repaired in 10.4a
* [10]WLF and VCD logging Defects Repaired in 10.4a
* [11]General Enhancements in 10.4a
* [12]User Interface Enhancements in 10.4a
* [13]SystemVerilog Enhancements in 10.4a
* [14]SystemC Enhancements in 10.4a
_______________________________________________________________________

Key Information
* The following lists the supported platforms:
+ win32pe_edu - Windows 7, Windows 8
_______________________________________________________________________

Release Announcements in 10.4a


* [nodvtid] -
[10.4] Support for Windows XP and Windows Vista has been
discontinued.
[10.4] Licensing Information
There is no licensing change between release 10.3 and 10.4.
However if you are migrating to 10.4 from a release like 10.2 and
older, please note the following:
+ Starting 10.3, it uses FLEXnet v11.11.1.1. The vendor daemons
and lmgrd that are shipped with this release will be FLEXnet
version 11.11.1.1.
+ For floating licenses it will be necessary to verify that the
vendor daemon (i.e., mgcld) and the license server (i.e.,
lmgrd) have FLEXnet versions equal to or greater than
11.11.1.1. If the current FLEXnet version of your vendor
daemon and lmgrd are less than 11.11.1.1 then it will be
necessary to stop your license server and restart it using the
vendor daemon and lmgrd contained in this release.
+ If you use node locked licenses you don't need to do anything.
[10.3b] OVL is upgraded to v2.8.1.
[10.3b] The VHDL OSVVM (Open Source VHDL Verification Methodology)
library, sources and documentation have been updated to version
2014.01. Dependency checks in vopt and vsim will force
recompilation of designs that use the osvvm library. If
optimization is performed using vopt, the optimizer will
automatically generate new optimized design units. Without the
optimization step, vsim will detect dependency errors.
[10.3] Support for RedHat Enterprise Linux (RHEL) 4.0 has been
discontinued.
[10.2] Support for Solaris SPARC and Solaris x86 has been
discontinued. All Solaris OS platforms are not supported.
[10.2] Support for RedHat Enterprise Linux (RHEL) 3.0 and Novell
SUSE Linux Enterprise (SLES) 9 has been discontinued.
[10.1] Support for GCC versions
gcc-4.1.2-sunos510/gcc-4.1.2-sunos510x86 has been discontinued.
[10.0] Support for Solaris 8 and Solaris 9 has been discontinued.
_______________________________________________________________________

Base Product Specifications in 10.4a


* [nodvtid] -
[Supported Platforms]
Linux RHEL 5 x86/x86-64
Linux RHEL 6 x86/x86-64
Linux RHEL 7 x86/x86-64
Linux SLES 10 x86/x86-64
Linux SLES 11 x86/x86-64
Windows 7 x86/x64
Windows 8 x86/x64
[Supported GCC Compilers (for SystemC)]
gcc-4.7.4-linux/gcc-4.7.4-linux_x86_64
gcc-4.5.0-linux/gcc-4.5.0-linux_x86_64
gcc-4.3.3-linux/gcc-4.3.3-linux_x86_64
gcc-4.2.1-mingw32vc9
[OVL (shipped with product)]
v2.8.1
[VHDL OSVVM (shipped with product)]
v2014.07
[Licensing]
FLEXnet v11.11.1.1
MSL v2013_3
MGLS v9.10_7.2
PCLS v9.10.7.2
_______________________________________________________________________

Compatibility Issues with Release 10.4a

Key Information Compatibility


* dvt73631 - (results) VHDL 2008 users who use generic packages or
subprograms must refresh the associated design units or recompile
from source code with this release. Changes made for correctness
affect internal data structures and are incompatible with vhdl-2008
design units generated with earlier releases.

User Interface Compatibility


* [nodvtid] - (results) A new feature called VHDL Access Path
Expressions has been introduced which provides improved visibility
and a more intuitive way of viewing VHDL access variables and
objects in the Wave Window. Some of the features of vhdl access
path expressions are:
+ More descriptive pointer values. Values are Dynamic Object
Identifiers instead of hexadecimal memory address.
+ Access references may be expanded in place in the wave window
rather than having to inspect individual access objects one by
one.
+ Ability to add access_var.all to see dereferenced object
values.
+ Ability to "cast" unconstrained arrays to constrained array
type.
+ The [accessinfo] command gives metrix and reports about access
object usage.
+ Enabled with -accessobjdebug switch or AccessObjDebug
modelsim.ini variable setting.
_______________________________________________________________________

General Defects Repaired in 10.4a


* dvt73936 - In some situations on Windows, the modelsim.ini file is
not found by the tools vmap, vdir, vsim, etc.
_______________________________________________________________________

User Interface Defects Repaired in 10.4a


* dvt74014 - A malformed [add wave] command can cause the UI to
crash.
* dvt74038 - A user defined keyboard short-cut bound to the
Simulate->Step->Step commands, or any other global menu operations
do not work. This has been resolved.
* dvt74187 - The wrong instance scope will sometimes be used when
displaying a signals declaration in the Source window from the Wave
window.
* dvt74126 - In Questa, the "change" command could crash or
incorrectly ignore ".super" psuedo scope. This has been corrected.
* dvt29745 - Using the Library window popup menu to invoke Simulate
(start a simulation) will sometimes produce an error message and
fail to launch the simulation. This occurs after performing a
design optimization from the Library window.
* dvt74813 - Mouse Middle Button zoom-out stroke does not work in
Wave window when the waveform view starts at 0.
* [nodvtid] - The "Filter Waveform..." dialog has been improved. The
old dialog caused confusion and unintended usage errors.
_______________________________________________________________________

SystemVerilog Defects Repaired in 10.4a


* [nodvtid] - vlog will now compile all code in the $unit scope of a
file even if that SV code is not directly referenced.
* dvt63470 - Vsim memory size would grow significantly after
'restart' commands in designs with large SystemVerilog packages.
* dvt74214 - Fixed a crash in a DPI import call caused by using class
member fields as the actual arguments of unsized packed open array.
* dvt74468 - The implied @* sensitivity should exclude variables
referenced within a sequential delay.
* dvt73310 - Traversing individual words of large SV memories using
vpi_iterate/vpi_scan functions caused huge memory usage.
* dvt68524 - Fixed a bug where vopt/vlog are crashing due to a
function call inside unelaborated generate. This was happening in
few cases when the used function is defined after generate.
_______________________________________________________________________

VHDL Defects Repaired in 10.4a


* dvt72793 - A PROCESS(ALL) statement in which the ALL represents no
signals would result in the process having no termination (infinite
loop).
* dvt73051 - Fixed elaboration crash with subelement association. The
formal must be an element or subelement of a multidimensional port
and the actually a 2008 signal expression.
* [nodvtid] - Aggregates of signals in subprograms, either as
parameters to other subprogram, or as targets of signal assignments
could cause a crash if the aggregate contained both parameters and
non-parameter signals.
* dvt74124 - Vcom could fail with an internal error when a port map
statement is present on a block
* dvt74313 - If an attribute that returned a range is used as the
expression to a return statement, incorrect machine code could be
generated. A range is not a valid return value and is now flagged
as an error a compile time.
* [nodvtid] - Logging of composite type variables with embedded
access type subelements would sometimes not detect and record
changes to those subelements.
* dvt75643 - Performance of designs that perform many file open/close
operations could degrade significantly when transitioning from any
version prior to 10.4 to 10.4. File open/close processing has been
improved to eliminate this degradation.
* dvt75836 - Compilation of VHDL source code would generate temporary
data in a flat library causing it to grow without bound. The
temporary data is now cleaned up at the end of every compile.
* dvt71451 - Predefined attribute A'ELEMENT could not have as its
prefix a function call. The LRM is not clear on this since A must
be either an array type name or appropriate for an array object,
and a function call is neither. But because other attributes like
A'RANGE where A is a function call are handled as legal, the
A'ELEMENT attribute now also allows this. Further, as a
non-compliant extension of the language O'SUBTYPE may also have O
be a function call.
* dvt74123 - In a subprogram appearing in a protected type body,
another subprogram call with a named association element for a
formal having the same name as a data member of the protected type
would cause a compiler error. This is now fixed.
* dvt73707 - Individual association involving a multidimensional
array type formal whose index subtypes are enumeration types would
not compile. This has been fixed.
* dvt74843 - The compiler would hang if it encountered a VHDL error
involving a type declaration of an array type whose element subtype
is an incomplete type of the same name as the array type itself.
_______________________________________________________________________

SystemC Defects Repaired in 10.4a


* dvt75314 - scparse compilation error with conversion function
templates whose destination type is a reference to a complete
abstract class as been fixed.
_______________________________________________________________________

WLF and VCD logging Defects Repaired in 10.4a


* dvt65590 - The wlfman filter command could produce incorrect
results when filtering Verilog nets that shared bits with other
Verilog nets.
* dvt75538 - Using the -wlftlim or -wlfslim switches to control the
size of the WLF file could result in the wave and list displays not
being updated correctly following a simulation run. The data in the
WLF file was correct, but the windows would get confused by missed
communications from the simulation kernel. The problem has been
fixed.
_______________________________________________________________________

General Enhancements in 10.4a


* dvt73792 -
1. The vsim "checkpoint" command now accepts a file or a directory
as the pathname.
Syntax:
checkpoint [-dir] <pathname>
The following are the two valid scenarios for specifying a
directory as the <pathname>. In both these cases a checkpoint file
named 'vsim.cpt' is created in the specified directory.
+ - The "-dir" option is specified with the <pathname>. If the
directory doesn't exist then a new one is created.
+ - The "-dir" option is not specified with the <pathname> but
it represents a pre-exisiting directory.
It is an error if "-dir" is specified but the <pathname> represents
a regular file.
2. vsim's "restore" CLI command and the command line switch
"-restore" now accept a file or a directory as the pathname. (The
syntax has not changed, only the semantics).
Syntax:
restore <pathname>

* - If the <pathname> represents a pre-exisiting directory, then a


checkpoint file named 'vsim.cpt' must exist in the specified
directory.
* - Otherwise the <pathname> must represent a checkpoint file.

3. When the checkpoint is represented by a directory the following new


function, declared in "mti.h" file, returns that directory name.
extern char * mti_GetCheckpointDirname PROTO((void));
_______________________________________________________________________
User Interface Enhancements in 10.4a
* dvt31878 - The Wave window font can now be configured independently
from the other windows. Open the Edit Preferences dialog box and
choose "waveFont".
* dvt10305 - The [add log], [add wave] and [add list] commands have
the new -filter and -nofilter switches to allow a one-time
modification of the WildcardFilter in the command invocation. The
commands can take as many [-filter <f>] and [-nofilter <f>]
arguments as the user would like to specify. The valid filters are
exactly the same set of words that can be applied to the
WildcardFilter. The filter used during a command starts with the
WildcardFilter and then applies the user specified filters, if any.
The -filter values are added to the filter, the -nofilter values
are removed from the filter. They are applied in the order
specified so conflicts are resolved with the last specified wins.
* [nodvtid] - (results) A new feature called VHDL Access Path
Expressions has been introduced which provides improved visibility
and a more intuitive way of viewing VHDL access variables and
objects in the Wave Window. Some of the features of vhdl access
path expressions are:
+ More descriptive pointer values. Values are Dynamic Object
Identifiers instead of hexadecimal memory address.
+ Access references may be expanded in place in the wave window
rather than having to inspect individual access objects one by
one.
+ Ability to add access_var.all to see dereferenced object
values.
+ Ability to "cast" unconstrained arrays to constrained array
type.
+ The [accessinfo] command gives metrix and reports about access
object usage.
+ Enabled with -accessobjdebug switch or AccessObjDebug
modelsim.ini variable setting.
_______________________________________________________________________

SystemVerilog Enhancements in 10.4a


* [nodvtid] - String literals that begin and end with `" (tick
double-quote) are now represented using only " (double-quote)
characters in vlog's -E output.
_______________________________________________________________________

SystemC Enhancements in 10.4a


* dvt75791 - Existing vsim switch -undefsyms is extended to vopt.

Das könnte Ihnen auch gefallen