Sie sind auf Seite 1von 11

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERÍA ELECTRÓNICA Y


ELECTRICA

Curso:
Laboratorio de Sistemas Digitales

Trabajo:
Informe previo 5

Tema:
Contadores

Alumnos:
Ventura Vela Daniel Dannfher

Profesor:
Ing. Oscar Casimiro Pariasca

2019 - I
I. LABORATORIO 5: CONTADORES
Profesor: Ing. Oscar Casimiro Pariasca.

II. OBJETIVO:
1. Diseñar circuitos secuenciales autónomos (contadores) utilizando CIs
estándar.

III. MATERIALES y EQUIPO:


 Protoboard, cables de conexión, módulos EB-205, TM-01
 CI TTL:
 2 CI 74LS90 (contador de décadas, de 0 a 9)
 1 CI 74LS93 (contador de rizo, 4 bits)
 1 CI 74LS161 (contador binario con carga en paralelo)
 2 CI 74LS47 (decod BCD a 7 segmentos)
 1 CI 74LS193 (contador ascendente-descendente)
 1 CI 74LS154 (decodificador)
 2 CI 74LS76 (flip-flop JK)
 1 CI 74LS08
 1 CI 74LS160 (contador síncrono 4 bits)
 1 CI 74LS163 (contador síncrono 4 bits)
 2 display de 7 segmentos
 LEDs (varios)
 Resistencias: - 14 x 120 OHM, ½ Watt;
 2 x 47 ohm
 Fuente C.C. +5 voltios; VOM; ORC; Generador de Pulsos.
IV. MARCO TEÓRICO

Un contador digital es constituido exactamente en igual forma que un divisor de


frecuencia. En efecto, el circuito divisor-por-diez es en el fondo un contador,
porque cuenta hasta diez pulsos y da una salida; se repone y queda listo para
repetir el procedimiento de nuevo. Si se colocan varios flip-flops tipo JK en
cascada, que inicien en el binario CERO, un BURST de pulsos en serie,
colocados en la entrada, dejará los flip-flops en estados tales que ellos indiquen
en forma binaria la cantidad de pulsos que arribaron al terminal de entrada.
Notemos de nuevo que cada etapa debe cambiar de estado solamente cuando
la anterior pasa de lógica 1 a lógica 0. Cuando en electrónica se menciona la
expresión BURST, que traducida significa "ráfaga", "reventar", "porción", se
quiere dar a entender que esos pulsos se presentan como un tren definido,
"como una cierta cantidad de vagones unidos entre sí", iguales y mensurables
en su cantidad. (En el estudio de televisión en color se encuentra con mucha
frecuencia esta palabra BURST, y se refiere a los 8 o más pulsos encargados
de sincronizar los circuitos de crominancia, los cuales vienen a manera de
"ráfaga de metralleta" incluidos dentro de la onda portadora de TV).
Los contadores digitales son un medio muy práctico para determinar
FRECUENCIA, si la entrada del contador es "abierta" a una señal de frecuencia
desconocida, durante un tiempo exactamente controlado (recordemos que
"frecuencia" es la cantidad de ciclos que transcurren durante un segundo de
tiempo). Los medios-ciclos (half-cycles) positivos de la frecuencia desconocida
son contados, y su cantidad en el período de conteo permite establecer la
frecuencia.
Si el lado complementario del JK flip-flop es usado para manejar al que sigue,
entonces el contador es conocido como un BACKWARD COUNTER (contador
hacia atrás); esto es, el arranca en 1111 y cuenta sucesivamente hacia abajo,
1110, 1101, 1100, etc. Este tipo de contador es muy práctico cuando se quiere
determinar la DIFERENCIA entre una frecuencia desconocida y una frecuencia
asignada. El contador puede ser pre-cargado con la frecuencia de entrada. El
residuo positivo o negativo, a la izquierda del contador es la diferencia. La figura
22 muestra un contador de 4 bits, implementado con cuatro flip-flops tipo T
(Toggle), a partir de integrados JK, recordemos que en este caso no se tiene en
cuenta las entradas J y K, por lo que se deben dejar "al aire", en lógica 1 (muchos
integrados digitales están internamente hechos para que sus entradas queden
automáticamente en nivel alto cuando son dejados "al aire" sus terminales).

Hay muchas clases distintas de flip-flops contadores en circuito integrado IC. El


módulo de un contador especifica la máxima cuenta que el alcanza antes de
reciclar. Los contadores módulo 10 son muy populares porque ellos reciclan
después de caer el décimo pulso de entrada, y por lo tanto proveen una manera
fácil de contar en decimal. Ellos son a menudo llamados CONTADORES DE
DECADAS BCD (Binario Codificado a Decimal), y siempre tienen solamente
cuatro terminales de salida (representan desde el 0000 hasta el 1001). Los
contadores que están diseñados para aprovechar al máximo los cuatro bits del
Word Nibble (medio byte) de salida, se llaman contadores HEXADECIMALES
(representan desde el 0000 hasta el 1111).
Los contadores pueden tener una variedad de controles de entrada. Un contador
típico, por ejemplo, se puede programar para que cuente hacia arriba o hacia
abajo (Up/Down). Puede también tener entradas de control para regresar la
cuenta a 0's, iniciar la cuenta en cualquier valor deseado, o para indicar los
momentos en los cuales el contador debe trabajar. Estos últimos terminales son
los habilitadores, o entradas ENABLE. Debido a que los contadores almacenan
la cuenta acumulada hasta que llegue el próximo pulso clock, ellos pueden ser
considerados STORAGE REGISTERS.
Los circuitos integrados TTL contadores más comunes son:
o 7490A Décadas, divisor por 12 y contador binario BCD hasta 10 (de 0 á 9).
o 7492A Décadas, divisor por 12 y contador binario hasta 12 (de 0 á 11).
o 7493A Décadas, divisor por 12 y contador binario hasta 16 (de 0 á 15).
o 74160A Contador sincrónico de 4 bits, completamente programable.
o 74190 Contador sincrónico Up/Down, BCD, programable (de 0 á 9).
o 74191 Contador binario de 4 bits, sincrónico, Up/Down.
o 74192 Contador sincrónico Up/Down BCD, programable (de 0 á 9).
o 74193 Contador sincrónico de 4 bits binarios, programable, Up/Down.
V. PROCEDIMIENTO EXPERIMENTAL:
1. Uso del CI 74LS90

a) Realizar el diseño de un contador de 0 a 9 teniendo en cuenta sólo las


conexiones de la parte superior del esquema adjunto. Comprobar su correcto
funcionamiento.
Opcional:
b) Duplicar el circuito anterior y comprobar nuevamente su funcionamiento.
c) Enlazar convenientemente ambos montajes, según el esquema indicado,
para construir un contador de 0 a 99.
d) Realizar las modificaciones necesarias para que el circuito anterior “cuente”
de 0 a 59.
2. Uso del CI 74LS93 (Contador de 3 bits y 4 bits) (Fig. 3)
- Conecte el CI 74lS93 para que trabaje como contador de 3 bits usando la
entrada B y los FF QB, QC y QD. Verificar el funcionamiento conectando LEDs
a las salidas.
- Ahora conecte el CI 74LS93 como un contador de 4 bits usando la entrada
A y conectando la salida QA al Terminal de entrada B. Las entradas R1 y R2
deben estar a tierra, Los terminales 5 y 10 se conectan a la fuente de 5 V
c.c. Los pulsos se aplican a la entrada 14 (A). La salida Q A corresponde al
bit menos significativo. Verificar el funcionamiento del contador conectando
LEDs a las salidas.

Contador binario.
Conectar el CI 74LS93 como se muestra en la figura 4 . La cuenta llega al 15
binario y luego regresa a 0.
Aplicando una señal de reloj de 1 KHZ, observar las salidas con el osciloscopio.
Dibujar las formas de onda, considerando al menos 16 ciclos de reloj.
Observe que la frecuencia de reloj en la salida del primer FF es la mitad que la
frecuencia de entrada del reloj. Cada FF subsiguiente reduce a la mitad la
frecuencia que recibe. El contador de 4 bits divide la frecuencia de entrada
entre 16 en la salida QD
Contador BCD
Conectar el CI 74LS93 como contador BCD tal como se observa en la figura 5.
La representación BCD emplea los números binarios del 0000 al 1001 para
codificar los números decimales del 0 al 9.
Observar las formas de onda en las salidas con el osciloscopio, dibujar las
formas de onda, considerando al menos 10 ciclos de reloj.
Otros contadores:
El CI 74LS93 puede conectarse de modo que cuente desde 0 hasta diversos
conteos finales. Esto se hace conectando una o dos salidas a las entradas de
CLEAR es decir a R1 y R2. Por ejemplo, si se conecta R1 a QA en vez de QD,
el conteo será de 0000 a 1000. Verificar experimentalmente.
Verificar, asimismo, el conteo desde 0000 hasta:
a) 1101 b) 0111 c) 1011
Conecte cada circuito y verifique la sucesión de conteo aplicando pulsos con el
pulsador y observando el conteo de salida en los LEDs.
Formas de onda en compuerta NAND:
Conectar el circuito de la figura 6 y verificar las formas de onda a la salida de
la compuerta NAND.
3. Uso del CI 74LS76
Contador de rizo
Construya un contador de rizo de 4 bits usando el CI74LS76. Conecte a 1 lógico
todas las entradas asincrónicas de PRESET y CLEAR. Conecte la entrada de
pulso de conteo a un pulsador y verifique que el contador funcione
correctamente.
Modifique el contador de modo que cuente hacia abajo. Compruebe que cada
pulso de entrada disminuye en 1 el contador.

Contador sincrónico
Construya un contador sincrónico de 4 bits y verifique su funcionamiento. Use
2 CI74LS76 y un CI 74LS08.
Contador decimal
Diseñe un contador BCD sincrónico que cuente de 0000 a 1001. Use dos CI
74LS76 y un CI 74LS08. Verifique que la sucesión de conteo sea la correcta.
Determine si el contador se reinicia automáticamente. Esto se hace iniciando
el circuito con cada uno de los seis estados no utilizados mediante las entradas
de set y reset. Si el contador se reinicia automáticamente, la aplicación de
pulsos deberá transferir el contador a uno de los estados validos.
4. Uso del CI 74LS161
Contador binario con carga paralela
El CI 74LS161 es un contador binario sincrónico de 4 bits con carga paralela y
despeje asincrónico.
Verificar el funcionamiento del CI 74LS161 de acuerdo a la tabla de función.
(Fig. 8).

Muestre como puede hacerse que el CI 74161, junto con una compuerta NAND
de dos entradas opere como contador BCD sincrónico que cuente de 0000 a
1001. No utilizar la entrada de reset. Utilice la compuerta NAND para detectar
la cuenta 1001, lo que hará que se carguen ceros en el contador.
5. Uso del CI 74LS193
- Verificar el funcionamiento del CI 74LS193 (utilizar el módulo EB-205).
a) Comprobar la cuenta ascendente y descendente.
b) Verificar la carga paralelo del contador.
c) Modificar para que la cuenta sea de 0 a 8
d) Cargar en paralelo el número “6”, inmediatamente después de identificar el
número “4”. Proseguir la cuenta de 6 a 7. Resetear el contador en el octavo
pulso, sin generar /CARRY.
e) Verificar la utilidad de los decodificadores y del display.

6. Diseñar un sistema que para cada nueve pulsos de entrada de reloj exista en
la salida un pulso.
Solución: Para esto el contador debe pasar por nueve estados, la salida se
obtiene haciendo una AND con el reloj con un circuito que detecte los nueve
estados.
a) Usando un contador sincrónico con limpieza sincrónica 74163
b) Usando un contador con limpieza asincrónica (estática) 74160

Das könnte Ihnen auch gefallen