Sie sind auf Seite 1von 34

EMT

395 Photonic Fabrica3on


Engineering

Etching techniques

Dr. Ala’eddin A. Saif

Semester 2
Academic session 2015/2016
1
Material removal: etching processes
Etching is done either in “dry” or “wet” methods:
•  Wet etching uses liquid etchants with wafers immersed in etchant solu>on.
•  Wet etch is cheap and simple, but hard to control (not reproducible), not popular for
nanofabrica)on for paDern transfer purpose.
•  Dry etch uses gas phase etchants in plasma, both chemical and physical (spuDering process).
•  Dry plasma etch works for many dielectric materials and some metals (Al, Ti, Cr, Ta, W…).
•  For other metals, ion milling (Ar+) can be used, but with low etching selec>vity. (as a result,
for metals that cannot be dry-etched, it is beDer to paDern them using liNoff)
Etching is consisted of 3 processes:
•  Mass transport of reactants (through a boundary layer) to the surface to be etched.
•  Reac>on between reactants and the film to be etched at the surface.
•  Mass transport of reac>on products from the surface through the surface boundary
layer.

Figures of merit: etch rate, etch rate uniformity, selec>vity, and anisotropy. 2
Figures of merit: selec>vity
Etching selec>vity:
•  The ra>o of etching rate between different
materials, usually the higher the beDer.
•  Generally, chemical etching has high selec>vity,
physical etching (spuDering, milling) has low
selec>vity.
•  For fabrica>on, the selec>vity is usually between
film material and mask material, and is defined Temperature
by Sfm. (f: film; m: mask) affects selec>vity

Etching with mask erosion 3


Figures of merit: anisotropy
Isotropic: etch rate is the same along all direc>ons.
Anisotropic: etch rate depends on direc>on, usually ver>cal vs. horizontal.

For isotropic, RI=1.



For complete
anisotropic, RI=0.

CD: cri>cal dimension 4


Figures of merit: anisotropy

Generally speaking, chemical process (wet etch, plasma etch) leads to isotropic etch;
whereas physical process (direc>onal energe>c bombardment) leads to anisotropic etch.
Isotropic:
•  Best to use with large features when sidewall slope does not maDer, and to undercut
the mask (for easy liNoff).
•  Large cri>cal dimension (CD, i.e. feature size) loss, generally not for nano-fabrica>on.
•  Quick, easy, and cheap.
Anisotropic:
•  Best for making small features with ver>cal sidewalls, preferred paDern transfer
method for nano-fabrica>on and some micro-fabrica>on.
•  Typically more costly. 5
Wet Etching
Wet etching
•  Wet etching was used exclusively >ll 1970’s when feature size >3um.
•  For small scale features, large etch bias leads to significant CD (cri>cal dimension) loss.
•  For today’s IC industry, wet etching is used for noncri>cal feature sizes.
•  Advantages: high selec>vity, rela>vely inexpensive equipment, etch rate can be very fast
(many μm/min).
•  Disadvantages: generally isotropic profile, high chemical usage, poor process control (not
so reproducible), excessive par>culate contamina>on.

•  The etch rate can be controlled by any of the three serial processes: reactants transport to
the surface (depends on chemical concentra>on and s>rring…), reac>on rate (depends on
temperature), reac>on products transport from the surface (depends on s>rring…).
•  Preference is to have reac>on rate controlled process because
o  Etch rate can be increased by temperature
o  Good control over reac>on rate – temperature of a liquid is easy to control
•  Mass transport control will result in non-uniform etch rate: edge etches faster.
•  Etchant is oNen s>rred to minimize boundary layer and make etching more uniform. 7
Isotropic wet etching (silicon dioxide)
SiO2 etch rate
SiO2 + 6HF → H2SiF6+2H2O
•  Etch is isotropic and easily controlled by dilu>on
of HF in H2O.
•  Thermally grown oxide etches at
o  120nm/min in 6H2O:1HF
o  ∼1 µm/min in 49 wt% HF (i.e. undiluted as
purchased HF).
•  Faster etch rate for doped or deposited oxide.
•  High etch selec>vity (SiO2/Si) > 100
•  Buffered HF (BHF) or buffered oxide etchant
(BOE) provides consistent etch rate
o  In regular HF etches, HF is consumed and the HF is very dangerous! Because:
etch rate drops. •  It is not a so strong acid (you don’t feel
o  HF buffered with NH4F to maintain HF the pain for diluted HF).
concentra>on, typically 6NH4F : 1HF •  Decep>ve: it looks just like water.
NH4F→NH3↑+ HF •  It penetrates skin and aDacks slowly the
flesh and the bone.
•  It might be too late when you begin to
feel the pain.
8
Isotropic etch (silicon)

•  Silicon is etched by nitric acid and hydrofluoric acid mixtures (HNO3 may be
replaced by other strong oxidants like H2O2)
•  HNO3 par>ally decomposes to NO2, which oxidizes the surface of Si.

Si + 2NO2 + 2H2O → SiO2 + H2 + 2HNO2

•  The HF then dissolves the SiO2. The overall reac>on is:

Si + HNO3 + 6HF → H2SiF6 + HNO2 + H2O + H2

•  Excess nitric acid results in a lot of silicon dioxide forma>on and etch rate
becomes limited by HF removal of oxide (polishing).
•  CH3COOH (ace>c acid) or H2O can be added as diluent, but etch differently.
•  Ace>c acid is preferred because it prevents HNO3 dissocia>on.

9
Isotropic etch (silicon nitride)

10
Isotropic etching (aluminum)

50H3PO4 : 20H2O : 1HNO3 : 1CH3COOH

Al3+ is water-soluble

•  Aluminum etches in water, phosphoric, nitric and ace>c acid mixtures.


•  Converts Al to Al2O3with nitric acid (evolves H2).
•  Dissolve Al2O3 in phosphoric acid.
•  Gas evolu>on leading to bubbles.
•  Local etch rate goes down where bubble is formed, leading to non-uniformity.

•  Al can also be etched in (diluted) acid or base, such as HCl, HNO3, H2SO4, NaOH or
KOH, but less controllable (etch the na>ve oxide slowly and un-controllably, then
once oxide all etched away, etch Al metal very fast).
•  The etch seems more repeatable in diluted HF (1:100 diluted), if one doesn’t bother
to mix the above mixture (50H3PO4…).

11
Etchant Etches Doesn't etch
H3PO4(19), Hac(1), HNO3(1), H2O(2)
HF, BOE (HF + NH4F)
Al, SiN, M
SiO2, M
SiO2, Si, PR
Si, SiN, Au
Summary
H2SO4(3), H2O2(1) pirahna Organics, M Si, SiO2, SiN
I2(I),KI(2),H2O(10) Au, M Si, SiO2, SiN, M, PR M: metal;
NH4OH(5), H2O2(1) Polymers, Al Si, SiO2, SiN, M
HNO3(64), NH4F(3), H2O(33) Si, M SiN, PR PR: photoresist;
HCl(3), HNO3(1) (aqua regia) Au, other M Cr, Si, SiN, SiO2 Hac: ace>c acid
Those are just star>ng
point, can use
different ra>os.
E.g. the ra>o for the Al
etchant is different
from previous slide.
In addi>on, most
metals can be etched
by (diluted) acid,
except Ti and Cr that
form a dense stable
oxide on top.
Ti can be etched by
HF; Cr by ceric
ammonium nitrate
plus acid.
12
Anisotropic wet etching of Si: overview

•  Orienta>on selec>ve etch of silicon occur in


hydroxide solu>ons partly because of the closer
packing of some orienta>ons rela>ve to other
orienta>ons
o  Density of planes: <111> > <110>, <100>
o  Etch rate: R(111) << R(110), R(100)
•  <100> direc>on etches faster than <111>
direc>on, with etch rate
o  R(100) = few 100 × R(111)
o  It is reac>on rate limited
•  Used very widely in MEMS (micro electro
mechanical systems), since it is inexpensive, fast
etching and easy to control.

13
An-isotropic wet etching of Si
KOH etch example:
250 g KOH: 200 g 2-propanol, 800 g H2O at 80oC KOH etching of <100> Si,
1000 nm/min of [100] 30% KOH solu>on
Etch stops at p++ layers
Selec>vity: {111}:{110}:{100} ∼ 1:600:400

µm/hour
Seidel’s etching model:
Si + 2OH- → Si(OH)22+ + 2e-
Si(OH)22+ + 2OH- → Si(OH)4 + 2e-
Si(OH)4 + 4e- + 4H2O → Si(OH)62- + 2H2
This is a model, real reac>on is complicated.
Si(OH)4 is soluble.
temperature
H2 is generated and form bubbles.

EDP: see later slides 14


Other anisotropic silicon etchants
Tetramethyl Ammonium Hydroxide (TMAH) Ethylene Diamine Pyrochatechol (EDP)
•  Used widely as posi>ve photoresist •  Typical etching temperature 115oC.
developer (since it contains no metal like K •  Etching rate 1μm/min.
or Na, which are harmful for device.) •  Selec>vity of <100>Si : oxide/nitride ∼
•  Typical etching at 80-90oC. 3000-7000.
•  Etching rate ∼0.5-1.5 μm/min (10-40%w.t) •  Doesn’t aDack metal (Au, Cr, Cu, Ta) but
•  Selec>vity <100> : <111> ∼ 10 - 35, much aDacks Al.
lower than KOH. •  Selec>vity <100> : <111> ∼35; (100)
•  Result in rough surface(H2 bubble), KOH etches faster than (110), ((110) etches
etch is smoother. faster for KOH).
•  Like KOH, aDacks aluminum •  Excellent for boron stop technique,
•  Like KOH, can use boron-stop-etching etching rate drops 50 >mes for 7x1019/
technique (etching rate decreases 40 >mes cm3 boron doping.
for 1020/cm3 boron doping).
•  Excellent selec>vity of <100>Si : oxide/
nitride (1: 5000-50000)

16
Etch stop
In wet etching process, etching depth is hard to control, so need etch stop
layer.
Besides oxide and nitride, etching may be stopped by the following two
methods, both related to doping of the silicon substrates.
•  Controlled by doping: doped Si dissolved slower than pure Si.
•  Controlled by electrochemical etch stop.
Etching stop by boron doping Etch rate

Boron
concentra>on
5µm
Boron
1019 - 1020 concentra>on
x
Heavily doped boron
layer (5-10µm)
mask

Etching direc>on
17
x
Electrochemical etch stop
•  When silicon is biased with a sufficiently large anodic poten>al rela>ve to the etchant, it
get oxidized due to electrochemical passiva>on, which then prevents etching.
•  For passiva>on to occur, current flow is required.
•  So if current flow can be prevented, there will be no oxide growth and etching can
proceed.
•  Current flow can be prevented by adding a reverse-biased diode structure.

18
Dry Etching
Why dry etching?
Dry etching advantages
•  Eliminates handling of dangerous acids and solvents
•  Uses small amounts of chemicals
•  Isotropic or anisotropic/ver>cal etch profiles
•  Direc>onal etching without using the crystal orienta>on of Si
•  Faithful paDern transfer into underlying layers (liDle feature size loss)
•  High resolu>on and cleanliness
•  Less undercu}ng
•  BeDer process control

Dry etching disadvantages:


•  Some gases are quite toxic and corrosive.
•  Re-deposi>on of non-vola>le compound on wafers.
•  Expensive equipment ($200-500K for R&D, few million for industrial tools ).

Types of dry etching:


•  Non-plasma based - uses spontaneous reac>on of appropriate reac>ve gas mixture.
•  Plasma based - uses radio frequency (RF) power to drive chemical reac>on.
20
Non-plasma based dry etching
This is very rare. For example,
4Si(s) + 2Cl2 (g) ---> 4SiCl4 (g) + 130 kcal/mole
Although there is a large gain in free energy, the large ac>va>on energy does
not allow low temperature processes - reac>on is only effec>ve above ∼ 800°C.
In order to succeed with “gas” etching, one has to go out of equilibrium.
The solu>on is plasma etching.
One excep>on is room temperature XeF2 etching of Si. (same for BrF3 & ClF3)

Xenon di-fluoride (XeF2) etching of Si:


2XeF2 + Si → 2Xe (g) + SiF4 (g)
•  XeF2 is a white powder, with vapor pressure Gas phase etching, no s>c>on between
∼3.8 Torr at 25oC. freed structure and substrate (no liquid
•  Isotropic etching, non-polish etching (rough) involved like KOH etch, so no need of
•  High selec>vity for Al, SiO2, Si3N4, photoresist, drying that collapses paDern due to
and PSG (phospho-silicate glass). capillary force).
•  Typical etch rate ∼1μm/min Popular for MEMS applica>on.
•  Heat is generated during exothermic reac>on
•  XeF2 reacts with water (or vapor) to form HF MEMS: micro electro mechanical systems
21
Plasma-based etching
•  Direc>onal etching due to presence of ionic species in plasma and (self-) biased
electric field. (The self-bias electric field is not applied externally, but is created
spontaneously in RF plasma)
•  Two components exist in plasma
o  Ionic species result in direc>onal etching.
o  Chemical reac>ve species result in high etch selec>vity.
•  Control of the ra>o of ionic/reac>ve components in plasma can modulate the dry
etching rate and etching profile.

Plasma
Neutrals (etchant gas)
Gaseous products
Ions
Free radicals

react
adsorb

surface

Si(s) + 4F ( g ) = SiF4 ( g ) 22
RF plasma chemistry
RF plasma is more widely used for dry etching than DC plasma

CF4 plasma

Figure 10-9

23
Plasma etching mechanism overview
•  In a plasma, reac>ve neutral chemical species (free radicals, e.g. F atoms or molecular
species CF3) are mainly responsible for the chemical reac>on due to their much greater
numbers compared to ions (e.g. CF3+ is also reac>ve, but with low concentra>on in a
plasma. But Ar+ is not reac>ve, and etches/spuDers much slower than chemical etching,
even when ion energy is high -- generally chemical etching is much faster than physical).
•  Those free radicals and molecules also serve as primary deposi>on species in PECVD.
•  Those free radicals are more abundant than ions because: 1) they are generated at lower
threshold energy (e.g. < 8eV; in comparison, Ar is ionized at 15.7eV); and 2) they
(uncharged radicals) have longer life>me in the plasma.
•  The neutral radicals arrive at cathode surface by diffusion (thus non-direc>onal).

•  Charged ions are accelerated to the


cathode due to self-bias.
•  (Unless with very high energy of >100eV
as in ion beam/spuDer etching), ion itself
doesn’t contribute significantly to the
chemical reac>on mostly due to its very
low concentra>on, but ion
bombardment can greatly enhance the
chemical reac>on in ion-enhanced
etching. Figure 10-10 24
Chemical etch: highly selec>ve, but isotropic
•  Due to their incomplete bonding (incomplete outer shells), free radicals (neutral,
e.g. CF3 and F from CF4 plasma) are highly reac>ve chemical species.

•  Free radicals react with film to be etched and form vola>le by-products.

•  Pure chemical etch is isotropic or nearly isotropic,


and the etching profile depends on arrival angle
and s>cking coefficients of free radicals.
•  Free radicals (un-charged) in plasma systems have
isotropic arrival angles.
•  The s>cking coefficient S is very low, typically only
S∼0.01 (i.e. most free radicals adsorb then just
bounce back without reac>on).
•  This leads to isotropic character of etch, as free
radicals can etch area beneath the mask due to Adsorp>on rate onto surface
bouncing, as seen in the figure. The resulted
profile has large undercut.
25
S>cking coefficient S
Most adsorbed species just leN
the adsorp>on site without doing
anything, so S<<1.

Figure 10-11

“Reac>on”, here momentum transfer by


physical bombardment, takes place at every
shot, usually spuDer off a few atoms, so S∼1.
26
Si etching with F radicals

Isotropic etching

27
Etch byproducts should have low boiling point
Low boiling point means very vola>le, so it can be pumped away.
This is not necessary for physical etching/spuDering, where etch product is
spuDered off that ideally doesn’t fall on the other part of the wafer (re-deposi>on).
Boiling points of typical etch products

28
Physical etch component in a plasma etch system
(much less important than chemical etch)
•  Ionic species are accelerated toward each electrode by built-in self-bias.
•  The ionic species such as Cl2+, CF4+, CF3+ (or Ar+ in a purely physical spuDer
etch) strike wafer surface and remove the material to be etched.
•  Direc>onal, non-selec>ve - similar spuDer yield for different materials.
(But CF3+ can also etch Si chemically, then with high selec>vity)
•  It may result in significant re-deposi>on.
Pure physical etch: spuDer etching system
•  Self-bias few 100V, but low ion energy
(order 10V) due to collision energy loss.
•  Thus very low milling rate in a spuDer
system, oNen for surface cleaning only.
•  Here is the case for spuDer etching system Ar plasma
with gas pressure order 10mTorr.
•  For a dedicated ion milling system, the
pressure is ∼10-4Torr or even lower
(cannot sustain a plasma), leading to large
mean free path, high ion energy and high
milling rate.
29
Ion enhanced etching (IEE):
chemical etch assisted by physical bombardment
•  IEE is an anisotropic (due to direc>onal ion bombardment) and highly selec>ve (due to
chemical reac>on) etching process.
•  Reac>ve ion etch (RIE) is the most popular form of IEE.
•  Ion bombardment can enhance one of the following steps during chemical etch: surface
adsorp>on, etching reac>on (by physically damaging/weakening the chemical bond of
the material to be etched), by-product (inhibitor layer) removal, and removal of un-
reacted etchants.

Inhibitor layer: e.g. fluorocarbon


polymer formed from CHF3 during
etching of SiO2.
When removal rate << deposi>on
rate, net deposi>on will occur,
then the process becomes similar
to PECVD!!
Indeed, the RIE and PECVD are
preDy similar tools, except PECVD
Chemical etch enhanced Inhibitor removed by is typically heated.
by ion bombardment ion bombardment
30
Figure 10-13
IEE: first proof of etching mechanism

Gas phase etch, with or without


the aid of Ar ion beam.
NO plasma.
Very slow etch when pure
chemical or physical etch alone

The ion enhancement could be due to the damage/weakening of silicon la}ce by ion
bombardment, which makes the etching by XeF2 easier.
The resulted profile will be anisotropic since the horizontal surfaces are much more
bombarded than ver>cal ones.
This is one example of CAIBE (chemically assisted ion beam etching)
31
Ion enhanced etching •  Sidewall reac>ons can lead to an isotropic etch
is highly anisotropic component.
•  To prevent sidewall etching, one can build up a
passiva>on (inhibitor – inhibit chemical
reac>on) layer that protects it.
•  Then there is a compe>>on between passiva>ng
and etching reac>on.
•  For the feature base/horizontal surfaces, etch
rates tend to be temperature independent
because of ion energy input (i.e. inhibitor
spuDered away by ions).
•  On sidewall, substrate temperature can play an
important role as sidewall passiva>on depends
on the vola>lity of the inhibitor that is
controlled by temperature (cryo-etcher at below
-100oC is available recently, then the sidewall
passiva>on layer is not vola>le).
•  Even without sidewall passiva>on, lower
temperature s>ll increases anisotropy since
chemical aDack of sidewall is suppressed at low
temperature. (ADack of horizontal surfaces are
assisted by ion bombardment) 32
High inhibitor Low inhibitor Example:
deposi>on rate deposi>on rate
etching profile of Si or SiO2

Teflon

•  Fluoropolymer (like Teflon) in CHF3 or CF4+H2 RIE of


Si or SiO2 is the inhibitor.
•  If Ar gas is added, inhibitor is mainly removed by ion
bombardment. So less aDack of inhibitor on sidewall.
•  If O2 gas is added, inhibitor on sidewall is removed at
faster rate than Ar ion, but the etch of inhibitor at
horizontal surface is even faster.
•  Yet at very low temperature, inhibitor SiOxFy (not act
as inhibitor at higher temperature when it is vola>le)
forms when O2 is added, which is the mechanism for
fast anisotropic etching of Si using cryo-etcher. (deep
Si etcher, popular for MEMS – micro electro
mechanical systems)

33
Figure 10-14
Anisotropy due to ion bombardment: summary
•  Due to its extremely low density, ions don’t contribute much to etching; neutral radicals do.
•  So even with direc>onal ion bombardment, the overall etching can s>ll be preDy isotropic.
•  For instance, SF6 etch of Si is very isotropic with large undercut like wet etch.
•  To achieve anisotropy, there are two mechanisms:
o  Energy-driven anisotropy: bombardment by ion disrupts an un-reac>ve substrate and
causes damages such as dangling bonds and disloca>ons, resul>ng in a substrate more
reac>ve towards etchant species (electron or photon can also induce surface ac>va>on).
o  Inhibitor-driven anisotropy: ion bombardment removes the inhibitor layer from horizontal
surface (sidewall remain passivated), and reac>on with neutrals proceed on these un-
passivated surfaces only.
One may think that ions won’t help much due to its much lower density than radicals. But ion
has s>cking coefficient S∼1 (every ion bombardment counts), whereas radicals S∼0.01 (most
radicals hit the surface and leN without doing anything).

Inhibitor-driven
Energy-driven anisotropy
anisotropy
34

Das könnte Ihnen auch gefallen