Beruflich Dokumente
Kultur Dokumente
Department of
Information Science and
Engineering
3rd Semester
Lab Manual
1. a. Design and construct a suitable circuit and demonstrate the working of positive clipper,
double ended clipper and positive clamper using diodes.
b. Demonstrate the working of the above circuits using a simulation package
2. a. Design and construct a suitable circuit and determine the frequency response, input
Impedance, output impedance and bandwidth of a CE amplifier.
b. Design and build the CE amplifier circuit using a simulation package and determine
the voltage gain for two different values of supply voltage and for two different values
of emitter resistance.
3. a. Design and construct a suitable circuit and determine the drain characteristics and
transconductance characteristics of an enhancement mode MOSFET.
b. Design and build CMOS inverter using a simulation package and verify its truth table.
4. a. Design and construct a Schmitt trigger circuit using op-amp for the given UTP
and LTP values and demonstrate its working.
b. Design and implement a Schmitt trigger using Op-Amp using a simulation package for
two sets of UTP and LTP values and demonstrate its working.
5. a. Design and construct a rectangular waveform generator (op-amp relaxation
Oscillator) for a given frequency and demonstrate its working..
b. Design and implement a rectangular waveform generator (Op-Amp relaxation
Oscillator) using simulation package and demonstrate the changes in frequency when
all resistor values are doubled.
6. Design and implement an Astable Multivibrator using 555 Timer for a given frequency
and duty cycle.
Part B
7. a. Given a four variable expression, simplify using Entered Variable Map (EVM) and
realize the simplified logic using 8:1 MUX.
b. Design and develop the verilog/VHDL code for 8:1 MUX. Simulate and verify its
working.
8. a. Realize a J-K Master/Slave FF using NAND gates and verify its truth table.
b. Design and develop the verilog/VHDL code for DFF with positive edge triggering.
Simulate and verify it’s working.
9. a. Design and implement a mod n (a<8)synchronous up counter using JK FF IC’s and
demonstrate its working.
b. Design and develop the verilog/VHDL code for mod 8 up counter simulate and verify
it’s working.
10 a. Design and implement ring counter using 4-bit shift register and demonstrate its
working.
b. Design and develop the verilog /VHDL code for switched tail counter. Simulate and
verify it’s working.
11 Design and implement asynchronous counter using decade counter IC to count up from
0 to n (n≤9) and demonstrate its working.
12 Design a 4-bit R-2R ladder D/A converter using Op-Amp. Determine its accuracy and
resolution.
Procedure:
WAVEFORMS
Design :
Since Vo(max) = 4V
When VR1 > Vi >-VR2 , diode ‘D1’ is ON and diode ‘D2’ is OFF and V0 = VR1
When VR1 > Vi < -VR2 , diode ‘D1’ is OFF and diode ‘D2’ is ON and V0 = VR2
When VR1 < Vi < VR2 , diode ‘D1’ is OFF and diode ‘D2’ is OFF and V0 = Vi
Procedure :
2. Switch on the VRPS and adjust the supply voltage VRef 1 = 3.4V and
VRef 2 = -3.4V
3. Apply a sine wave input (Vi) at frequency say 1KHZ from the signal generator
and adjust the peak amplitude to say 12V(P-P)(peak amplitude should be
greater than clipping level).
4. Observe the input waveform, output waveform and clipping level on CRO.
5. Apply Vi and V0 to the X and Y channels of CRO respectively and obtain the
transfer characteristics using X-Y mode in CRO.
POSITIVE CLAMPER
DESIGN:
Vo(max) = VRef + Vr
Choose R > T
Let RC = 10T
RC = 10 x 1msec = 10msec
C =10msec/10KΩ = 1F
Procedure:
Fig. 6 Input and output waveform for positive clamper without reference voltage.
Fig. 7 Input and output waveform for positive clamper circuit with reference voltage = 2V
Result: Positive Clamping Circuits have been tested and output waveforms
match with the expected waveforms.
Instructions
To design:
DC Power = 2V
Diodes: IN4001
Basic: Resistors:10k
Sources: Power Sources: Ground
To simulate
To simulate
DC Power = 2.4V(Vref)
WITHOUT
REFERENCE
VOLTAGE
C1
0.1uF
V1 = 2.5v V
V2 = -2.5v V1 R6V
TD = 0.01ms D7
200K
TR = 0.01us D1N4002
TF = 0.01us
PW = 0.5ms
PER = 1ms
0
POSITIVE CLAMPER WITHOUT REFERENCE
To simulate
2. CE AMPLIFIER
AIM: Design and construct a suitable circuit and determine the frequency response,
input impedance, output impedance and bandwidth of a CE amplifier..
COMPONENTS REQUIRED: Transistor SL-100, Resistors -22K, 6.8 K, 1K, 470 ,
Capacitors - 0.1 F( 2 numbers) , 0.47 F, DC regulated power supply, Signal generator,
CRO
Theory
In the CE amplifier the output of the first stage is coupled with the input of the next stage
through the coupling capacitor Cc. The resistances R1, R2 and RE form the biasing and
stabilization network. The emitter bypass capacitor CE offers low reactance path to the signal.
The coupling capacitor CC2 transmits ac signal but blocks dc thus preventing dc interference
between various stages.
When ac signal is applied to base of the first transistor, it appears in amplified form across its
collector load RC.
RC coupled amplifiers have excellent audio fidelity over a wide range of frequency. They are
widely used as voltage amplifiers. It has excellent frequency response. The RC network is
broadband in nature therefore, it gives a wide band frequency response without peak at any
frequency and hence used to complete AF amplifier bands. Cutoff frequencies (f1 & f2 in
Fig. 3) are the frequencies at which the voltage gain equals 0.707 of its maximum value. It is
also referred to as the half power frequencies because the load power is half of its maximum
value at these frequencies.
Frequency Response:
The frequency response is the plot of the gain of an amplifier as a function of frequency. It
defines the frequency of operation or bandwidth of the amplifier.
At low frequencies (< 50 Hz) (Lower cut off frequency)
The reactance of coupling capacitor CC is high and hence small part of signal will pass from
one stage to the next stage.
CE cannot shunt RE because of large reactance at low frequencies. These causes voltage gain
increase with increase in frequency. In this region, the amplifier can be modeled as a high pas
filter. Frequency at which the gain raises to 1/√2 times mid band gain A0 is called lower cut-
off frequency, denoted by fL
At high frequencies (>20Khz) (Upper cut-of frequency)
The reactance of CC is small and it behaves as short circuit. This increases the loading effect
of next stage. Capacitance reactance of base emitter junction is low with increase in base
current. This reduces amplification factor and due to these reasons, the amplifier can be
modeled as a low pass filter. The frequency at which gain falls to 1/√2 times the mid band
gain A0 is called upper cut – off frequency denoted by fH.
Bandwidth is defined by BW = fH - fL
At mid frequencies (%0Hz to 20 Khz):
Voltage gain of an amplifier is constant. The effect of coupling capacitor in this frequency
range is such as to maintain a uniform voltage gain. As frequency increases, reactance of C C
decreases which increases the gain. However at the same time, lower reactance means higher
loading of first stage and hence gain decreases. These two factors cancel each other, resulting
in uniform gain at mid frequencies.
DESIGN:
Applying KVL to the CE loop (in Fig. 1), VCC I C RC VCE VRE 0 .
Substituting all the values we get RC = 800Ω. Choose RC = 820Ω (standard resistor value)
I 5m
To find R1: We have VB VBE VRE 0.7 1 1.7V and I B C 50A
100
Assuming that the biasing network (R1 & R2) is designed such that 10IB flows through R1, we
have VR1 10 I B R1 VCC VB . Substituting the values of Vcc, VB & IB, R1 = 16.6k Ω.
VB 1.7
Next to find R2, we have VR2 = 9IBR2 =VB. Hence R2 3.7 K . Choose
9I B 9 50A
R1= 18kΩ and choose R2 =3.9kΩ
To find the bypass capacitor CE: Let XCE = RE/10 at f = 100 Hz (remember CE & RE are in
1 R
parallel). Hence X CE E . Substituting all the values, CE = 72.3 µF.
2fCE 10
Choose CE =100 µF and the coupling capacitors CC1 = CC2 = 0.47 µF.
PROCEDURE:
5. After the frequency has been changed from 500 Hz to 1MHz and the readings are
tabulated in a tabular column, calculate gain of the amplifier (in dB) using the
formula,
Gain in dB = 20 log 10 (Vo/Vin)
6. Plot the graph of gain versus frequency on a semilog sheet and hence determine the
bandwidth as shown in Fig. 3. Bandwidth = B = f2-f1
To find input impedance, set the input DRBI to a minimum value and DRBO to a
maximum value (say, 10k) as shown in figure 2. Now apply an input signal using signal
generator, say a sine wave whose peak-to-peak amplitude is 50mV with a frequency of 10
KHz. Observe the output on CRO. Note this value of output with DRBI = 0 as Vx.
Now increase the input DRBI value till the output voltage Vo = (1/2) Vx. The
corresponding DRBI value gives input impedance.
To measure input Impedance Ri
To find output impedance, set DRBO which is connected across the output to a
maximum value as shown in figure 2, with the corresponding DRBI at the minimum
position. Apply the input signal using signal generator, say a sine wave whose peak-to-
peak amplitude is 50mV with a frequency of 10 KHz. Observe the output on CRO. Note
this value of output with DRBI = 0 as Vx. Now decrease the DRBO value till the output
voltage Vo = (1/2) Vx. The corresponding DRBO value gives output impedance.
To measure output Impedance R0
Note: DRBI is connected between the signal generator and the input coupling capacitor.
DRBO is connected across the output (across the CRO terminals).
The ground symbol in the circuit diagram implies a common point. In some of the power
supplies, there will be three terminals - +(plus), -(minus) and GND (ground). Never
connect this GND terminal to the circuit.
TABULAR COLUMN
Vi = 50 mV (P-P)
f V0 P-P V Power Gain = 20 log10 Av
in Hz volts AV = 0 in dB
V i
50 Hz
--
--
1 MHz
Values
R1 = 22kΩ
R2 = 4.7kΩ
RC = 1KΩ
RE = 270Ω
RL = 10k Ω
CC1 = CC2 =0.47μF
CE=47μF
Fig. 1 : Transistor as a CE amplifier circuit diagram and actual connections (does not show
RL)
WAVEFORMS:
FREQUENCY RESPONSE:
RESULT:
1. BANDWIDTH = ……………Hz
2. INPUT IMPEDANCE = ……….. Ω
3. OUTPUT IMPEDANCE = ……….. Ω
Note: Maximum gain occurs in mid frequency region. This is also called mid band gain.
2b. Design and build the CE amplifier circuit using a simulation package and determine
the voltage gain for two different values of supply voltage and for two different values of
emitter resistance.
To Simulate
1. Select Grapher/Analysis list
Select AC Analysis
First select Reset to Default
Parameters:
Start Frequency (FSTART) = 10Hz
End Frequency (FSTOP) = 100 MHz
Sweep Type: Decade
Number points Per Decade = 10
Vertical Scale = Decibel
Output: Add V (6)
DEPT. INFORMATION SCIENCE and ENGINEERING Page 20
Electronic Circuits & Logic Design
Simulate
Apparatus required: MOSFET (1RF 740), Resistor (1kΩ), Voltmeters (0-30V range and 0-
10V range), Ammeter (0- 25mA range) and Regulated power supply (2 nos. – variable power
supply)
Theory
Metal oxide semiconductor field effect transistor is widely used in many circuit
applications. The current in this device is controlled by gate to source voltage rather than
negative voltages used in n-channel JFET and it is also referred as voltage controlled device.
The transistor has very high input impedance, consumes less power and of small size.
Construction Details
Drain Drain
Substrate
Gate Gate
Substrate
D S Source
G Symbol
Source
There is only one P-region and is called substrate. A thin layer of metal oxide is deposited
over left side of the channel. A metallic gate is deposited over oxide layer, as silicon dioxide
is an insulator. MOSFET has three terminals namely Source, Gate and Drain.
CIRCUIT DIAGRAM
Note:
Amplification Factor μ = rd x gm
Results:
3b. Design and build CMOS inverter using a simulation package and verify its truth
table.
Transistors:MOS 3TEN:2N7000.
MOS 3TEP:BST100
Sources: Power Sources: Ground
To simulate
4. SCHMITT TRIGGER
Aim : Design and construct a Schmitt trigger circuit using op-amp for the given UTP
and LTP values and demonstrate its working.
THEORY:
A comparator with positive feedback is called a regenerative comparator or Schmitt trigger.
This circuit converts an arbitrary waveform to a square wave or pulse. Hence it is also called
as squaring circuit.
In inverting Schmitt trigger, input voltage Vi is applied to the inverting input terminal of the
Op-Amp. The input voltage Vi switches the output voltage V0 to change state each time it
exceeds certain voltage levels called upper threshold voltage VUT and lower threshold voltage
VLT. These voltages are called upper and lower trigger points.
The output switches from positive to negative when input voltage reaches the upper trigger
point and from negative to positive when input falls to lower trigger point.
Threshold voltages are derived using resistive network comprising of R1 and R2 and reference
source VRef. This network provides the feedback voltage which is applied to non-inverting
terminal.
The voltage across R1 is feedback to input of Op=amp, depends on value and polarity of
output voltage V0. When V0=+Vsat, the voltage at the non-inverting terminal is called upper
threshold voltage VUT.
The output voltage switches from +Vsat to –Vsat once Vi exceeds VUT and remains there until
the input falls below VLT where VLT<VUT. So the reverse transition from –Vsat to +Vsat does
not occur at the same value of input voltage as the transition from +Vsat to –Vsat. This is called
as Hysteresis.
Case :-1
To design Schmitt trigger circuit for the following specification UTP=2.5V and LTP=1.0V
For the Schmitt trigger, the upper threshold voltage VUT or the upper trip point UTP is given
by
R 2(Vsat ) R1Vref
UTP
R1 R2 R1 R2
And lower threshold voltage VLT or the lower trip point (LTP) is given by
R2 (Vsat ) R1Vref
LTP
R1 R2 R1 R2
Where Vsat =12V (if supply voltage = +12 V dc) and the hysteresis width (voltage) VH is
given by
2R2Vsat
VH= UTP LTP
R1 R2
2 R1Vref
UTP LTP
R1 R2
i.e.
R1
3.5 2 * * Vref ...................(1)
R1 R 2
R2
1.5 2 * * Vsat......................(2)
R1 R 2
From equation -2
R2 1 .5 R2
2* = or = 0.0625
R1 R 2 12 R1 R 2
Therefore
R2
R1+R2= 16 R 2
0.0625
R1=15R2
Choose
Procedure:
1. Before doing the connections, check all the components using multimeter.
2. Make the connection as shown in figure 1.
3. Using a signal generator apply the sinusoidal input waveform of peak-to-peak
amplitude of 12V (approx 10V), frequency 1kHz.
4. Keep the CRO in dual mode; apply input (Vin) signal to the channel 1 and observe the
output (Vo) on channel 2 which is as shown in the waveform below. Note the
amplitude levels from the waveforms.
5. Now keep CRO in X-Y mode and observe the hysteresis curve.
Waveforms:
4b. Design and implement a Schmitt trigger using Op-Amp using a simulation package
for two sets of UTP and LTP values and demonstrate its working..
To simulate
COMPONENTS REQUIRED:
Op-amp μA 741, Resistor of 1KΩ, 10KΩ, 20 kΩ Potentiometer, Capacitor of 0.1 μF,
Regulated DC power supply, CRO
THEORY:
Op-Amp Relaxation Oscillator is a simple Square wave generator which is also called
as a Free running oscillator or Astable multivibrator or Relaxation oscillator. In this figure
the op-amp operates in the saturation region. Here, a fraction (R2/ (R1+R2)) of output is fed
back to the non-inverting input terminal. Thus reference voltage is (R2/(R1+R2)) Vo. And
may take values as + (R2/ (R1+R2)) Vsat or - (R2/(R1+R2)) Vsat. The output is also fed back
to the inverting input terminal after integrating by means of a low-pass RC combination.
Thus whenever the voltage at inverting input terminal just exceeds reference voltage,
switching takes place resulting in a square wave output.
Values
Figure 1Circuit Diagram of Symmetrical Square Wave generator C=0.1μF
(Relaxation Oscillator) R1 = 10kΩ, R2 = 11.6 kΩ,R = 4.7k/5.1kΩ
DESIGN :
1
The period of the output rectangular wave is given as T 2RC ln -------(1)
1
R1
Where, is the feedback fraction
R1 R2
If R1 = R2, then from equation (1) we have T = 2RC ln(3)
T 10 3
Let C=0.1µF (i.e., 10-7), then R 5K
2C 2 10 7
R1
The voltage across the capacitor has a peak voltage of Vc Vsat
R1 R2
PROCEDURE:
1. Before making the connections check all the components using multimeter.
2. Make the connections as shown in figure and switch on the power supply.
3. Observe the voltage waveform across the capacitor on CRO.
4. Measure the amplitude and frequency of output waveform on CRO.
5. Compare theoretical and practical values
Result:
A rectangular wave generator has been designed and verified for a given frequency
The frequency of the oscillations = ___ Hz.
Basic: Resistors:10K, 5K
Capacitor: 100 nF
Analog: OPAMP: 741
Sources: Power Sources: Ground
To simulate
AIM : Design and implement an astable multivibrator using 555 Timer for a given
frequency and duty cycle.
DESIGN : Given frequency (f) = 1KHz and duty cycle = 60% (=0.6)
The time period T =1/f = 1ms = tH + tL
Where tH is the time the output is high and tL is the time the output is low.
From the theory of astable multivibrator using 555 Timer(refer Malvino), we have
tH = 0.693 RB C ------(1)
tL = 0.693 (RA + RB)C ------(2)
T = tH + tL = 0.693 (RA +2 RB) C
Duty cycle = tH / T = 0.6. Hence tH = 0.6T = 0.6ms and tL = T – tH = 0.4ms.
Let C=0.1μF and substituting in the above equations,
RB = 5.8KΩ (from equation 1) and RA = 2.9KΩ (from equation 2 & RB values).
The Vcc determines the upper and lower threshold voltages (observed from the capacitor
2 1
voltage waveform) as VUT VCC & VLT VCC .
3 3
Note: The duty cycle determined by RA & RB can vary only between 50 & 100%. If RA is
much smaller than RB, the duty cycle approaches 50%.
Example 2: frequency = 1kHz and duty cycle =75%, RA = 7.2kΩ & RB =3.6kΩ, choose RA =
6.8kΩ and RB = 3.3kΩ.
PROCEDURE :
1. Before making the connections, check the components using multimeter.
2. Make the connections as shown in figure and switch on the power supply.
3. Observe the capacitor voltage waveform at 6th pin of 555 timer on CRO.
4. Observe the output waveform at 3rd pin of 555 timer on CRO (shown below).
5. Note down the amplitude levels, time period and hence calculate duty cycle.
RESULT:
The frequency of the oscillations = ___ Hz.
WAVEFORMS
THEORY:
Multivibrator is a form of oscillator, which has a non-sinusoidal output. The output
waveform is rectangular. The multivibrators are classified as: Astable or free running
multivibrator: It alternates automatically between two states (low and high for a rectangular
output) and remains in each state for a time dependent upon the circuit constants. It is just an
oscillator as it requires no external pulse for its operation. Monostable or one shot
multivibrator: It has one stable state and one quasi stable. The application of an input pulse
triggers the circuit time constants. After a period of time determined by the time constant, the
circuit returns to its initial stable state. The process is repeated upon the application of each
trigger pulse. Bistable Multivibrators: It has both stable states. It requires the application of
an external triggering pulse to change the output from one state to other. After the output has
changed its state, it remains in that state until the application of next trigger pulse. Flip flop is
an example.
Aim: Design a circuit diagram to realize simplified logic expression using 8:1 multiplexer IC
Apparatus required: Digital IC trainer kit, patch chords, 74151 IC and 7404IC
Theory:
A multiplexer routes one among the many input 2n to the output based on addressing or
selection it is called many to one logic circuit. An 8:1 multiplexer has 8 inputs and one
output, for addressing it has three selection lines a,b,c pin number(9,10,11), active low enable
lines which can be used independently output lines(5,6)
In MEV method there are nine rules as shown below. Entry in MEV map done by
considering MEV and functional value of the logical expression.
E.g.,
Simplify the function using MEV technique
f(a,b,c,d)=∑m(2,3,4,5,13,15) + dc(8,9,10,11)
Decimal LSB f MEV map entry
0}0 0000 0 0------Do
1 0001 0
1}2 0010 1 1------D1
9 0011 1
0100 1 1-----D2
2}4 0101 1
5
0110 0 0-----D3
3}6 0111 0
7
1000 X X-----D4
4}8 1001 X
9
1010 X X-----D5
5}10 1011 X
11
1100 0 d----D6
6}12 1101 1
13
1110 0 d----D7
7}14 1111 1
15
Design:
By taking tha values and mapping with MEV according to the rules of MEV techniques,
number of variables can be reduces 24 to 24-1 from 16 variables to just 8 variables. These
new variables can be considered as d0, .......d7.
As there are only three selection lines and four variable expression cannot be realized
directly therefore along with three selection lines a,b,c the fourth variable d or d’ becomes
the input to the MUX as decided by the table.
7b. Design and develop the verilog/VHDL code for 8:1 MUX. Simulate and verify its
working.
MULTIPLEXER
I 8:1 Zout
8
3
TruthTable SEL
INPUTS OUTPUTS
SEL (2) SEL (1) SEL (0) Zout
0 0 0 I(0)
0 0 1 I(1)
0 1 0 I(2)
0 1 1 I(3)
1 0 0 I(4)
1 0 1 I(5)
0 1 1 I(6)
1 1 1 I(7)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mux1 is
Port ( I : in std_logic_vector(7 downto 0);
sel : in std_logic_vector(2 downto 0);
zout : out std_logic);
end mux1;
Output
8 Realize a J-K Master/Slave FF using NAND gates and verify its truth
table.
Aim: To realize a JK Master/Slave flip flop using NAND gates and verify its
truth table.
Components used: IC 74LS00, IC 74LS10, IC 74LS20, Power chords, Patch chords, Trainer
kit.
IC-7410
IC-7420
Theory:
The circuit below shows the solution. To the RS flip-flop we have added two new
connections from the Q and Q' outputs back to the original input gates. Remember that a
NAND gate may have any number of inputs, so this causes no trouble. To show that we have
done this, we change the designations of the logic inputs and of the flip-flop itself. The inputs
are now designated J (instead of S) and K (instead of R). The entire circuit is known as a JK
flip-flop.
In most ways, the JK flip-flop behaves just like the RS flip-flop. The Q and Q'
outputs will only change state on the falling edge of the CLK signal, and the J and
K inputs will control the future output state pretty much as before. However, there
are some important differences.
Since one of the two logic inputs is always disabled according to the output state
of the overall flip-flop, the master latch cannot change state back and forth while
the CLK input is at logic 1. Instead, the enabled input can change the state of the
master latch once, after which this latch will not change again. This was not true of
the RS flip-flop.
If both the J and K inputs are held at logic 1 and the CLK signal continues to
change, the Q and Q' outputs will simply change state with each falling edge of the
CLK signal. (The master latch circuit will change state with each rising edge of
CLK.) We can use this characteristic to advantage in a number of ways. A flip-flop
built specifically to operate this way is typically designated as a T (for Toggle) flip-
flop. The lone T input is in fact the CLK input for other types of flip-flops.
At the same time, there are some additional useful configurations of both latches
and flip-flops. In the next pages, we will look first at the major configurations and
note their properties. Then we will see how multiple flip-flops or latches can be
combined to perform useful functions and operations.
0 1 0 1 Reset
1 0 1 0 Set
1 1 Q0 Q0 toggle
8b. Design and develop the verilog/VHDL code for DFF with positive edge triggering.
Simulate and verify its working.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity d_ff is
Port ( D,Clk : in std_logic;
Q : inout std_logic;
Qbar : out std_logic);
end d_ff;
end behavioral;
9a) Design and implement a mod n (a<8) synchronous up counter using JK FF IC’s and
demonstrate its working.
Components used: IC 74LS76, IC 74LS08, Patch chords, power chords, and Trainer kit.
IC:7408
Theory:
A counter is probably one of the most useful and versatile subsystems in digital system. A
counter driven by a clock can be used to count number of clock cycles.
In synchronous counter every flip-flop is triggered in synchronous with the clock i.e. clock
inputs are applied simultaneously to all flip-flops. The up-counter counts the numbers from
000 to 111 for mod 8
Circuit Diagram: QC QB
Input =1 QA
1
3
2
Preset input =1
2’
4’ 9
JC 4
15’ JB 7 11 JA 2 15
QC QB QA
1’ 6 1
KC KB KA
14’ 10 14
16’ 12 16
Clear input
=1
CLK
Qn Qn+1 J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0
QBQA
QBQA
00 01 11 10 00 01 11 10
QC QC
0 0 0 1 0 0 X X X X
X X X X 0 0 1 0
1 1
JC = QBQA
KC = QBQA
QBQA
QBQA 00 01 11 10
QC
00 01 11 10 0 X X 1 0
QC X X 1 0
0 0 1 X X 1
0 1 X X
1
KB = QA
JB = QA
QBQA
QBQA
00 01 11 10
00 01 11 10 QC
QC 0 X 1 1 X
1 X X 1
0 1 X 1 1 X
1 X X 1
1
JA = 1 KA = 1
Transition Table:
0 0 0 0 0 1 0 x 0 x 1 x
0 0 1 0 1 0 0 x 1 x x 1
0 1 0 0 1 1 0 x x 0 1 x
0 1 1 1 0 0 1 x x 1 x 1
1 0 0 1 0 1 x 0 0 x 1 x
1 0 1 1 1 0 x 0 1 x x 1
1 1 0 1 1 1 x 0 x 0 1 x
1 1 1 0 0 0 x 1 x 1 x 1
9b. Design and develop the verilog/VHDL code for mod 8 up counter simulate and
verify its working.
Truth Table
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mod_8 is
Port ( rst, clk, en: in std_logic;
q : inout std_logic_vector(3 downto 0));
end mod_8;
Output
10a) Design and implement ring counter using 4-bit shift register and demonstrate its
working.
IC-7495
Theory:
Ring Counter is a basic register with direct feedback such that contents of the register simply
circulate around the register when the clock is running. Here last output Qd in a shift register
is connected back to the serial input.
Function Table:
Clk Qa Qb Qc Qd
0 1 0 0 0
1 0 1 0 0
2 0 0 1 0
3 0 0 0 1
Circuit Diagram:
Inputs Outputs
Operating M Clk1 Clk2 SD P QA QB QC QD
Mode
Shift(Serial) 0 X D X D qA qB qC
To achieve the serial transfer mode control is connected to “0”. It requires four clock pulses
to clock the data and another three clock pulses to take out the data serially.
Procedure:
1) Verify all components and patch chords whether they are in good condition r not.
2) Make connection as shown in the circuit diagram.
3) Set MC =0
4) Set required data at SD (with LSB)and then apply clock.
5) Repeat step 4 three more times, this clocks the data.
6) Applying clock three more times retrieves the stored data serially out.
7) Verify the truth table.
10b. Design and develop the verilog /VHDL code for switched tail counter. Simulate and
verify its working.
Truth Table
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity jc is
Port ( clk, en, rst : in std_logic;
q : inout std_logic_vector(3 downto 0));
end jc;
architecture behavioral of jc is
begin
Process(clk,rst)
begin
if rst='1' then q<="0001";
elsif rising_edge (clk) then
if en='1' then
q<=(not q(0)) & q(3 downto 1);
end if;
end if;
end process;
end behavioral;
Output
11. Design and implement asynchronous counter using decade counter IC to count up
from 0 to n (n≤9) and demonstrate its working.
Components used: IC 74LS90, Patch chords, Power chords and Trainer kit.
Theory:
Asynchronous counter is a counter in which the clock signal is connected to the clock input
of only first stage flip flop. The clock input of the second stage flip flop is triggered by the
output of the first stage flip flop and so on. This introduces an inherent propagation delay
time through a flip flop. A transition of input clock pulse and a transition of the output of a
flip flop can never occur exactly at the same time. Therefore, the two flip flops are never
simultaneously triggered, which results in asynchronous counter operation.
Circuit Diagram:
Function Table:
Clock Qa Qb Qc Qd
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
8 1 0 0 0
9 1 0 0 1
12. Design a 4-bit R-2R ladder D/A converter using Op-Amp. Determine its accuracy
and resolution.
Aim: To study the operation of 4-bit DAC using R-2R ladder network.
Circuit diagram:
Theory:
A digital to analog converter (DAC) converts digital data into analog data. That is binary
input data is converted to analog voltage or current. R-2R ladder is the method by which
binary data is translated to suitable analog data.
Resolution (step size): The smallest analog voltage the circuit can provide when
only the LSB is 1. If ‘V’ represents the binary state ‘1’. The step size = V/2 n where’n’ is the
number of bits of input data. For an 8-bit DAC, with V=5; step size ≈ 20mV.
Voltage corresponding to MSB = V/2, irrespective of the size of DAC. Full scale
voltage VFS = V – Step size.
Accuracy: The difference between expected and measured output voltage of the DAC
is called the accuracy. As per design, accuracy should be within ±1/2 of LSB.
Design:
But V = VR / 24 x 2R/3R
When inputs are ‘0’ sitches are open therefore no voltage flows.
When inputs are ‘1’ switches are closed therefore voltage flows.
Procedure:
2. Energize the Op-amp pin no. 4 with -12V and pin no. 7 with +12V.
3. Set the input to required state and measure the output voltage with a digital
multimeter.
4. Draw staright line graph of output voltage (Y-axis) and the digital input(X-axis) of
expected and measured values.
Tabular column:
1. What is the difference between the passive and the active electronic components?
Fixed resistors
A fixed resistor is one whose value does not change at all.
Types of capacitors
1. Paper capacitors
2. Mica capacitors
3. Ceramic capacitors
4. Electrolytic capacitor
Semiconductor diode
Vacuum tube devices
Gas tube devices
8. What is a semiconductor?
Ans: The name semiconductor is derived from the fact that its conductivity lies between
that
of an insulator and a conductor. Silicon and germanium are some of the popular
semiconductors.
Ans: A semiconductor diode is also called as a P-N diode. A diode is fabricated by joining
together a P-type and N-type semiconductor. It conducts current in one direction
only.
Signal diode
Power diode
Zener diode
Photo diode
NPN PNP
Ans: The common logarithm of power gain is known as bel power gain.
Pout
Power gain= log10 Pin bel
Ans: An oscillator is the basic element of all ac signal sources. It generates a sinusoidal
signal
of known frequency and amplitude.
Application of an Oscillator
An oscillator is mostly used in electronic communication equipments.
“Local” oscillators are used to assist the reduction of the incoming radio frequency
(RF) to a lower intermediate frequency in different modulations like AM (amplitude
modulation) and FM (frequency modulation) super heterodyne receivers.
Oscillator circuits are also used to generate the RF carrier in the “exciter” section of a
transmitter.
They are also used as “clocks” in digital systems such as microcomputers, in the sweep
circuits found in TV sets and oscilloscopes.
An Amplifier
An amplifier strengthens the input signal without any change in its waveform and
frequency. The additional power required comes from the external dc source. Thus an
amplifier can be called as an energy converter that draws energy from a dc supply and
converts it into ac energy at signal frequency, the energy conversion process being
controlled by the input signal. The main difference with an oscillator is that an
oscillator does not need any external signal either to start or maintain the process of
energy conversion. Apart from this, the energy conversion process is controlled by the
oscillator circuit itself, above. In an oscillator, the passive components that are used for
the circuit decides the output signal frequency. In order to bring a change in the output
signal a change in the passive components is enough. Oscillator may provide fixed or
variable frequency.
37. What is a RC phase shift oscillator?
Ans: An oscillator is an electronic circuit for generating an ac signal voltage with a dc
supply
as the only input requirement. The frequency of the generated signal is decided by the
circuit constants. An oscillator requires an amplifier, a frequency selective network,
and
a positive feedback from the output to the input.
38. What is the Barkhausen criterion for sustained oscillation?
Ans: The Barkhausen criteria for sustained oscillation is Aβ=1 where A is the gain of the
57. What are the universal gates? Why are they called so?
Ans: NAND and NOR gates are known as universal gates. Using any one type of these
gates, any kind of Boolean expression or the basic logic gates can be realized.
Ans: In positive logic, high voltage is considered as logic high and low voltage is
considered
as logic low.
In negative logic the high voltage is considered as logic low and the low voltage is
considered as logic high.
Ans: A combinational circuit can be defined as a circuit whose output is dependent only on
the inputs at the same instant of time where as a sequential circuit can be defined as a
circuit whose output depends not only on the present inputs but also on the past
history of inputs.
64. Explain the terms resolution (R), linearity, and accuracy as applied to a DAC ( Digital
to Analog Converter)
Ans: The resolution of DAC which can accept N bits is expressed as
1
R= 100%
2N -1
Linearity :
It is defined as the variation of analog output from the curve plotted between digital
input and analog output for ideal DAC.
Accuracy:
It is a measure of difference between the actual output voltage and ideal output
voltage.
65. What is the difference between Clear and Preset?
Ans: Generally Clear and Preset are active low inputs. Logic ‘0’ at the Preset terminal
will make Q output ‘1’ and logic ‘0’ at Clear pin will make Q output ‘0’.
66. Mention the application of Ring Counter?
Ans:
To produce time Delay: The Serial In serial Out (SISO) shift register can be used
as a time delay device. The amount of delay can be controlled by
a. Number of stages in the register
b. The clock frequency
To simplify combinational logic:
a. The ring counter technique can be effectively utilized to implement
synchronous sequential circuit.
67. What is VHDL?
Ans: VHDL (Very high speed Integrated circuit Hardware Description Language).
A hardware description language is inherently parallel, i.e. the commands, which
correspond to logic gates, are executed (computed) in parallel as soon as new input
arrives. A HDL program mimics the behavior of a physical, usually a digital system. It
Ports Interface
(Entity declaration)
Body
(Architecture)
Sequential,
Combinational
process
Sub
programs
A digital subsystem in VHDL consists of a design entity that can contain other entities that
are then considered components of the top-level entity. Each entity is modeled by an entity
declaration and an architecture body. One can consider an entity declaration as an interface to
an outside world that defines the input and the output signals, while the architecture body
contains the description of the entity and is composed of interconnected entities, processes,
components, all operating concurrently, as schematically shown in above figure 1. In typical
design there will be many such entities connected together to perform the desired function.
VHDL uses keywords that cannot be used as signal names or identifiers. Key words and
user-defined identifiers are case insensitive. Lines with comments start with two adjacent
hyphens(--)
and will be ignored by the compiler. VHDL also ignores line brakes and extra spaces. VHDL
is strongly typed language which implies that one has always to declare the type of every
object that can have a value, such as signals, constants and variables.