Sie sind auf Seite 1von 15

Tiempo Frecuencia F1 angular (w) Periodo Muestras Paso Señal 1 Señal 2

1 60 376.9911184308 0.016667 120 0.000139 0.052336 0.838671


2 376.9911184308 0.000139 0.104528 0.809017
3 376.9911184308 0.000139 0.156434 0.777146
4 376.9911184308 0.000139 0.207912 0.743145
5 376.9911184308 0.000139 0.258819 0.707107
6 376.9911184308 0.000139 0.309017 0.669131
7 376.9911184308 0.000139 0.358368 0.62932
8 376.9911184308 0.000139 0.406737 0.587785
9 376.9911184308 0.000139 0.45399 0.544639
10 376.9911184308 0.000139 0.5 0.5
11 376.9911184308 0.000139 0.544639 0.45399
12 376.9911184308 0.000139 0.587785 0.406737
13 376.9911184308 0.000139 0.62932 0.358368
14 376.9911184308 0.000139 0.669131 0.309017
15 376.9911184308 0.000139 0.707107 0.258819
16 376.9911184308 0.000139 0.743145 0.207912
17 376.9911184308 0.000139 0.777146 0.156434
18 376.9911184308 0.000139 0.809017 0.104528
19 376.9911184308 0.000139 0.838671 0.052336
20 376.9911184308 0.000139 0.866025 1.22E-16
21 376.9911184308 0.000139 0.891007 -0.05234
22 376.9911184308 0.000139 0.913545 -0.10453
23 376.9911184308 0.000139 0.93358 -0.15643
24 376.9911184308 0.000139 0.951057 -0.20791
25 376.9911184308 0.000139 0.965926 -0.25882
26 376.9911184308 0.000139 0.978148 -0.30902
27 376.9911184308 0.000139 0.987688 -0.35837
28 376.9911184308 0.000139 0.994522 -0.40674
29 376.9911184308 0.000139 0.99863 -0.45399
30 376.9911184308 0.000139 1 -0.5
31 376.9911184308 0.000139 0.99863 -0.54464
32 376.9911184308 0.000139 0.994522 -0.58779
33 376.9911184308 0.000139 0.987688 -0.62932
34 376.9911184308 0.000139 0.978148 -0.66913
35 376.9911184308 0.000139 0.965926 -0.70711
36 376.9911184308 0.000139 0.951057 -0.74314
37 376.9911184308 0.000139 0.93358 -0.77715
38 376.9911184308 0.000139 0.913545 -0.80902
39 376.9911184308 0.000139 0.891007 -0.83867
40 376.9911184308 0.000139 0.866025 -0.86603
41 376.9911184308 0.000139 0.838671 -0.89101
42 376.9911184308 0.000139 0.809017 -0.91355
43 376.9911184308 0.000139 0.777146 -0.93358
44 376.9911184308 0.000139 0.743145 -0.95106
45 376.9911184308 0.000139 0.707107 -0.96593
46 376.9911184308 0.000139 0.669131 -0.97815
47 376.9911184308 0.000139 0.62932 -0.98769
48 376.9911184308 0.000139 0.587785 -0.99452
49 376.9911184308 0.000139 0.544639 -0.99863
50 376.9911184308 0.000139 0.5 -1
51 376.9911184308 0.000139 0.45399 -0.99863
52 376.9911184308 0.000139 0.406737 -0.99452
53 376.9911184308 0.000139 0.358368 -0.98769
54 376.9911184308 0.000139 0.309017 -0.97815
55 376.9911184308 0.000139 0.258819 -0.96593
56 376.9911184308 0.000139 0.207912 -0.95106
57 376.9911184308 0.000139 0.156434 -0.93358
58 376.9911184308 0.000139 0.104528 -0.91355
59 376.9911184308 0.000139 0.052336 -0.89101
60 376.9911184308 0.000139 1.23E-16 -0.86603
61 376.9911184308 0.000139 -0.05234 -0.83867
62 376.9911184308 0.000139 -0.10453 -0.80902
63 376.9911184308 0.000139 -0.15643 -0.77715
64 376.9911184308 0.000139 -0.20791 -0.74314
65 376.9911184308 0.000139 -0.25882 -0.70711
66 376.9911184308 0.000139 -0.30902 -0.66913
67 376.9911184308 0.000139 -0.35837 -0.62932
68 376.9911184308 0.000139 -0.40674 -0.58779
69 376.9911184308 0.000139 -0.45399 -0.54464
70 376.9911184308 0.000139 -0.5 -0.5
71 376.9911184308 0.000139 -0.54464 -0.45399
72 376.9911184308 0.000139 -0.58779 -0.40674
73 376.9911184308 0.000139 -0.62932 -0.35837
74 376.9911184308 0.000139 -0.66913 -0.30902
75 376.9911184308 0.000139 -0.70711 -0.25882
76 376.9911184308 0.000139 -0.74314 -0.20791
77 376.9911184308 0.000139 -0.77715 -0.15643
78 376.9911184308 0.000139 -0.80902 -0.10453
79 376.9911184308 0.000139 -0.83867 -0.05234
80 376.9911184308 0.000139 -0.86603 -2.45E-16
81 376.9911184308 0.000139 -0.89101 0.052336
82 376.9911184308 0.000139 -0.91355 0.104528
83 376.9911184308 0.000139 -0.93358 0.156434
84 376.9911184308 0.000139 -0.95106 0.207912
85 376.9911184308 0.000139 -0.96593 0.258819
86 376.9911184308 0.000139 -0.97815 0.309017
87 376.9911184308 0.000139 -0.98769 0.358368
88 376.9911184308 0.000139 -0.99452 0.406737
89 376.9911184308 0.000139 -0.99863 0.45399
90 376.9911184308 0.000139 -1 0.5
91 376.9911184308 0.000139 -0.99863 0.544639
92 376.9911184308 0.000139 -0.99452 0.587785
93 376.9911184308 0.000139 -0.98769 0.62932
94 376.9911184308 0.000139 -0.97815 0.669131
95 376.9911184308 0.000139 -0.96593 0.707107
96 376.9911184308 0.000139 -0.95106 0.743145
97 376.9911184308 0.000139 -0.93358 0.777146
98 376.9911184308 0.000139 -0.91355 0.809017
99 376.9911184308 0.000139 -0.89101 0.838671
100 376.9911184308 0.000139 -0.86603 0.866025
101 376.9911184308 0.000139 -0.83867 0.891007
102 376.9911184308 0.000139 -0.80902 0.913545
103 376.9911184308 0.000139 -0.77715 0.93358
104 376.9911184308 0.000139 -0.74314 0.951057
105 376.9911184308 0.000139 -0.70711 0.965926
106 376.9911184308 0.000139 -0.66913 0.978148
107 376.9911184308 0.000139 -0.62932 0.987688
108 376.9911184308 0.000139 -0.58779 0.994522
109 376.9911184308 0.000139 -0.54464 0.99863
110 376.9911184308 0.000139 -0.5 1
111 376.9911184308 0.000139 -0.45399 0.99863
112 376.9911184308 0.000139 -0.40674 0.994522
113 376.9911184308 0.000139 -0.35837 0.987688
114 376.9911184308 0.000139 -0.30902 0.978148
115 376.9911184308 0.000139 -0.25882 0.965926
116 376.9911184308 0.000139 -0.20791 0.951057
117 376.9911184308 0.000139 -0.15643 0.93358
118 376.9911184308 0.000139 -0.10453 0.913545
119 376.9911184308 0.000139 -0.05234 0.891007
120 376.9911184308 0.000139 -2.45E-16 0.866025
Señal 3 Tiempo ON Tiempo_Of Pin1_Out uM Pin1_Out uM Pin1_Out uM
-0.89101 8 131 0 1 2
-0.91355 15 124 0 1 2
-0.93358 22 117 0 1 2
-0.95106 29 110 0 1 2
-0.96593 36 103 0 1 2
-0.97815 43 96 0 1 2
-0.98769 50 89 0 1 2
-0.99452 57 82 0 1 2
-0.99863 64 75 0 1 2
-1 70 69 0 1 2
-0.99863 76 63 0 1 2
-0.99452 82 57 0 1 2
-0.98769 88 51 0 1 2
-0.97815 93 46 0 1 2
-0.96593 99 40 0 1 2
-0.95106 104 35 0 1 2
-0.93358 108 31 0 1 2
-0.91355 113 26 0 1 2
-0.89101 117 22 0 1 2
-0.86603 121 18 0 1 2
-0.83867 124 15 0 1 2
-0.80902 127 12 0 1 2
-0.77715 130 9 0 1 2
-0.74314 133 6 0 1 2
-0.70711 135 4 0 1 2
-0.66913 136 3 0 1 2
-0.62932 138 1 0 1 2
-0.58779 139 1 0 1 2
-0.54464 139 1 0 1 2
-0.5 139 1 0 1 2
-0.45399 139 1 0 1 2
-0.40674 139 1 0 1 2
-0.35837 138 1 0 1 2
-0.30902 136 3 0 1 2
-0.25882 135 4 0 1 2
-0.20791 133 6 0 1 2
-0.15643 130 9 0 1 2
-0.10453 127 12 0 1 2
-0.05234 124 15 0 1 2
-2.45E-16 121 18 0 1 2
0.052336 117 22 0 1 2
0.104528 113 26 0 1 2
0.156434 108 31 0 1 2
0.207912 104 35 0 1 2
0.258819 99 40 0 1 2
0.309017 93 46 0 1 2
0.358368 88 51 0 1 2
0.406737 82 57 0 1 2
0.45399 76 63 0 1 2
0.5 70 69 0 1 2
0.544639 64 75 0 1 2
0.587785 57 82 0 1 2
0.62932 50 89 0 1 2
0.669131 43 96 0 1 2
0.707107 36 103 0 1 2
0.743145 29 110 0 1 2
0.777146 22 117 0 1 2
0.809017 15 124 0 1 2
0.838671 8 131 0 1 2
0.866025 1 138 0 1 2
0.891007 -7 146 0 1 2
0.913545 -14 153 0 1 2
0.93358 -21 160 0 1 2
0.951057 -28 167 0 1 2
0.965926 -35 174 0 1 2
0.978148 -42 181 0 1 2
0.987688 -49 188 0 1 2
0.994522 -56 195 0 1 2
0.99863 -63 202 0 1 2
1 -69 208 0 1 2
0.99863 -75 214 0 1 2
0.994522 -81 220 0 1 2
0.987688 -87 226 0 1 2
0.978148 -92 231 0 1 2
0.965926 -98 237 0 1 2
0.951057 -103 242 0 1 2
0.93358 -107 246 0 1 2
0.913545 -112 251 0 1 2
0.891007 -116 255 0 1 2
0.866025 -120 259 0 1 2
0.838671 -123 262 0 1 2
0.809017 -126 265 0 1 2
0.777146 -129 268 0 1 2
0.743145 -132 271 0 1 2
0.707107 -134 273 0 1 2
0.669131 -135 274 0 1 2
0.62932 -137 276 0 1 2
0.587785 -138 277 0 1 2
0.544639 -138 277 0 1 2
0.5 -138 277 0 1 2
0.45399 -138 277 0 1 2
0.406737 -138 277 0 1 2
0.358368 -137 276 0 1 2
0.309017 -135 274 0 1 2
0.258819 -134 273 0 1 2
0.207912 -132 271 0 1 2
0.156434 -129 268 0 1 2
0.104528 -126 265 0 1 2
0.052336 -123 262 0 1 2
3.67E-16 -120 259 0 1 2
-0.05234 -116 255 0 1 2
-0.10453 -112 251 0 1 2
-0.15643 -107 246 0 1 2
-0.20791 -103 242 0 1 2
-0.25882 -98 237 0 1 2
-0.30902 -92 231 0 1 2
-0.35837 -87 226 0 1 2
-0.40674 -81 220 0 1 2
-0.45399 -75 214 0 1 2
-0.5 -69 208 0 1 2
-0.54464 -63 202 0 1 2
-0.58779 -56 195 0 1 2
-0.62932 -49 188 0 1 2
-0.66913 -42 181 0 1 2
-0.70711 -35 174 0 1 2
-0.74314 -28 167 0 1 2
-0.77715 -21 160 0 1 2
-0.80902 -14 153 0 1 2
-0.83867 -7 146 0 1 2
-0.86603 0 139 0 1 2
Pin_OUT_PWM
PORTC.RC0 = 0b1; Delay_us(8); PORTC.RC0 = 0b0; Delay_us(131);//
PORTC.RC0 = 0b1; Delay_us(15);PORTC.RC0 = 0b0; Delay_us(124);//
PORTC.RC0 = 0b1; Delay_us(22);PORTC.RC0 = 0b0; Delay_us(117);//
PORTC.RC0 = 0b1; Delay_us(29);PORTC.RC0 = 0b0; Delay_us(110);//
PORTC.RC0 = 0b1; Delay_us(36);PORTC.RC0 = 0b0; Delay_us(103);//
PORTC.RC0 = 0b1; Delay_us(43);PORTC.RC0 = 0b0; Delay_us(96); //
PORTC.RC0 = 0b1; Delay_us(50);PORTC.RC0 = 0b0; Delay_us(89); //
PORTC.RC0 = 0b1; Delay_us(57);PORTC.RC0 = 0b0; Delay_us(82); //
PORTC.RC0 = 0b1; Delay_us(64);PORTC.RC0 = 0b0; Delay_us(75); //
PORTC.RC0 = 0b1; Delay_us(70);PORTC.RC0 = 0b0; Delay_us(69); //
PORTC.RC0 = 0b1; Delay_us(76);PORTC.RC0 = 0b0; Delay_us(63); //
PORTC.RC0 = 0b1; Delay_us(82);PORTC.RC0 = 0b0; Delay_us(57); //
PORTC.RC0 = 0b1; Delay_us(88);PORTC.RC0 = 0b0; Delay_us(51); //
PORTC.RC0 = 0b1; Delay_us(93);PORTC.RC0 = 0b0; Delay_us(46); //
PORTC.RC0 = 0b1; Delay_us(99);PORTC.RC0 = 0b0; Delay_us(40); //
PORTC.RC0 = 0b1; Delay_us(104)PORTC.RC0 = 0b0; Delay_us(35); //
PORTC.RC0 = 0b1; Delay_us(108)PORTC.RC0 = 0b0; Delay_us(31); //
PORTC.RC0 = 0b1; Delay_us(113)PORTC.RC0 = 0b0; Delay_us(26); //
PORTC.RC0 = 0b1; Delay_us(117)PORTC.RC0 = 0b0; Delay_us(22); //
PORTC.RC0 = 0b1; Delay_us(121)PORTC.RC0 = 0b0; Delay_us(18); //
PORTC.RC0 = 0b1; Delay_us(124)PORTC.RC0 = 0b0; Delay_us(15); //
PORTC.RC0 = 0b1; Delay_us(127)PORTC.RC0 = 0b0; Delay_us(12); //
PORTC.RC0 = 0b1; Delay_us(130)PORTC.RC0 = 0b0; Delay_us(9); //
PORTC.RC0 = 0b1; Delay_us(133)PORTC.RC0 = 0b0; Delay_us(6); //
PORTC.RC0 = 0b1; Delay_us(135)PORTC.RC0 = 0b0; Delay_us(4); //
PORTC.RC0 = 0b1; Delay_us(136)PORTC.RC0 = 0b0; Delay_us(3); //
PORTC.RC0 = 0b1; Delay_us(138)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(139)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(139)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(139)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(139)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(139)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(138)PORTC.RC0 = 0b0; Delay_us(1); //
PORTC.RC0 = 0b1; Delay_us(136)PORTC.RC0 = 0b0; Delay_us(3); //
PORTC.RC0 = 0b1; Delay_us(135)PORTC.RC0 = 0b0; Delay_us(4); //
PORTC.RC0 = 0b1; Delay_us(133)PORTC.RC0 = 0b0; Delay_us(6); //
PORTC.RC0 = 0b1; Delay_us(130)PORTC.RC0 = 0b0; Delay_us(9); //
PORTC.RC0 = 0b1; Delay_us(127)PORTC.RC0 = 0b0; Delay_us(12); //
PORTC.RC0 = 0b1; Delay_us(124)PORTC.RC0 = 0b0; Delay_us(15); //
PORTC.RC0 = 0b1; Delay_us(121)PORTC.RC0 = 0b0; Delay_us(18); //
PORTC.RC0 = 0b1; Delay_us(117)PORTC.RC0 = 0b0; Delay_us(22); //
PORTC.RC0 = 0b1; Delay_us(113)PORTC.RC0 = 0b0; Delay_us(26); //
PORTC.RC0 = 0b1; Delay_us(108)PORTC.RC0 = 0b0; Delay_us(31); //
PORTC.RC0 = 0b1; Delay_us(104)PORTC.RC0 = 0b0; Delay_us(35); //
PORTC.RC0 = 0b1; Delay_us(99);PORTC.RC0 = 0b0; Delay_us(40); //
PORTC.RC0 = 0b1; Delay_us(93);PORTC.RC0 = 0b0; Delay_us(46); //
PORTC.RC0 = 0b1; Delay_us(88);PORTC.RC0 = 0b0; Delay_us(51); //
PORTC.RC0 = 0b1; Delay_us(82);PORTC.RC0 = 0b0; Delay_us(57); //
PORTC.RC0 = 0b1; Delay_us(76);PORTC.RC0 = 0b0; Delay_us(63); //
PORTC.RC0 = 0b1; Delay_us(70);PORTC.RC0 = 0b0; Delay_us(69); //
PORTC.RC0 = 0b1; Delay_us(64);PORTC.RC0 = 0b0; Delay_us(75); //
PORTC.RC0 = 0b1; Delay_us(57);PORTC.RC0 = 0b0; Delay_us(82); //
PORTC.RC0 = 0b1; Delay_us(50);PORTC.RC0 = 0b0; Delay_us(89); //
PORTC.RC0 = 0b1; Delay_us(43);PORTC.RC0 = 0b0; Delay_us(96); //
PORTC.RC0 = 0b1; Delay_us(36);PORTC.RC0 = 0b0; Delay_us(103);//
PORTC.RC0 = 0b1; Delay_us(29);PORTC.RC0 = 0b0; Delay_us(110);//
PORTC.RC0 = 0b1; Delay_us(22);PORTC.RC0 = 0b0; Delay_us(117);//
PORTC.RC0 = 0b1; Delay_us(15);PORTC.RC0 = 0b0; Delay_us(124);//
PORTC.RC0 = 0b1; Delay_us(8); PORTC.RC0 = 0b0; Delay_us(131);//
PORTC.RC0 = 0b1; Delay_us(1); PORTC.RC0 = 0b0; Delay_us(138);//
Pin_OUT_PWM
PORTC.RC0 = 0b1;Delay_us(8);PORTC.RC0 = 0b0;Delay_us(131);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(15);PORTC.RC0 = 0b0;Delay_us(124);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(22);PORTC.RC0 = 0b0;Delay_us(117);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(29);PORTC.RC0 = 0b0;Delay_us(110);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(36);PORTC.RC0 = 0b0;Delay_us(103);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(43);PORTC.RC0 = 0b0;Delay_us(96);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(50);PORTC.RC0 = 0b0;Delay_us(89);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(57);PORTC.RC0 = 0b0;Delay_us(82);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(64);PORTC.RC0 = 0b0;Delay_us(75);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(70);PORTC.RC0 = 0b0;Delay_us(69);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(76);PORTC.RC0 = 0b0;Delay_us(63);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(82);PORTC.RC0 = 0b0;Delay_us(57);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(88);PORTC.RC0 = 0b0;Delay_us(51);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(93);PORTC.RC0 = 0b0;Delay_us(46);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(99);PORTC.RC0 = 0b0;Delay_us(40);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(104);PORTC.RC0 = 0b0;Delay_us(35);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(108);PORTC.RC0 = 0b0;Delay_us(31);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(113);PORTC.RC0 = 0b0;Delay_us(26);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(117);PORTC.RC0 = 0b0;Delay_us(22);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(121);PORTC.RC0 = 0b0;Delay_us(18);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(124);PORTC.RC0 = 0b0;Delay_us(15);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(127);PORTC.RC0 = 0b0;Delay_us(12);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(130);PORTC.RC0 = 0b0;Delay_us(9);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(133);PORTC.RC0 = 0b0;Delay_us(6);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(135);PORTC.RC0 = 0b0;Delay_us(4);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(136);PORTC.RC0 = 0b0;Delay_us(3);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(138);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(139);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(139);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(139);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(139);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(139);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(138);PORTC.RC0 = 0b0;Delay_us(1);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(136);PORTC.RC0 = 0b0;Delay_us(3);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(135);PORTC.RC0 = 0b0;Delay_us(4);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(133);PORTC.RC0 = 0b0;Delay_us(6);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(130);PORTC.RC0 = 0b0;Delay_us(9);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(127);PORTC.RC0 = 0b0;Delay_us(12);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(124);PORTC.RC0 = 0b0;Delay_us(15);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(121);PORTC.RC0 = 0b0;Delay_us(18);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(117);PORTC.RC0 = 0b0;Delay_us(22);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(113);PORTC.RC0 = 0b0;Delay_us(26);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(108);PORTC.RC0 = 0b0;Delay_us(31);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(104);PORTC.RC0 = 0b0;Delay_us(35);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(99);PORTC.RC0 = 0b0;Delay_us(40);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(93);PORTC.RC0 = 0b0;Delay_us(46);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(88);PORTC.RC0 = 0b0;Delay_us(51);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(82);PORTC.RC0 = 0b0;Delay_us(57);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(76);PORTC.RC0 = 0b0;Delay_us(63);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(70);PORTC.RC0 = 0b0;Delay_us(69);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(64);PORTC.RC0 = 0b0;Delay_us(75);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(57);PORTC.RC0 = 0b0;Delay_us(82);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(50);PORTC.RC0 = 0b0;Delay_us(89);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(43);PORTC.RC0 = 0b0;Delay_us(96);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(36);PORTC.RC0 = 0b0;Delay_us(103);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(29);PORTC.RC0 = 0b0;Delay_us(110);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(22);PORTC.RC0 = 0b0;Delay_us(117);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(15);PORTC.RC0 = 0b0;Delay_us(124);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(8);PORTC.RC0 = 0b0;Delay_us(131);// PORTC.RC1 = 0b1;
PORTC.RC0 = 0b1;Delay_us(1);PORTC.RC0 = 0b0;Delay_us(138);// PORTC.RC1 = 0b1;
Delay_us(8); PORTC.RC1 = 0b0; Delay_us(131);//
Delay_us(15); PORTC.RC1 = 0b0; Delay_us(124);//
Delay_us(22); PORTC.RC1 = 0b0; Delay_us(117);//
Delay_us(29); PORTC.RC1 = 0b0; Delay_us(110);//
Delay_us(36); PORTC.RC1 = 0b0; Delay_us(103);//
Delay_us(43); PORTC.RC1 = 0b0; Delay_us(96); //
Delay_us(50); PORTC.RC1 = 0b0; Delay_us(89); //
Delay_us(57); PORTC.RC1 = 0b0; Delay_us(82); //
Delay_us(64); PORTC.RC1 = 0b0; Delay_us(75); //
Delay_us(70); PORTC.RC1 = 0b0; Delay_us(69); //
Delay_us(76); PORTC.RC1 = 0b0; Delay_us(63); //
Delay_us(82); PORTC.RC1 = 0b0; Delay_us(57); //
Delay_us(88); PORTC.RC1 = 0b0; Delay_us(51); //
Delay_us(93); PORTC.RC1 = 0b0; Delay_us(46); //
Delay_us(99); PORTC.RC1 = 0b0; Delay_us(40); //
Delay_us(104); PORTC.RC1 = 0b0; Delay_us(35); //
Delay_us(108); PORTC.RC1 = 0b0; Delay_us(31); //
Delay_us(113); PORTC.RC1 = 0b0; Delay_us(26); //
Delay_us(117); PORTC.RC1 = 0b0; Delay_us(22); //
Delay_us(121); PORTC.RC1 = 0b0; Delay_us(18); //
Delay_us(124); PORTC.RC1 = 0b0; Delay_us(15); //
Delay_us(127); PORTC.RC1 = 0b0; Delay_us(12); //
Delay_us(130); PORTC.RC1 = 0b0; Delay_us(9); //
Delay_us(133); PORTC.RC1 = 0b0; Delay_us(6); //
Delay_us(135); PORTC.RC1 = 0b0; Delay_us(4); //
Delay_us(136); PORTC.RC1 = 0b0; Delay_us(3); //
Delay_us(138); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(139); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(139); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(139); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(139); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(139); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(138); PORTC.RC1 = 0b0; Delay_us(1); //
Delay_us(136); PORTC.RC1 = 0b0; Delay_us(3); //
Delay_us(135); PORTC.RC1 = 0b0; Delay_us(4); //
Delay_us(133); PORTC.RC1 = 0b0; Delay_us(6); //
Delay_us(130); PORTC.RC1 = 0b0; Delay_us(9); //
Delay_us(127); PORTC.RC1 = 0b0; Delay_us(12); //
Delay_us(124); PORTC.RC1 = 0b0; Delay_us(15); //
Delay_us(121); PORTC.RC1 = 0b0; Delay_us(18); //
Delay_us(117); PORTC.RC1 = 0b0; Delay_us(22); //
Delay_us(113); PORTC.RC1 = 0b0; Delay_us(26); //
Delay_us(108); PORTC.RC1 = 0b0; Delay_us(31); //
Delay_us(104); PORTC.RC1 = 0b0; Delay_us(35); //
Delay_us(99); PORTC.RC1 = 0b0; Delay_us(40); //
Delay_us(93); PORTC.RC1 = 0b0; Delay_us(46); //
Delay_us(88); PORTC.RC1 = 0b0; Delay_us(51); //
Delay_us(82); PORTC.RC1 = 0b0; Delay_us(57); //
Delay_us(76); PORTC.RC1 = 0b0; Delay_us(63); //
Delay_us(70); PORTC.RC1 = 0b0; Delay_us(69); //
Delay_us(64); PORTC.RC1 = 0b0; Delay_us(75); //
Delay_us(57); PORTC.RC1 = 0b0; Delay_us(82); //
Delay_us(50); PORTC.RC1 = 0b0; Delay_us(89); //
Delay_us(43); PORTC.RC1 = 0b0; Delay_us(96); //
Delay_us(36); PORTC.RC1 = 0b0; Delay_us(103);//
Delay_us(29); PORTC.RC1 = 0b0; Delay_us(110);//
Delay_us(22); PORTC.RC1 = 0b0; Delay_us(117);//
Delay_us(15); PORTC.RC1 = 0b0; Delay_us(124);//
Delay_us(8); PORTC.RC1 = 0b0; Delay_us(131);//
Delay_us(1); PORTC.RC1 = 0b0; Delay_us(138);//
PORTC.RC1 = 0b1;Delay_us(8);PORTC.RC1 = 0b0;Delay_us(131);//
Chart Tit
PORTC.RC1 = 0b1;Delay_us(15);PORTC.RC1 = 0b0;Delay_us(124);// 1.5
PORTC.RC1 = 0b1;Delay_us(22);PORTC.RC1 = 0b0;Delay_us(117);//
PORTC.RC1 = 0b1;Delay_us(29);PORTC.RC1 = 0b0;Delay_us(110);//
PORTC.RC1 = 0b1;Delay_us(36);PORTC.RC1 = 0b0;Delay_us(103);// 1
PORTC.RC1 = 0b1;Delay_us(43);PORTC.RC1 = 0b0;Delay_us(96);//
PORTC.RC1 = 0b1;Delay_us(50);PORTC.RC1 = 0b0;Delay_us(89);//
PORTC.RC1 = 0b1;Delay_us(57);PORTC.RC1 = 0b0;Delay_us(82);// 0.5
PORTC.RC1 = 0b1;Delay_us(64);PORTC.RC1 = 0b0;Delay_us(75);//
PORTC.RC1 = 0b1;Delay_us(70);PORTC.RC1 = 0b0;Delay_us(69);//
0
PORTC.RC1 = 0b1;Delay_us(76);PORTC.RC1 = 0b0;Delay_us(63);// 0 20 40 60
PORTC.RC1 = 0b1;Delay_us(82);PORTC.RC1 = 0b0;Delay_us(57);//
PORTC.RC1 = 0b1;Delay_us(88);PORTC.RC1 = 0b0;Delay_us(51);// -0.5
PORTC.RC1 = 0b1;Delay_us(93);PORTC.RC1 = 0b0;Delay_us(46);//
PORTC.RC1 = 0b1;Delay_us(99);PORTC.RC1 = 0b0;Delay_us(40);//
PORTC.RC1 = 0b1;Delay_us(104);PORTC.RC1 = 0b0;Delay_us(35);// -1
PORTC.RC1 = 0b1;Delay_us(108);PORTC.RC1 = 0b0;Delay_us(31);//
PORTC.RC1 = 0b1;Delay_us(113);PORTC.RC1 = 0b0;Delay_us(26);//
PORTC.RC1 = 0b1;Delay_us(117);PORTC.RC1 = 0b0;Delay_us(22);// -1.5
PORTC.RC1 = 0b1;Delay_us(121);PORTC.RC1 = 0b0;Delay_us(18);//
PORTC.RC1 = 0b1;Delay_us(124);PORTC.RC1 = 0b0;Delay_us(15);//
PORTC.RC1 = 0b1;Delay_us(127);PORTC.RC1 = 0b0;Delay_us(12);//
PORTC.RC1 = 0b1;Delay_us(130);PORTC.RC1 = 0b0;Delay_us(9);//
PORTC.RC1 = 0b1;Delay_us(133);PORTC.RC1 = 0b0;Delay_us(6);//
PORTC.RC1 = 0b1;Delay_us(135);PORTC.RC1 = 0b0;Delay_us(4);//
PORTC.RC1 = 0b1;Delay_us(136);PORTC.RC1 = 0b0;Delay_us(3);//
PORTC.RC1 = 0b1;Delay_us(138);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(139);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(139);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(139);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(139);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(139);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(138);PORTC.RC1 = 0b0;Delay_us(1);//
PORTC.RC1 = 0b1;Delay_us(136);PORTC.RC1 = 0b0;Delay_us(3);//
PORTC.RC1 = 0b1;Delay_us(135);PORTC.RC1 = 0b0;Delay_us(4);//
PORTC.RC1 = 0b1;Delay_us(133);PORTC.RC1 = 0b0;Delay_us(6);//
PORTC.RC1 = 0b1;Delay_us(130);PORTC.RC1 = 0b0;Delay_us(9);//
PORTC.RC1 = 0b1;Delay_us(127);PORTC.RC1 = 0b0;Delay_us(12);//
PORTC.RC1 = 0b1;Delay_us(124);PORTC.RC1 = 0b0;Delay_us(15);//
PORTC.RC1 = 0b1;Delay_us(121);PORTC.RC1 = 0b0;Delay_us(18);//
PORTC.RC1 = 0b1;Delay_us(117);PORTC.RC1 = 0b0;Delay_us(22);//
PORTC.RC1 = 0b1;Delay_us(113);PORTC.RC1 = 0b0;Delay_us(26);//
PORTC.RC1 = 0b1;Delay_us(108);PORTC.RC1 = 0b0;Delay_us(31);//
PORTC.RC1 = 0b1;Delay_us(104);PORTC.RC1 = 0b0;Delay_us(35);//
PORTC.RC1 = 0b1;Delay_us(99);PORTC.RC1 = 0b0;Delay_us(40);//
PORTC.RC1 = 0b1;Delay_us(93);PORTC.RC1 = 0b0;Delay_us(46);//
PORTC.RC1 = 0b1;Delay_us(88);PORTC.RC1 = 0b0;Delay_us(51);//
PORTC.RC1 = 0b1;Delay_us(82);PORTC.RC1 = 0b0;Delay_us(57);//
PORTC.RC1 = 0b1;Delay_us(76);PORTC.RC1 = 0b0;Delay_us(63);//
PORTC.RC1 = 0b1;Delay_us(70);PORTC.RC1 = 0b0;Delay_us(69);//
PORTC.RC1 = 0b1;Delay_us(64);PORTC.RC1 = 0b0;Delay_us(75);//
PORTC.RC1 = 0b1;Delay_us(57);PORTC.RC1 = 0b0;Delay_us(82);//
PORTC.RC1 = 0b1;Delay_us(50);PORTC.RC1 = 0b0;Delay_us(89);//
PORTC.RC1 = 0b1;Delay_us(43);PORTC.RC1 = 0b0;Delay_us(96);//
PORTC.RC1 = 0b1;Delay_us(36);PORTC.RC1 = 0b0;Delay_us(103);//
PORTC.RC1 = 0b1;Delay_us(29);PORTC.RC1 = 0b0;Delay_us(110);//
PORTC.RC1 = 0b1;Delay_us(22);PORTC.RC1 = 0b0;Delay_us(117);//
PORTC.RC1 = 0b1;Delay_us(15);PORTC.RC1 = 0b0;Delay_us(124);//
PORTC.RC1 = 0b1;Delay_us(8);PORTC.RC1 = 0b0;Delay_us(131);//
PORTC.RC1 = 0b1;Delay_us(1);PORTC.RC1 = 0b0;Delay_us(138);//
Chart Title

40 60 80 100 120 140

Das könnte Ihnen auch gefallen