Sie sind auf Seite 1von 7

ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

Simulation Based ATPG for Crosstalk Delay Faults in VLSI Circuits using
Genetic Algorithm
S.Jayanthy1, M.C.Bhuvaneswari 2
1
Asst.Professor, Department of ECE., Sri Ramakrishna Engineering College, Coimbatore, India
2
Asst.Professor, EEE Department, P.S.G. College Of Technology, Coimbatore, India
sjayanthyabi@yahoo.co.in

Abstract validated chip to malfunction and lead to performance


As design trends move toward nanometer technology, degradation of deep submicron design.
new Automatic Test Pattern Generation (ATPG) There are two main types of cross talk effects: cross talk
problems are emerging. During design validation, the induced pulses and cross talk induced delay. The type of
effect of crosstalk on reliability and performance cannot cross talk effect dealt in this paper is cross talk induced
be ignored. So new ATPG Techniques has to be delay. Cross talk delay is induced when two lines, an
developed for testing crosstalk faults which affect the aggressor line (A-line) and victim line (V-line) have
timing behaviour of circuits. In this paper, we present a simultaneous or near simultaneous transitions, which
Genetic Algorithm (GA) based test generation for may cause undesirable effects including glitches, increase
crosstalk induced delay faults in VLSI circuits. The GA or decrease in the signal delay [1]. If both the lines transit
produces reduced test set which contains as few as in the same direction, the effective delay is reduced
possible test vector pairs, which detect as many as leading to crosstalk speedup. If aggressor and victim
possible crosstalk delay faults. It uses a crosstalk delay transit in the opposite direction then there will be an
fault simulator which computes the fitness of each test increase in delay leading to crosstalk slowdown.
sequence. Tests are generated for ISCAS’85 and scan The designer has two options to eliminate errors caused
version of ISCAS’89 benchmark circuits. Experimental by crosstalk either by resizing drivers, rerouting signals,
results demonstrate that GA gives higher fault coverage shielding interconnect lines and other such redesign
and compact test vectors for most of the benchmark techniques or to develop techniques to generate tests for
circuits. crosstalk.
The latter option is often taken by designers as redesign
Keywords: Crosstalk delay faults, Genetic algorithm, may be very expensive. Moreover test generation for
ATPG, Fault simulator. crosstalk also enables more aggressive design and
enables more comprehensive post-manufacturing testing.
1. Introduction In this paper, we present a GA based test generation
As a consequence of technological advances which have algorithm for crosstalk delay faults. The GA generates
resulted in an increase of VLSI chip density, increased candidate test vectors and the crosstalk delay fault
number of interconnect layers and in an improvement of simulator computes the fitness of candidate test vectors.
timing performances, the test for static stuck-at faults The remainder of the paper is organized as follows.
only has turned out to be insufficient, and it is now also Section 2 discusses prior work. Section 3 describes the
required to deal with physical defects which affect the algorithm for finding a reducing list of target faults,
timing behavior of a given circuit. Various noise sources Section 4 gives a brief description of crosstalk delay fault
such as crosstalk and power supply noise has a simulator, Section 5 describes the features of GA, Section
significant impact on the timing performance of deep 6 describes test generation in GA framework and Section
submicron design (DSM) designs. The increasing number 7 presents the experimental results for ISCAS’85 and
of transistors in the chip leads to more devices switching scan version of ISCAS’ 89 benchmark circuits. The paper
simultaneously resulting in power supply noise which is concluded in Section 8.
reduces device voltage levels and increases signal delay.
Interconnection lines which were assumed to be 2. Prior Work
electrically isolated can now interfere with each other Rubio, Itazaki, Xu and Kinoshita[2] proposes a
leading to functional problems. One such interaction methodology which is based on a search for a two
caused by parasitic coupling between wires is known as vectors input pattern that forces a determinate value to
crosstalk. These noise effects can cause completely the affected nodes and provokes a transition of the
affecting lines allowing the propagation of the possible

11
ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

noise effect to the primary output nodes. The relationship lines and to propagate the effect at victim to at least one
of transition propagation in logic circuits has been used primary output. Then combining them will give the
to generate the test patterns. Their paper deals with transition test vector for crosstalk fault.
crosstalk pulses. Chun, T. Kim, Y. Kim, M.H.Yang and S.Yang [11] have
Chen, Gupta and Breuer [3, 4, 5] have given a mixed proposed an ATPG which finds test patterns for crosstalk
signal test generator XGEN, for crosstalk induced delay delay faults using don’t care values in delay test patterns
faults. They proposed a mixed signal test generation generated by a conventional path delay fault ATPG. The
process where characteristics of crosstalk induced noise don’t care values are used to apply some extra constraint
are accurately modeled. By using Laplace transitions which makes crosstalk-induced noise
transformations they have obtained an expression for maximal.
crosstalk in the s-domain, which they have transformed Ganeshpure, Kundu [12] have given an ATPG that
back to the time domain. These expressions are used to combines 0-1 Integer Linear Program with traditional
quantify the dependence of the pulse attributes on the stuck-at fault ATPG. The maximal aggressor activation is
lumped circuit parameters and the rise time of the input formulated as a linear programming problem while the
transition. Static timing analysis provides timing fault effect propagation is treated as an ATPG problem
windows at gate inputs and outputs. The target timing and the gate delays are subsumed by a circuit
window is the intersection of the aggressor and victim transformation.
timing window. For a specific target coupling fault a pair It can be seen that most of these test generation
of vectors create a crosstalk effect at the target and either algorithms involves backtracking through components
a logic error or delay at the primary output. Their ATPG which is time consuming.
algorithm uses 11 valued algebra, analog delays and In a simulation based approach processing occurs in the
modified Path oriented decision making algorithm forward direction only and no backtracking is required.
(PODEM) for back trace procedure. Their algorithm is Chary and Bushnell [13] have developed a simulation
not complete because of restricted propagation conditions based crosstalk delay fault test generation for coupling
of fault effects, and a constrained logic value system is faults. They used a crosstalk candidate reduction
used. algorithm which produces a compact set of target faults
Krstic, Liou, Jiang and Cheng [6] developed a by removing those faults that can never be excited or
constrained path delay model as a combination of a detected .A multiple delay sequential fault simulator is
critical path and a set of crosstalk noise sources used to generate path-delay fault tests. An analog macro
interacting with the path. It uses a conventional path model is used to precompute the delay appearing on a
delay ATPG process without justification to sensitize the interconnect due to the coupling fault. They have
fault. Then a genetic algorithm is used to deal with assumed only one aggressor and one victim for each
timing information and justification of effecting coupling fault. Moreover their input test patterns were
transitions to primary inputs. random vectors.
In [7] Xiaoliang Bai, Sujit Dey and Angela Krstic have Saluja and Phadoongsidhi [14] have proposed a fault
proposed a solution for multiple aggressor crosstalk simulator for crosstalk delay faults caused by coupling
problems. In their work, an implication graph is between multiple aggressor and single victim signal
constructed that consists of logic variables and structural lines. They have used a binary logic digital simulator to
information to check for logic conflicts. A modified generate crosstalk delay tests. But their input test vectors
version of PODEM algorithm is used to search for test were test vectors for stuck-at faults.
vectors. The simulation based techniques proposed have a
Aniket and Arunachalam [8] proposed an algorithm for disadvantage that good heuristics are required to generate
testing crosstalk induced delay faults. Their algorithm test vectors and test sets were larger.
generates a list of critical paths by static timing analysis Our work uses GA to produce short, high quality test
of the circuits. A robust testability criterion is applied to vectors and reduce simulation time.
check for sensibility of the paths. For a sensitable path
the associated aggressors –victim pairs are activated in a
manner that will maximize the aggressor influence on the
3. Static timing analysis
path to induce maximum crosstalk slowdown along a The number of crosstalk faults between all possible
path. combinations of A-lines and V-lines are very large and
H. Li and X. Li [9] proposed a test generator which uses impractical to detect for large complex circuits. Some of
a single precise crosstalk delay fault model. A sub path is the faults cannot be tested or need not be tested in a
sensitized to generate necessary transitions coupled to a circuit. Hence reduced set of crosstalk delay faults are
path. A path delay fault ATPG is modified for targeting derived by static timing analysis of the circuit. The
crosstalk constrained path delay faults. In order to reduce number of critical paths and the lines that lie on the
the numbers of target faults constraints are added on the critical path are calculated using the topological and
sub path and states are pre specified during test timing information. Then the lists of target faults are
generation for the critical path. obtained which are smaller than the set of all possible
Palit, Duganapalli, Anheier [10] have developed a test combinations of faults.
generator for crosstalk faults using modified PODEM The algorithm for calculating the reduced target fault list
algorithm. In order to test crosstalk faults suitable [15].
transitions are applied at primary inputs individually to 1. The latest transition time and the earliest transition
induce specific signal transitions at aggressor and victim time for each line are calculated.

12
ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

2. From the maximum value of the latest transition time are removed from the fault list. The other aggressors are
the longest path (critical path) is found. The lines in the considered for the next test pattern. The other faults are
longest path are found. These form the set of victim lines. read from the fault list and fault simulation is done. The
3. The timing window of the selected victim line is whole process is repeated for all the test vectors in the
compared with aggressor line and if the windows overlap test set.
than that selected V- and A- line pair is added to the
target fault list. 5. Overview of Genetic Algorithms
The input fault list to the simulator is the reduced set of In the area of VLSI test, GA has been successfully used
target faults. in stuck-at fault test and gate delay fault test. The
simplicity, robustness, efficiency and effectiveness of
4. Crosstalk delay fault simulator GA make them a promising tool for complex
Given a test vector sequence as inputs, the objective of applications. GA maintains a population pool of
the fault simulator is to determine which of these faults candidate solutions called strings or chromosomes. Each
are detected. Faults Detected are those that cause a logic string is associated with a fitness value determined by a
error that is, a glitch at the POs. The delay effect at the user defined fitness function.
primary output is also noted. Figure 2 shows the flowchart of GA. GA starts with an
The basic simulator is time wheel based event driven initial population typically generated randomly and the
simulator [16].It uses three valued logic.The fault evolutionary process of reproduction, crossover and
simulator is capable of detecting n-aggressor/single mutation are used to generate an entirely new population
victim faults. from the existing population. The new population and the
Fault simulation based ATPG Algorithm. existing population compete for membership in the
1. Simulate the good circuit for random vectors. generation’s membership pool. Selection of the
2. Read the fault from the fault list. chromosomes for new population is governed by the
3. Simulate the good and bad circuits for the fault for replacement strategy. The old population is discarded.
each vector. The sequence of selection, crossover mutation completes
4. The victim and aggressors should have opposite one-generation cycle. GA progresses through generations
transition. Then fault is activated. until the goal is reached such as fixed number of
5. Inject the fault by delaying the victim alone by a time generations. [17]- [21].
step equal to one unit delay. Other events are scheduled .
as usual as for a good circuit. Continue simulation until Start
the end of timeframe.
6. Compare the values at all POs and sequential inputs
with the good circuit. Generate initial population
7. If the good and bad circuits differ, then fault is
detected and the victim and activated aggressors pairs
are removed from the fault list.
8. Repeat from step2 for all the faults in the fault list. Reproduction operator
9. Read the next vector and repeat steps 2 to 8.

A H Cross-over operator
P
M
B L
N Mutation operator
C J
I Q
F

K Replacement strategy
G
O

Figure 1. Example circuit


Criteria
met
In Figure1, it was found from the static timing analysis
that the example circuit consist of six longest paths Yes
No
namely:[C,I,L,P],[F,I,L,P], [C,I,L,Q],[C,I,O,Q],[F,I,L,Q]
and [F,I,O,Q]. The victim set is [C, F, I, L, O, P, Q].The End
total number of target crosstalk faults for the circuit is 42.
Two patterns (00001, 01000) are applied at the primary
inputs of the logic circuit. The set of aggressors /victim Figure 2. Flow chart of genetic algorithm
taken for consideration are [H, I, O, P, Q/L]. Aggressors
O, P are activated. Hence the victim L is given a one unit 6. GA based test generation algorithm.
delay in the logic cone. A glitch is observed on Q and The goal of crosstalk delay fault test generation is
delay effect is observed on P. The activated aggressors generating a reduced test set, which contains as few as

13
ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

possible test vectors as possible. This is essentially a Phase II


process exploring the space of test vector pair. So GA The initial population of GA is composed of the
can be utilized to optimize the process of exploring. sequences generated in phase I. To generate a new
Every test vector/sequence can be treated as an individual population from the existing one, two individuals
or string. Thus we can view the number of test (parents) are selected and crossed to create two entirely
vector/sequence pairs as a population. To assess every individuals (child) and each child is mutated with some
test vector/sequence pair in a population in any small mutation probability. The selection operator is rank
generation of evolution, the crosstalk delay fault based selection. In rank based selection, the solutions are
simulator is suitable. sorted according to their fitness from the worst (rank1) to
The pseudo code for GA based ATPG algorithm the best (rankN).Each member in the sorted list is
presented in this paper is shown in Figure 3.The ATPG assigned a fitness equal to the rank of the solution in the
algorithm performs in two phases. In the first phase the list. Thereafter the proportionate selection operator is
initial population of test vectors and sequences are applied with the ranked fitness value and better solutions
generated by pseudo random process. In the second phase are chosen. The two parents are crossed to create two
the GA phase, the test vectors are evolved based on entirely new individuals (i.e.) child and each child is
fitness function. The fitness function used is: mutated with some small mutation probability. The two
Fitness = NFi new individuals are than placed in the new population
Where NFi is the number of faults detected. and the process continues until the generation is entirely
filled. The previous population is discarded. Crossover
{ used is one point crossover. A crossover probability of 1
FL= {reduced set of crosstalk delay faults} and mutation probability of 0.01 is used in all circuits.
The no_gen is assumed to be 8, to reduce the execution
initial pop=phase I (FL); time. During test generation pop_size of 16 is used. The
if (FL =NULL) pseudo code for phase II is shown in Figure 5
break; Function Phase II
phase II (initial pop, FL); {
Initial pop from phase1;
} for (l=0;l<no_gen;l++)
{for (k=0; k<popsize;k++)
Figure3. Pseudo code of overall GA based ATPG algorithm {select two individuals from
population;
Phase1 apply crossover with probability 1;
In this phase the initial sequences composed of M vectors apply mutation with probability 0.01;}
are generated based on pseudo random process. The compute fitness of the individuals;
generated sequences are fault simulated for the faults in for (each sequence)
the fault list. If the sequence detects fault that fault is if (sequence detects the faults in the fault list
removed from the fault list and the corresponding { add sequence to the solution set;
sequence is added into the solution set. If no faults are drop the faults detected by the
detected by the sequence, then the last sequence sequence;
generated in the corresponding cycle is added to the set. }
This process is repeated for max_iter. The pseudo code }
of phase I is shown in Figure 4. }

Function Phase I Figure 5 Pseudo code of phase II of GA based ATPG algorithm


initial pop (FL)
For (i=0; i<max_iter, i++) 7. Experimental results
{ The crosstalk delay fault simulator and genetic algorithm
initial pop=phase I(FL); based test generator is implemented in 3700 lines of C
randomly generate sequences of length L; language under the LINUX environment on an IBM
for (each sequence) compatible PC. The random and GA based ATPG is
{if sequence detects faults in the fault list applied to ISCAS’85 combinational circuits and several
{ scan version of ISCAS’89 sequential circuits. Table 1
add sequence to the test set; gives the characteristics of ISCAS’85 combinational
drop the faults detected by that sequence; circuits and the scan version of ISCAS’89 sequential
} circuits. After the circuit name, the number of primary
} inputs (PIs), number of primary outputs (POs), number of
return (initial population); gates, number of paths and the number of critical paths
} are given. The entire circuit paths are analyzed using the
tree data structure. The total number of paths in the
Figure 4 Pseudo code of phase I of GA based ATPG algorithm
circuit is calculated using depth first search algorithm
which employs recursive search procedure. Critical paths
are paths whose delay is longer than a given percentage
of the longest propagation delay in the circuit. The

14
ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

selection is done using static timing analysis and given Table 1: The ISCAS’85 AND ISCAS’89 Circuit
gate delay. The gate delay for static timing analysis is characteristics
assumed to be one time unit. No. of No. of No. of
No. of No. of
Table2 and Table 3 shows the coupling fault coverage Circuit
PIs POs Gates
total critical
obtained for a few benchmark circuits. Fault effect at PO paths paths
gives the number of faults for which delay effect due to c17 5 2 6 11 6
the coupling fault was noticed at the primary output. c432 36 7 160 83926 2199
Faults detected are those that cause a logic error at the c499 41 32 202 9440 14
primary output. As it not practical to test all faults for
c880 60 26 383 8642 92
large circuits reduced set of target faults are calculated by
selecting victims which lie in the critical path and for s27 7 4 10 28 6
each fault the aggressor-victim timing window should s208 19 10 96 145 2
overlap. The input fault list for each circuit consists of s208.1 18 9 104 142 1
every combination of single aggressor/single victim
s298 17 20 119 231 1
pairs. Gates are assumed to have a unit delay and the
crosstalk delay value to be injected is also assumed to be s344 24 26 160 355 1
one time unit. s349 24 26 161 365 1
The bold number in Table2 and Table 3 represents the s386 13 13 159 207 10
maximum number of faults detected for each circuit for
s420.1 34 17 218 474 1
GA compared to random vectors. In Table2 for the
combinational circuit’s c449 and c880 95% of the s510 25 13 211 369 1
coupling faults produced a delay at the primary output. s526 24 27 193 410 1
For 13 of the 15 scan version of the sequential circuits s820 23 24 289 492 11
98%of the coupling faults produced a delay effect at the
s1196 32 32 529 3097 9
primary output. The sequential circuit s1196, s1238 and
combinational circuit c432 with critical paths of 9, 30 s1238 32 32 508 3558 30
and 2199 respectively had produced a delay effect for s1488 14 25 653 962 1
only 86%, 78.2%and 84.8% of the coupling faults s1494 14 25 647 976 1
respectively. This may be due to the fact that the basis for
finding the critical paths and target fault reduction is
static timing analysis which does not consider the false
Table2: Fault Effect at Primary Output
paths.
No. of Fault effect at PO CPU
No. of Time
Circuit target Rando
victims GA (secs)
In Table3 the number faults detected using GA based faults m
ATPG were much greater than random vectors for 17 of c17 7 42 42 42 0.20
the 19 benchmark circuits tested. The numbers of faults c432 103 9327 6629 7918 125.35
detected were about 70% for the combinational circuit’s c449 207 21879 17937 20806 22.7
c432 and c449. For c880 fault coverage of 48%was
reported. The numbers of faults detected were 24% to c880 70 9279 5722 8922 24.68
66% for 10 of scan version of the sequential benchmark s27 10 74 70 72 0.03
circuits. However for some specific scan version of s208 18 743 559 726 1.00
sequential circuit with coupling faults from 4000 to
s208.1 12 558 371 512 1.26
10000 the GA based test generator reported lesser fault
coverage’s of 12% to 18%. The low fault coverage is not s298 10 537 532 533 2.31
unusual because due to conflicting Boolean conditions s344 21 1190 1185 1189 7.53
there are many coupling faults that are impossible to s349 21 1197 1192 1196 7.56
sensitize or to propagate to the primary output. Moreover
s386 49 4195 4152 4189 2.45
the unit delay injected might be insufficient to cause a
logic error at the primary output. For most of the s420.1 14 1276 927 1257 4.05
benchmark circuits compact test vectors were obtained. s510 13 1098 1091 1098 4.76
The CPU execution time shown in Table2 and Table3 is s526 10 891 729 886 4.21
the execution time for GA based test generation. It was
s820 43 7738 7362 7728 11.61
slightly higher for larger circuits. The graph shown in
Figure 6 gives the comparison chart showing the s1196 55 10630 5791 9150 20.03
efficiency of genetic algorithm over random vectors for s1238 45 5822 2764 4556 20.36
different benchmark circuits with respect to the number s1488 18 4305 4302 4304 29.76
of target faults detected.
S1494 18 4283 4280 4282 45.03

15
ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

Table3: Faults Detected. version of the sequential circuits produced delay effect
No. of CPU for 72% to 99% of the coupling faults. For coupling
Faults detected
Circuit target Time faults that produce a logic error at the primary output the
faults Random GA (secs) method achieved 48% to 72% fault coverage on four
c17 42 19 26 0.20 combinational circuits and 12% to 66% fault coverage on
c432 9327 5548 6540 245.12 15 scan version of sequential circuits. Genetic algorithms
are particularly suitable to parallel implementations, so
c449 21879 6622 15709 593.23
better CPU execution time can be expected by a parallel
c880 9279 180 4414 637.9 GA based test generator.
s27 74 5 28 0.43
s208 743 230 233 6.61 9. References
s208.1 558 7 250 14.6 [1] W.Y.Chen, S.K.Gupta, and M.A.Breuer, “Test
s298 537 231 336 9.58
Generation in VLSI Circuits for Crosstalk Noise”,
Proceedings of IEEE International Test
s344 1190 766 787 19.11 conference, pages 641-650, October 1998.
s349 1197 365 693 20.1 [2] Rubio, N. Itazaki, X. Xu and K. Kinoshita, “An
s386 4195 635 1030 60.03 approach to the analysis and detection of crosstalk
faults in digital VLSI circuits,” IEEE Trans. on
s420.1 1276 0 471 64.16
Computer-Aided Design of Integrated Circuits and
s510 1098 404 480 15.6 Systems, Vol.13, No.3, pp.387-394, March 1994.
s526 891 0 164 22.10 [3] W.Y Chen, S. K. Gupta, and M. A. Breuer,
s820 7738 2037 2738 170.3 “Analytic Models for Crosstalk Delay and Pulse
Analysis under Non-Ideal Inputs”, Proc. of the
s1196 10630 0 1439 802.10
Int’l.Test Conf., pp. 809–818, Nov. 1997.
s1238 5822 0 710 550.05 [4] W.Y.Chen, S.K.Gupta, and M.A.Breuer, “Test
s1488 4305 793 962 190.21 Generation for Crosstalk-Induced Delay in
Integrated Circuits”, Proceedings of IEEE
S1494 4283 780 788 187.03
International Test Conference, pages 191-200,
October 1999.
[5] W.Y.Chen, S.K.Gupta, and M.A.Breuer, “Test
Generation for Crosstalk Induced Faults:
25000 Framework and computational results” Journal of
20000 Electronic Testing: Theory and Applications, vol
15000
18 pp17-28, Feb, 2002.
[6] Krstic, J.-J. Liou, Y.-M. Jiang and K.-T. Cheng,
10000 “Delay Testing Considering Crosstalk-Induced
5000 Effects”, Proceedings of International Test
0 Conference, 2001.
[7] X. Bai, S. Dey and A. Krstic, “HyAC: A Hybrid
1

10

13

Structural SAT Based ATPG for Crosstalk,”


16

19

International Test Conference, 2003, pp. 112-121


Random GA No. of target faults [8] Aniket and R. Arunachalam, “A Novel Algorithm
for Testing Crosstalk Induced Delay Faults in
Figure 6 Comparison chart VLSI Circuits,” Proceedings of International
Conference on VLSI Design, pp. 479-484, 2005.
8. Conclusion [9] H. Li and X. Li, “Selection of Crosstalk-induced
Faults in Enhanced Delay Test”, Journal of
The increased design density in deep submicron designs Electronic Testing: Theory and Applications, Vol.
leads to more significant interference between the signals 21, No. 2, pp. 181-195, April 2005.
because of capacitive coupling or crosstalk which can [10] Palit, A.K., Duganapalli, K.K., Anheier, W., “Test
produce Boolean errors and delay faults. To guarantee Pattern Generation for Crosstalk Fault in DSM
design performance, ATPG techniques must consider chips using Modified PODEM,”TuZ, pp.41-45,
how crosstalk affects propagation delays. 2008.
In this paper crosstalk delay fault simulator in a GA [11] Sunghoon Chun, Yongjoon Kim, Taejin Kim,
framework is developed. Redundant crosstalk faults Myung-Hoon Yang, Sungho Kang, "XPDF-
which never affect the performance of the circuit are ATPG: An Efficient Test Pattern Generation for
filtered out. The crosstalk delay fault simulator is capable Crosstalk-Induced Faults” 17th Asian Test
of detecting n-aggressor/single victim faults. Results are Symposium, pp.83-88, 2008.
presented for coupling faults which produces a delay [12] Kunal P. Ganeshpure, Sandip Kundu “On ATPG
effect at the primary output as well as those which for Multiple Aggressor Crosstalk Faults in
produces a logic error at the primary output. The GA Presence of Gate Delays” Proceedings of IEEE
based ATPG tests both combinational and scan version
of sequential circuits. The combinational and scan

16
ICGST- AIML journal, ISSN: 1687-4846, Volume 9, Issue 2, December 2009

International Test Conference, pages 1-7, Oct. S.Jayanthy received her B.E
2007. Electronics and Communication
[13] Shweta Chary, Michael L. Bushnell“Automatic Engineering from Government College
path delay test generation for combined Resistive of Technology, Coimbatore and ME
Vias Resistive bridges and Capacitive Crosstalk (Applied Electronics) from P.S.G
delay faults” Proceedings of the 19th international College of Technology, Coimbatore in
conference on VLSI Design, pp 413 – 418, 2006 . the year 1990 and 2001 respectively.
[14] Marong Phadoongsidhi, Kewal K. Saluja, She is presently working as Assistant
"SCINDY: Logic Crosstalk Delay Fault Professor in the department of Electronics and
Simulation in Sequential Circuits," vlsid, 18th Communication Engineering at Sri Ramakrishna
International Conference on VLSI Design held Engineering College, Coimbatore. She is currently
jointly with 4th International Conference on pursuing her Ph.D from Anna University, Chennai. and is
Embedded Systems Design (VLSID'05), pp.820- a research scholar at P.S.G College of Technology,
823,2005 Coimbatore. Her areas of interests are VLSI Design and
[15] Hiroshi Takahashi, Keith J Keller, Kim T.Le, Testing, Microprocessors, Microcontrollers and Genetic
Kewal K.Saluja,Yuzo Takamatsu, “A Method for algorithms.
Reducing the Target Fault list of Crosstalk faults
in Synchronous Sequential Circuits”,IEEE Dr. M.C.Bhuvaneswari is a faculty in
Transactions on Computer Aided Design of the department of Electrical and
Integrated Circuits and Systems,Vol.24,No Electronics Engineering, P.S.G
2,February 2005. College of Technology, Coimbatore.
[16] E. G. Ulrich. “Exclusive simulation of activity in She has completed her B.E in
digital networks”, Communications of the ACM, Electronics and Communication
12(2):102–110, February1969. Engineering in 1986, from
[17] David E. Goldberg, “Genetic Algorithms in Government College of Technology,
Search, Optimization & Machine Learning” Coimbatore. She has obtained her Doctoral degree in the
Addison-Wesley,1989 area of VLSI Design and Testing, from P.S.G College of
[18] Pinaki Mazumder, Elizabeth M.Rudnick, “Genetic Technology, affiliated to Bharathiar University in 2002.
Algorithms for VLSI Design, Layout &Test She has published 32 research papers in National &
Automation”, Prentice Hall PTR, New York, International Journals / Conferences. Her areas of interest
1999. are VLSI Design and Testing, Computer Architecture,
[19] P.Prinetto, M.Rebaudengo, M.Sonza Reorda, “An Genetic Algorithms and Fuzzy Logic.
automatic test pattern generator for large
sequential circuits based on genetic algorithms”,
Proc of Int. Test Conf., pp.240-249, 1994.
[20] M.C.Bhuvaneswari, S.N.Sivanandam, “Genetic
Algorithms Based Test Generation: An Analysis
of Crossover Operators”, Journal of the CSI, pp
10-17, Vol. 32 No.1, March 2002.
[21] S.Jayanthy, M.C.Bhuvaneswari, T.Kavitha
“Simulation Based ATPG For Path Delay Faults
In Digital Circuits Using Genetic Algorithm”,
Proceedings of the National Conference on
Adaptive Sensors & Intelligent Systems
NCASIS’08, pp80-84, November 2008.

17

Das könnte Ihnen auch gefallen