Sie sind auf Seite 1von 56

THE DESIGN CYCLE FORTNIGHTLY W W W. N E W E L E C T R O N I C S . C O .

U K

12 DECEMBER 2006

Make it yourself!
After the home office, how about the home factory?
A research project at Bath University
is helping to bring the idea of self replicating
machines closer to reality.

I N C L U D E S W I R E L E S S C O M M U N I C A T I O N S S P E C I A L F E A T U R E

Special Report: Plus: • Digital technology boosts audio performance • Analogue outdoes digital
• M2M meets the need to talk • Networks get more personal • Wireless technology
Applications Special means cable is on the run • ATCA brings change of focus to comms industry
IF IT’S ELECTRONIC,
IT NEEDS A CLOCK
Accurate Real-Time Clocks for Every Major Electronic Equipment Design
No matter what you design, you need your system to
keep accurate time. Real-time clocks (RTCs) from
Maxim/Dallas Semiconductor are the most accurate in
the industry.
In fact, we will prove it to you.
Visit www.maxim-ic.com/rtc_demo and see the
industry’s most accurate RTC at work.
Store System-Configuration Data We have been the leading manufacturer of RTCs since
1985. Our proprietary timekeeping CMOS circuits
Time-Stamp Events
consume current at the nano-ampere level during
Monitor Systems periods of inactivity. As a result, these RTCs can be
Control System Power powered by a small lithium cell for more than 10 years.
Store Warranty Data Equipment manufacturers can actually ignore provisions
Buffer Data for battery replacement in their products.
Provide Time-of-Day Alarms What is Your Next Design?
Visit www.maxim-ic.com/RTC and select from a broad
menu of features, interfaces, and packages to find the
ideal RTC for your application. See specific solutions
for utility, medical, telecom, consumer electronics,
and many other applications.

RTC Provides ±2Min/Yr Accuracy over ♦ +2.3V to +5.5V Power Supply


Wide Operating-Temperature Range ♦ Low Battery-Backed Current (< 3µA)
♦ Programmable Square-Wave Output Signal
♦ Automatic Power-Fail Detect and Switch Circuitry
VCC = 3.3V ±10%
VCC

INT/SQW
♦ Counts Seconds, Minutes, Hours, Day, Date,
DS3231
Month, and Year with Leap-Year Compensation
VBAT SCL
2.0V GND SDA
µP
Valid Through 2099
TO
5.5V
BATTERY
♦ ±2°C Accurate Digital Temperature Sensor Output
♦ 16-Pin SO with Integrated Crystal

www.maxim-ic.com/RTC
FREE Real-Time Clocks Design Guide—Sent Within 24 Hours!
CALL TOLL FREE 0800 585048
For a Design Guide or Free Sample

D I S T R I B U T I ON U.K. Ireland
Tel: +44 (0)1628 676 176 Tel: +353 (0)56 7764002
Telesales: 0800 585048 (toll free)
Fax: +44 (0)1628 783 799 Fax: +353 (0)56 7751438
Tel: +44 (0)118 930 3388
Email: sales@micromark.net Email: sales@fmgelectronics.ie
Fax: +44 (0)118 900 6403
Website: www.micromark.net Website: www.fmgelectronics.ie
Email: MaximDallasDirect_Sales@maximhq.com

The Maxim logo is a registered trademark of Maxim Integrated Products, Inc. The Dallas Semiconductor logo is a registered trademark of Dallas Semiconductor Corp.
© 2006 Maxim Integrated Products, Inc. All rights reserved.
12 DECEMBER 2006 VOL 39 NO 22 CONTENTS

Photograpy: Donald Maclellan

COVER STORY RESEARCH & DEVELOPMENT SYSTEM DESIGN


After the home office,
how about the home DIGITAL TECHNIQUES BACKPLANES & BOARDS
factory? Researchers Sounds good! The ATCA effect
are working towards Digital design know how helps target ATCA is bringing changes in end user
making self replicating digital tv deficiencies. 26 markets and suppliers alike. 47
machines a reality. 14

REGULARS
INTRA DESIGN SPECIAL REPORT
SIGNAL PROCESSING APPLICATIONS SPECIAL
Comment
Analogue outdoes digital Closer to the birds
Smoothing the bumps
Signal processing the analogue way has Power modules enable an electrically
of semiconductor
unmatched virtues, including low power. 29 powered glider to get airborne. 19
market swings. 5

DSPs enable diagnostics


News
Micron invests $10m in
INTER DESIGN Fixed and floating point dsps enable a
its UK design centre. 6 new breed of medical diagnostic devices. 20
German researcher WIRELESS COMMUNICATIONS
creates ultra thin chip SPECIAL FEATURE Life saver!
process. 8 The need to talk How an rf chipset has allowed the development
Powerline silicon plugs The M2M market is starting to boom. But if of a sophisticated avalanche rescue device. 23
into the market. 10 only people could agree what M2M is. 33
Asian distributors may Who’s there?
be looking at Europe. 12 Let’s get personal A compact radar sensor allows the user to
It’s taken a while, but personal area networking find out how many people are in a room
ADVANCE IN THE is finding a range of applications. 37 and where they are. 24
PROFESSION
Davinder Lotay has ‘set Cable on the run
sail’ and is in control of Industry is going wireless, but how are the PRODUCT NEWS
his destiny. 53 various techniques being used? 40 Inter Design 44
System Design 50
Appointments 54

10 19 29 40

BLACK YELLOW MAGENTA CYAN 91NEL0615152.pgs 07.12.2006 15:29


Design with confidence.
Introducing the new Stratix III family.

Stratix III Family:


The Lowest-Power
High-Performance FPGAs
Building on the success of prior generations,
Stratix® III FPGAs give you the highest
performance available, while keeping power
consumption to a minimum.
Design your next-generation systems with confidence.
Design with Stratix III FPGAs.

www.altera.com/confidence

Distributors Arrow Electronics (UK) Ltd: 01279 626777 EBV Elektronik UK: 01793 849933

Copyright © 2006 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylised Altera logo, specific device designations, and all other words and logos that are
identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names
are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, mask work rights, and copyrights.
SEMICONDUCTOR MARKET COMMENT

Smoothing the bumps


Are the violent swings in the semiconductor market a thing of the past?

T
he semiconductor industry is performing in a manner
that, you would expect, might justify the odd
celebration or two. Figures from the Semiconductor
Industry Association (SIA) show sales are at record
levels for the fourth month in a row. Its latest report claims sales
in October 2006 reached $21.9billion, some 9% up on the same
month in 2005. Times are good. In fact, the SIA is bullish
enough to predict that semiconductor sales will reach $321bn in
2009; not bad, when you consider that this year’s sales are
expected to be $250bn. Graham Pitcher, Editor gpitcher@findlay.co.uk
Demand in Europe is growing more quickly than anywhere
else, underlining its resurgence. Sales grew by 3.4% on a month
to month basis and by 11.2% on a three month rolling average.
And yet the alarm bells are ringing, if only very quietly. Even meaning orders for production equipment are slowing.
while it is predicting strong growth for the industry, the SIA is The cyclical nature of the semiconductor industry is
pointing out, ever so politely, that global economic conditions legendary and balancing supply and demand has, seemingly,
could impact the market. “There are signs of slower overall been impossible. Reckless investments and knee jerk contractions
economic growth and a slowing economy could impact sales of have not helped. But as the industry becomes more mature,
semiconductors,” said SIA president George Scalise. Another those violent swings are likely to be replaced with more gentle
pointer comes from SEMI, the equipment manufacturers ‘adjustments’. Crashes, such as that in 2001, are hopefully a
association. It says the book to bill ratio has dipped below 1, thing of the past.

Editor Graham Pitcher US Correspondent Paul Dempsey (newelectronics.usa@cox.net)


Contributing Editors David Boothroyd, Louise Joselyn, Vanessa Knivett, Roy Rubenstein Art Editor David Walters Illustrator Phil Holmes
Sales Director Tricia Bodsworth Business Development Director Lee Nye Circulation Manager Chris Jones (circulation@findlay.co.uk)
Production Controller Derek Gill Publisher Peter Ring
Represented in North America by Huson International Media (www.husonusa.com)
West Coast: Matt Lane (matt@husonusa.com), 1999 South Bascom Ave, Suite 1000, Campbell CA 95008 Tel: 408 879 6666
East Coast: Frank Lascari (frank@husonusa.com), 350 5th Avenue, Suite 2719, New York NY 10018 Tel: 212 268 3344
Represented in Japan by Shinano International: Kazuhiko Tanaka, Akasaka Kyowa Bldg, 1-6-14 Akasaka, Minato-Ku, Tokyo 107-0052
Tel: +81(0)3 3584 6420
New Electronics Tel: 01322 221144 Fax: 01322 221188 www.newelectronics.co.uk email: ne@findlay.co.uk

ISSN 0047-9624
New Electronics, incorporating Electronic Equipment News and Electronics News, is published twice monthly by Findlay Publications Ltd, Hawley Mill, Hawley Road, Dartford, Kent, DA2 7TJ
Copyright 2006 Findlay Publications. Annual subscription (22 issues) for readers in the UK is £105, overseas is £160, and airmail is £196.
Composition by Wyndeham Argent, 32 Paul St, London, EC2A 4DB. Printed in England by Wyndeham Heron Ltd, Heybridge, CM9 4NW.

Moving on? If you change jobs or your company moves, please contact
circulation@findlay.co.uk to continue receiving your free copy of New Electronics.

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 5
IMAGING EXPANSION FRONT PAGE NEWS

Imaging investment
$10m expansion plan at UK imaging design centre. Graham Pitcher reports.

M icron Europe is planning a


$10million expansion of its
Bracknell based Imaging Design Cen-
pool in the UK and Europe is impres-
sive,” said Dr David Burrows, director of
the Imaging Centre, “and we are already
in security, automotive, medical, note-
book computer and digital camera
applications.”
tre, which is tasked to develop the com- recruiting engineers with experience in The company is currently building
pany’s foundation IP. These building systems design, cad, software IP devel- image sensors using 1.75um pixels.
blocks are used in image sensors manu- opment, analogue, mixed signal and dig- Amongst these is the first 8Mpixel
factured in the US and Italy. ital ic design, layout and verification.” image sensor in a 1/2.5in optical for-
The investment will result in more Mark Durcan, Micron’s coo, pic- mat. However, it is also working
design engineers and increased labora- tured, added: “Our Bracknell facility is towards 1.4µm pixels, for higher qual-
tory facilities, design tools and informa- a major asset in creating high quality ity images from space constrained
tion systems infrastructure. “The talent sensors aimed at fast growing markets devices such as camera phones.

Media processor WiMAX chipset


for handhelds Bridging the gaps demo’d in Hong Kong
As part of its corporate desire to address In a parallel to the development of North and South bridges in the Intel has completed the design of its first
non desktop, non workstation applica- pc world, Cypress has unveiled the West Bridge – a move aimed at mobile WiMAX baseband chip, pairing
tions, 3DLABS has announced the helping embedded systems builders to keep pace with interface it with a previously announced multi-
DMS-02. Aimed at handheld devices, standards. band WiMAX/WiFi radio to create the
the media processor is capable of Devices in the West Bridge family will act as companion chips to WiMAX Connection 2300 chipset.
H.264 video playback in 720p high embedded cpus, off loading data intensive operations and reducing This chipset was demonstrated last
definition format. power consumption along the way. week at the 3G World Congress in
“Our engineering teams were asked At the heart of the family is proprietary technology. SLIM – the Hong Kong by executive vice president
to deliver a breakthrough in handheld Simultaneous Link to Independent Media – manages multiple Sean Maloney. “WiMAX Connection
media processing and the DMS-02 dedicated paths between peripherals, memory and processor to 2300 will help speed the deployment of
shows we have achieved just that,” said support maximum data throughput. SLIM also supports data mobile WiMAX and accelerate the
Hock Leow, 3DLABS’ president. “The transfer between peripherals without the host processor being availability of a new wave of ‘personal
ability to play back a full 720p resolu- involved. broadband’ laptops,” he claimed.
tion H.264 video on your hdtv from a The first member of the family, called Antioch, is intended to The baseband chip features multi-
portable device consuming less than provide a direct path from High Speed USB 2.0 interfaces to mass ple input/multiple output (MIMO)
1W is an incredible achievement.” storage devices in mobile handsets . functionality to enhance signal quality
The DMS-02, manufactured on a and data throughput and the chipset
130nm process by an undisclosed part- brings Intel a step closer to an inte-
ner, incorporates 24 floating point grated wireless SoC. This, it claims, will
processing elements – arranged in Cores for celebration! added four Diamond Standard VDO help drive WiMAX adoption by max-
three clusters of eight – dual ARM processor engines to its portfolio. The imising useable space in mobile devices.
926EJ cores running at 200MHz, Tensilica has unveiled the seventh gen- video subsystems are programmable to
multilevel caches and three bidirec- eration of its Xtensa configurable support most popular video codecs,
tional video stream ports. processors in the shape of the LX2 and including H.264 Main Profile, VC-1 Class D for flat screens
Nick Murphy, vp technology, said Xtensa 7 cores. Both feature architec- Main Profile and Mpeg4 Advanced
the coarse granularity of the architecture tural enhancements which reduce Simple Profile. Roddy noted: “It’s an Cirrus Logic has unveiled the CS4525,
gave a good trade off between power and power consumption by up to 30%. opportune time to develop a core that a single chip Class D amplifier for flat
cost. “Although the DMS-02 has three Steve Roddy, vp of marketing, said chip manufacturers can integrate.” panel digital tvs.
media processor clusters, you can have the developments were ‘all about Based on a 24bit multibit Delta
as many clusters as you want.” power’. “The cores are aimed at devices Sigma architecture, the CS4525 fea-
Each processing element can also do where ultra low power consumption is tures a dynamic range of 100dB and a
ram accesses, a feature which the com- important. We’ve learned what the patent pending thermal warning and
pany says is ‘essential’ for 3d graphics. market needs. fold back technology, which scales back
Meanwhile, parent Creative Tech- Amongst the upgrades are: enhanced output levels automatically if internal
nologies is to spin 3DLABs off into a configuration choices, reduced execution chip temperatures produce excessive
separate entity. “Creative has approved speculation; and an optional wider heat.
the spin off and it’s the best way for- instruction fetch buffer. • For more on the CS4525, see the arti-
ward,” Leow believed. Meanwhile, the company has cle on p26 of this issue.

6 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
80 dB CMRR @ 50 kHz, all in 15 mm2.
With instrumentation amps, analog is everywhere.

AD8250/AD8251: Programmable gain Unmatched speed and accuracy at the lowest power
instrumentation amps (PGIA) consumption—just 3.5 mA
• Digital gain settings: By delivering an unprecedented combination of 12 mHz bandwidth
• G = 1, 2, 5, 10 (AD8250) and outstanding dc precision, Analog Devices’ AD8250/AD8251
• G = 1, 2, 4, 8 (AD8251) programmable gain in-amps eliminate the need for compromise
• 3.5 mA supply current at 50 kHz, in instrumentation designs. With the industry’s lowest power
75% lower than other offerings consumption and smallest footprint of any PGIA, these devices
• Slew rate: 20 V/ s improve performance, allowing for greater channel densities.
• Settling time: 0.5 s to 0.01% The AD8250 and AD8251 leverage our innovative iCMOS™ (industrial
• Gain drift: 10 ppm°C CMOS) process technology to enable fast, accurate measurement
• Input offset drift: 1 V/°C and robust signal conditioning over
• Input offset: 100 V SINGLE CHIP COMPETITOR: wide voltage ranges. These PGIAs are
• Price: $4.95 @ 1k 112mm2
tailored to operate with high performance
MULTICHIP SOLUTION:
For instrumentation applications ~80mm2
PulSAR® ADCs, such as the AD7621,
AD7671, AD7685, and AD7946, as well
• Data acquisition
• Biomedical analysis AD8250:
90%as the ADR431 and ADR435 voltage
15mm2 SMALLER references and ADG1209 multiplexer.
• Test and measurement PACKAGE
SIZE
• Industrial process control
The AD8250/AD8251 are available in a 10-lead MSOP.

www.analog.com/PGIA-AD825x-EU

Tel: +44 (0)1932 358 530 Fax: +32 (0)11 300 635
©2006 Analog Devices, Inc. Product and company names listed are trademarks or trade names of their respective owners.
ULTRATHIN CHIPS US NEWS

SiGe process matches

Cracking idea III-V potential


Intel said at IEDM that a silicon ger-
manium rf SoC it has manufactured on
a 65nm cmos process matches the per-
formance potential of III-V materials.
German research centre outlines ultrathin chip process. Paul Dempsey reports. The architecture, based on Intel’s
high performance logic process dis-
closed at IEDM last year, features uni-
axial strain, a gate length of 29nm and

T he Institute for Microelectronics


Stuttgart (IMS CHIPS) proposed a
new approach to ultrathin chip assem-
Chip thickness is defined in preprocess-
ing by forming buried cavities beneath
wafer surfaces within the chip areas.
wafer flat alignment is used. Subsequent
steps are common to both options.
During cmos stages, trenches are
a 210nm pitch.
For nmos transistors, the device
had a cutoff frequency of 360GHz and
bly and fabrication at this week’s Inter- The next steps involve annealing at etched to free the chips, leaving anchor maximum oscillation of 420GHz. For
national Electron Devices meeting in 1100°C for 30min and epitaxial film points at corners or sides. The anchors pmos transistors, the cutoff was
San Francisco. It hopes the work will deposition at 1100°C in silicon keep the chips attached to the substrate 238GHz and maximum oscillation was
spur greater interest in low cost alterna- hydrochloride at 760Torr. during final wafer handling, but allow 295GHz.
tives to complex SoC design, such as IMS CHIPS has two ways of follow- devices to be cracked off and transferred “To make the process suitable for rf
system in package. ing this recipe. After using the first, direct with conventional pick and place tools. SoC applications, 1.8V thick gate tran-
The Pick, Crack & Place (PC&P)* photolithographic alignment can be * A Seamless Ultra Thin Chip sistors, precision poly resistors, varac-
technique avoids risks to yield posed by used, notwithstanding difficulties in pho- Fabrication and Assembly Process. Proc. tors and inductors were added to the
process steps such as wafer grinding. toresist spin on. For the second, global IEDM 2006 baseline process,” said Intel’s paper*.
For the varactors, Intel settled on
‘nmos in nwell’ technology as offering
Manufacturing the highest quality and highest ratio of
maximum to minimum capacitance.
technique set Its engineers also noted that a differen-
tial varactor layout delivered an 80%
to cut led costs improvement in its quality measure-
ment over the single ended alternative.
Matsushita and the Nagoya Institute of * A 65nm CMOS SOC Technology
Technology have unveiled a low cost Featuring Strained Silicon Transistors for
manufacturing technique for blue/ RF Applications. Proc. IEDM 2006.
white gallium nitride based leds.
GaN led manufacture has, until
now, required the use of expensive sili- The walls come
con carbide or sapphire substrates. Pre-
vious attempts to use silicon substrates down on nanotubes
have yielded poor quality photonic crys-
tals and the substrates themselves have Manufacturing problems have held
absorbed much of the diodes’ output. back the commercialisation of carbon
Matsushita’s approach* patterns nanotubes in electronics applications.
photonic crystals directly on silicon But a team from the University of Cal-
substrates and a 70% leap in perfor- ifornia at Berkeley and Lawrence
mance is claimed. The process begins Berkeley National Laboratory has
with the formation of two dimensional described a technique capable of fabri-
periodic arrays of patterned grooves, cating nanotubes with progressively
with pitch from 0.8µm to 1.6µm, on a
seed substrate. This is followed by
Pump up the quality less and less defects.
It starts by applying a preselected
metal organic chemical vapour deposi- voltage to a multi walled (typically four
tion of GaN for epitaxial growth. Meet the radio that is literally programmed according to your make walled) nanotube. This step causes the
InGaN multiple quantum well and model of car. JVC’s KD-S100 incorporates a new dsp technique outer two walls to break away. The
active layers are then grown and, after that aims to replicate the sound that booms out over a studio’s thinner nanotube is then bombarded
a highly reflective p-type electrode has speakers – even in a Ford Focus. by a high powered electron beam,
formed on the surface, the wafer is Freescale’s dsps are given car specific Digital Power Station software which pushes out carbon atoms, creates
bonded onto a thermally conductive developed by veteran sound engineer Tony Bongiovi (second cousin vacancies and introduces other defects.
silicon substrate using tin-silver solder. to a certain Jon). Using this software, the radio can be programmed However, subsequent heating of the
Finally, the seed substrate is chem- specifically for each car model, with more than 120 points of nanotube with a predetermined cur-
ically etched away and a Ti/Pt/Au elec- adjustment. According to Bongiovi, the software is so precise that a rent ‘repairs’ many of these.
trode for an n-type ohmic contact is hatchback Ford Focus has a different tuning to a regular model. The process can be repeated, each
formed. Now on sale in the US, this ‘toy’ will set you back by up to $1000 time resulting in narrower and more
* Highly Efficient GaN based LEDs with and should reach Europe early in 2007. ‘perfect’ material and the UCB-LBNL
Photonic Crystals Replicated from Pat- team has produced tubes of less than
terned Si Substrates. Proc. IEDM 2006. 1nm in diameter in this way.

8 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
POWERLINE NETWORKING TECHNOLOGY NEWS

Plugging in
Powerline silicon debut. Graham Pitcher reports.

S iConnect has launched the


PLT050, its first digital powerline
transceiver chip, and claims interest has
noted: “It’s built to go on the end of an
adsl link to do basic communications
around the home.”
already been expressed by cable and The microcontroller based PLT050
satellite equipment manufacturers. embeds SiConnect’s POEM technology
Designed to support adsl speeds, to deliver digital modem, baseband sig-
the PLT050 can handle throughputs of nal and control processing functions.
14Mbit/s. The chip will handle stan- When used in conjunction with a
dard definition tv in ‘triple play’ appli- bidirectional analogue/digital interface
cations, home theatre and ic, a simple coupler circuit, an industry chip requires minimal external compo- based on the PLT050 will appear in Q2
uncompressed audio distribution as standard PHY (Ethernet, USB or serial) nents to create a complete powerline of 2007. “More than likely, these will
well as VoIP and pc networking. and a 512kbit eeprom for system transceiver solution. be straightforward Ethernet adaptors,”
Robert Stead, vp of marketing, firmware and configuration upload, the Stead is confident that products he added.

Marvell unveils Spin off raises €12m


XScale devices Satellite tv breakthrough Fabless display manufacturer Liquavista,
which span off from Philips Research
Following its acquisition of Intel’s Zetex is claiming a technical breakthrough in the development of a earlier this year, has raised €12million
communications and application 4:2 IF switch aimed at satellite tv applications. from a consortium including Amadeus,
processor business, Marvell has The ZXHF5000 boasts 30dB of channel isolation at frequencies of GIMV and New Venture Partners.
brought the third generation of the up to 2GHz. In addition, says the company, by adding 19dB of Liquavista has developed an elec-
XScale architecture to market. gain, the part avoids the 7dB insertion loss found in passive switch trowetting technology for mobile device
Three members of the PXA3xx solutions. This combination of high isolation and positive gain has, display screens. Electrowetting addresses
family have been unveiled – the 300, until now, proven too difficult to overcome. two main problems with lcds: poor read-
310 and 320. The first processor in the Geoff Stokes, senior development engineer, said the main difficulty was ability in bright lighting; and high power
family to ship in volume will be the in achieving isolation with integrated gain. “It’s affected by your choice consumption.
1.2GHz Marvell PXA320, which is of package and pin layout. With 20dB of gain and 30dB of crosstalk Amadeus director Hermann
scalable to 806MHz. Meanwhile, the isolation, you need at least 50dB and that’s a design challenge.” Hauser said: “The next big thing in dis-
PXA300 and 310 are scalable to Richard Robinson, Zetex’ DBS marketing manager, noted the chip play technology has to address poor vis-
624MHz. The 300 is optimised for was replacing four discrete parts – a switch, two cable driver amps ibility and power consumption.
handheld devices, whilst the 310 sup- and a threshold detector. Liquavista’s technology solves these
ports 30frame/s H.264 playback at vga problems while maintaining video rate
resolution. performance.”

10 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
NXP– the new company
born out of Philips
Semiconductors
With all the energy of a new beginning,
fuelled by 50 years of wisdom, NXP
Semi-conductors is ready to answer
all your questions – especially the
impossible ones.
Driven by the open mind and the
curiosity of a newborn, NXP is already
leading the world of vibrant media
technologies with billions of dollars
invested in research, a heritage of
25,000 patents and numerous state-
of-the-art solutions in the most
demanding semiconductor sectors.
So, to discover how you can change
the world with your next innovations
go to www.what-if-you-could.com.

What if you could

What if you could


become a new you?
DISTRIBUTION NEWS

Europe needs to

Attracting attention ‘control its destiny’


Addressing the organisation’s recent
forum, MEDEA+ chairman Arthur van
der Poel said Europe’s electronics indus-
try needed to ‘get its act together’ if it
was to remain in control of its destiny.
Watch out for Asian interest in Europe, says afdec. Graham Pitcher reports. “European R&D in nanoelectron-
ics today is at world class level,” he said,
“but if Europe wants to be in control

U K and European distributors


should beware of Asia, according
to Adam Fletcher, chairman of distribu-
will be forced to improve their global
footprint. That will bring some acquisi-
tion activity that we haven’t thought
tributor with interests in Europe could
be a relatively easy target.
Meanwhile, afdec expects to see the
of its own destiny, then Europe has to
continue to fight for high quality R&D
on European soil.” van der Poel
tion body afdec. Presenting afdec’s latest about before.” market available to distribution compa- believes Europe’s nanoelectronics
forecast for the sector, Fletcher claimed Aubrey Dunford, afdec’s market nies (dtam) to grow over the next cou- industry is ready to face this challenge.
a challenge for the future would be what analyst, added: “If Asian distributors do ple of years as manufacturers continue With the MEDEA+ programme
Asian distributors want to do in Europe. show interest in Europe, it’s more likely to move away from direct sales. In ending in 2008, preparations for a suc-
He said: “In the last five to seven they’ll start in Germany. The UK isn’t 2007, the dtam is predicted to reach cessor are said to be well on track, with
years, demand in Asia has grown. But as likely to be the number one priority.” £1.137billion, around 30% of the total a white paper outlining the future due
China begins to slow, Asian distributors However, he added that a ‘plc style’ dis- market for electronic components. to be published in the middle of next
year. The new programme, he added,
will have the double tasks of securing
high quality R&D capabilities and

Getting the can infrastructures in Europe as well as pro-


viding technologies and solutions to
address society needs affordably.
QinetiQ is working with Crown van der Poel highlighted the break-
Holdings to adapt its Omni-ID throughs made by the programme in the
Pak rfid technology so that rfid last few years. Since its establishment in
tags can be integrated in metal 2001, some 18,000 person years have
packaging. been invested in 75 projects, of which 44
Less than 1mm thick, the Omni- have ended successfully. These include
ID structure collects and focuses work in: automotive electronics, wireless
rf energy and enables efficient communications, smart cards, extreme
coupling to the chip. The can UV lithography, and 65 and 90nm
would serve as the antenna, process technology. The latter project,
simplifying production of the rfid van der Poel noted, has given the indus-
tag and further reducing costs. try ‘impetus and enthusiasm to collabo-
rate on 45nm technology.

12 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
Bright ideas
from Pacer...
Looking for opto solutions?

We can help - whether you need:


● a broad range of optoelectronic components, systems
and displays from a flexible, knowledgeable source
● customised opto components or displays to meet your
exact requirements
● a full design and production service - complete project
management, taking your product concept expertly
through to full production
Whichever solution you’re looking for, let us help.
Our opto and laser specialists can offer you free, expert
technical advice and help you find your most cost-effective
opto solutions.

Send us an outline of your opto design problem at design@pacer.co.uk


and we will contact you with a solution. For more information, request
our ‘Bright Ideas’ opto solutions pack.

Pacer
3-4 Horseshoe Park · Pangbourne · Reading · Berkshire RG8 7JW
Tel +44 (0)118 9845280 · Fax +44 (0)118 9845425
Web www.pacer.co.uk · Email design@pacer.co.uk

BLACK YELLOW MAGENTA CYAN 91NEL0615109.pgs 04.12.2006 12:09


Replication revolutionary
A
Which came first; the decade or so ago, the concept of the home ing, at least to the 1870s, when it featured in
office was revolutionary, but the web and Samuel Butler’s novel Erewhon.
chicken or the egg? How broadband have made it a perfectly ordi- One of the first practical steps towards self repli-
nary lifestyle. But what about a home factory: a cating machines took place in the 1950s, with an
self replicating machines production line in your sitting room capable of extraordinary project initiated by scientist Lionel
manufacturing lots of different products, virtually Penrose and his son Roger – then a schoolboy, lat-
for free? Surely that is a pipedream? terly, one of the UK’s most brilliant scientists. They
are becoming reality. Not if Adrian Bowyer’s plans come to fruition. built a system of wooden cut outs, in several
The senior lecturer in mechanical engineering at shapes, which could fit together into compound
By David Boothroyd. Bath University’s Centre for Biomimetics is lead- parts. Placed in a tray and shaken, they would
ing a project called RepRap – the Replicating assemble into specific patterns, which would also
Rapid Prototyper. repeat over ‘generations’. Effectively, they had built
The extraordinary idea underlying RepRap is a mechanical self reproducing system.
self replication. A rapid prototyper can build But the man regarded as the most brilliant fig-
many different objects. So why not make one that ure in the entire field of self reproducing
can build a copy of itself? If you can do that once, machines – and, arguably, in the whole of com-
you can do it again and again. Result: the cost falls puting – is John von Neumann. Whilst he did
to virtually nothing – just the raw materials – and most of his research in 1940s, it wasn’t published
RepRap machines become available to millions. until after his death in 1966 in his book ‘Theory
If it works, it will be the best application yet of Self Reproducing Automata’.
of one of the most beguiling ideas in the whole of In it, he describes a Universal Constructor; a
technology: self replicating machines. self replicating machine in a cellular automaton
The idea of a machine that can copy itself goes environment (like that in John Conway’s game of
back way before the days of electronic comput- Life). Essentially, von Neumann demonstrated the

14 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
SELF REPLICATING MACHINES COVER STORY

fundamental logical required for self reproduc- attached to each other by magnets, which can be
tion. His constructor has 29 possible states, allow- energised or not. Called ‘molecubes’, they can
ing signals to be sent and logical operations to be rotate and manipulate other cubes in their sur-
carried out. A ‘tape’ of cells encodes the sequence roundings, and by doing that produce a copy of the
of actions to be performed by the machine. Using original tower. The results have been intriguing.
a writing head, the machine can generate (by Bowyer calls this kind of work ‘pure self repro-
printing) a new pattern of cells, allowing it to ducing machines’, because the aim is to create sys-

Courtesy of Bath University


make a complete copy of itself – and the tape. tems that can make exact copies of themselves
Von Neumann knew simpler forms of self with no human intervention, apart from initial
reproduction were possible, such as crystals copy- provision of raw materials. He is not so interested
ing themselves. But he was looking for a deeper in that approach because it fails to distinguish
form of self reproduction that might be closer to between the inherent abilities and drawbacks of
true biology – or ‘open ended’ evolution – which human beings and machines. Main picture:
could enable biological levels of complexity to People, he says, find it very difficult to carve a Adrian Bowyer: “I want to
emerge. His genius was to realise that open ended block of plastic to an accuracy of 0.1mm, which produce machines that do
evolution needed both a constructor and, distinct is easy for a computer. Putting carved pieces what they’re good at, whilst
from it, its own description, which must be together – particularly if they can only fit one way we help by doing what we’re
copied separately. This was remarkable, because it – is easy for us, much harder for a machine. good at. What that means is
came before Crick and Watson’s discovery of how “I want to produce machines that do what making a machine that can
nature does it using DNA. Open ended evolution they’re good at, whilst we help by doing what make all its parts. Then a
emerges because errors in copying the description we’re good at. What that means is making a person can put them together.”
– mutations – generate variations which can then machine that can make all its parts. Then a per-
evolve via natural selection. son can put them together.”
After von Neumann, much of the research Clearly, making machines that do nothing but
into self reproducing machines was done in cel- copy themselves would be pointless. So the aim is
lular automata – software based models in which to create machines with a self reproducing capacity,
self reproduction took place and Conway’s Life is but which can also make all sorts of other devices,
the most famous of these. from coat hooks to cat flaps – almost anything is
Donald MacLellan

“These bypass many of the problems of phys- possible within the size limitations of the systems
ical reality because you’re dealing with what is under development, currently a 300mm cube.

Courtesy of Bath University


basically a mathematical model inside a com- The other key element of his strategy is to give Above: Future developments
puter,” Bowyer says. away the RepRap for free – the whole system’s could include using the
More recently, researchers like Matt Moses at electronic design, software, everything needed to RepRap to make moulds and,
the University of New Mexico and Hod Lipson build it, will be available from the web. The logic from them, produce anything
from Cornell University, have made progress of this is that if you create a system that can copy that is mouldable, with
towards real, physical self replicating machines. itself and anyone can have one, you have the materials like epoxy, concrete,
Moses’ system consisted of Lego like bricks made potential for an exponential increase in the num- plaster of Paris or ceramics.
of polyurethane resin which were used to make a bers of such systems being produced and a simi-
three axis manipulator. This was subsequently lar fall in cost, of both the self reproducing
shown to be capable of assembling a duplicate of machines and the objects they can make.
itself. However, the machine cannot fabricate its It may sound absurdly generous, but the eco-
own plastic components and must be controlled by nomics are driven by logic – once a system can
an external entity. To be fully self replicating, copy itself (with a bit of help) it costs very little,
admits Moses, the device would have to control its other than the raw materials to produce unlimited
own actions autonomously and possess the instruc- numbers of it, which makes its monetary value
DreamWorks

tions necessary for carrying out its duplication. approach zero.


Lipson, meanwhile, made a tower of cubes “It is potentially extremely wealth creating but

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 15
SELF REPLICATING MACHINES COVER STORY

melting point alloys, enabling RepRap systems to


deal with electrical conductors and hence produce
a combined electrical and mechanical object.
“These second stage machines will have a depo-
sition head in them that works directly on the alloy,
so you will be able to produce electrical circuitry.
And people are already working to develop inkjet

Courtesy of Bath University


printers that can print semiconductors on plastic
sheets. Once RepRap machines are established,
there is nothing to stop them creating a semicon-
ductor print head.”
But even with plastic only out-
put, Bowyer believes the
potential is huge. “I cite plas-
tic coat hooks as an example of
Above: Although aimed itself is worth nothing,” what could be produced. It
initially at plastic parts, Bowyer says. However, there sounds completely trivial, but
second generation machines is also a moral dimension, in an economist has told me the
will be able to produce that he did not want such a worldwide market for them is
electrical circuitry. And future system to be under the con- much larger than for massive
machines may be able to trol of any individual, com- objects like gas turbines.”
reproduce semiconductors. pany, or government. “If you Clearly, it will require a
Right: In September, a RepRap have a powerful technology, a good way to make change in mind set for us to think about making
machine, developed in Vienna bad things happen is for only some people to have our own small plastic objects. But if it takes off
by Vik Olliver, succeeded in access to it.” and RepRap machines cost almost nothing – and
producing the first part for itself One requirement being asked of anyone build- the crucial requirement for that is their capacity
(circled in red). ing a RepRap is that they make two machines for for self reproducing – it could happen. People
other people. will then simply download designs for objects
The team has set itself a deadline for distrib- from the web or create new ones themselves
Below: John Conway’s uting the first machines by 2008, but Bowyer is using free 3d modelling software, ‘print’ them
Game of Life is one of the quietly confident they can beat this. He is not in out and the home factory is born.
most famous examples of complete control of the project – different teams The self replication concept can apply not
cellular automata – software of people are working on prototypes worldwide, only to the RepRap machines, but also to the raw
based models that support self some of whom he has never met. Most recently, materials. In future, Bowyer is hoping to be able
reproduction. in September, a RepRap machine developed in to use a polymer called polylactic acid, which can
Vienna by Vik Olliver, succeeded in producing be made by fermentation from starch using pota-
the first part for itself (see http://staff.bath. toes or maize.
ac.uk/ensab/replicator/). “If you have a few tens of square metres of
First generation machines will produce only ground, you can have a supply of raw material that
plastic products, but the team is already planning copies itself. And of course, the RepRap can also
a second generation device that will handle low make a fermenter. Also the plastic is fully
biodegradable, so it can go on a compost heap, and
the result is you have immediately a local recycling
route. That makes it extremely benign ecologically.”
Further developments could include using the
RepRap to make moulds, and from them produce
anything that is mouldable, with materials like
epoxy, concrete, plaster of Paris or ceramics.
For some observers, there are two Holy Grails
of future technology and they both involve self
replication: physical machines of some kind that
can copy themselves; and software programs that
can learn and create better versions of themselves.
Why are these so significant? Because once you
reach these points, there will be an exponential
increase in what is achieved – and we can sit back
and watch.

16 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
Cleaner design is good design.
Save money, resources and the environment.
For free practical advice on how to save money go to www.envirowise.gov.uk/cleanerdesign

Cleaner design concepts enable manufacturers to use less material, minimise packaging,
reduce energy use and eliminate pollution and waste.

Think clean. Design cleaner.


STAMP OUT WASTE
APPLICATIONS SPECIAL SPECIAL REPORT

Vicor modules enable a novel glider


using battery powered electrical
propulsion to take to the air!
By Vanessa Knivett.

Closer to the birds


G
liding is the closest we come to ates from a 288V supply. The battery sys- into two sections: the auto ranging mains
free flight. But to enjoy this tem has to provide enough power for five front end; and the power section, with
freedom, gliders need to gain minutes of operation, which translates the dc/dc converters.
height in order to reach a thermal. This into a battery capacity of 11kWh. During a charging cycle, the power
requires a winch or a tow. The glider uses Li-ion batteries which section generates substantial heat. The
Auxiliary propulsion systems make it require tightly controlled operating con- motor control circuitry generates sub-
possible to get gliders off the ground ditions to deliver a consistent, reliable stantial heat during powered flight, but
unaided. A conventional solution uses output. To offer maximum capacity, the since motor and charging electronics are
combustion motors, but their perform- cells must be operated between 20 and not used at the same time, they can share
ance decreases with altitude, so they must 40°C, so temperature sensing and cell the same heat sink, reducing weight.
be oversized and this imposes weight, heating had to be implemented. The The battery array consists of 72 Li-ion
heat and noise burdens. charging process involves: voltage moni- cells connected in series. The batteries
German glider company Lange toring and selective discharging until all operate from 2.7 to 4V, so the circuitry
Flugzeugbau, which wanted to add an cells have the same voltage; heating until has to deliver a charge voltage ranging
electric engine for self powered takeoff the desired operating temperature is from 194 to 288V – determined using a
and climb, has used Vicor modules in the reached; and battery array charging until cell voltage measurement performed at
Antares 20E, the first glider to receive the the total voltage reaches 288V. regular intervals by the charge controller.
European Aviation Safety Agency’s type Since weight has a direct impact on The charge controller derives a trim
certification for an electrical propulsion performance, all components had to be voltage from this measurement and
system. optimised. Commercially available charg- delivers it to the dc/dc converters so
By integrating the battery charging ers were too heavy, so a charging subsys- charge voltage is updated in relation to
circuitry inside the plane, Lange has pro- tem had to be developed. This essentially battery status. The controller also limits
duced a self reliant electrically powered consists of a mains front end and a high the trim voltage so the converters cannot
glider that can make long distance flights voltage, high power dc/dc converter with deliver more than 290V, protecting
and be recharged at any airfield. The need programmable output voltage. Space and against overcharging. As it is only used
for good aerodynamic performance, how- weight limitations meant the circuits had on the ground, no redundancy is needed.
ever, imposes strict weight limits, partic- to offer high efficiency, as a major factor The efficiency and lightweight design
ularly on batteries and charging circuitry. was whether heat sinks would be needed. of Vicor’s modules means the charging
One of the challenges was the integra- Vicor was the only manufacturer that unit weighs 6kg, including cabling, free-
tion of the battery charging subsystem. could deliver modules that were suffi- ing more weight for valuable payload.
This had to be self reliant in order to ciently lightweight, yet met the efficiency, The unit consumes approximately
enable long distance flights. The motor controllability and volume specifications. 1.7kW and requires nine hours to fully
has a nominal power of 42kW and oper- The charging subsystem is divided recharge the glider’s batteries.

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 19
APPLICATIONS SPECIAL SPECIAL REPORT

DSPs enable diagnostics


Fixed and floating point dsps features a low breakeven point. This is
said to make MRI affordable for those
enable a new breed of mri, medical facilities with a reduced MRI
case load.
ultrasound and ecg equipment. Suitable for any clinical environment,
By Vanessa Knivett. G-scan represents a new way of looking at
extremity MRI, providing new opportu-
nities to a larger range of patients who can
families were used and TI tools, such as benefit from a wider availability to MRI.
Code Composer Studio IDE, emulators Imaging of the spine in a natural,
and simulators, eased the design process. weight bearing standing position is now
“TI offers a broad, compatible prod- possible with the G-scan.
uct portfolio that enabled us to develop Esaote’s new cost effective ECG prod-
several very different devices using a vari- uct line can function as standalone units
ety of dsps, but with the same tools and or as part of larger, high performance
development environment,” said Marco networks that allow cardiologists to inte-
Brusacà, Ultrasound R&D manager at grate and manage data from multiple
Esaote. “This was fundamental to our diagnostic applications.
success because it permitted us to lever- The new ECGs can be connected to
age industry best performance, power other units or linked to a pc to expand
consumption and price where needed, archiving and processing functions and to
whilst simplifying the development provide maximum connectivity. They can
cycle, allowing us to focus on the new be also be linked with low cost pc print-
applications and features that truly dif- ers and communication modules, allow-
ferentiate our product lines.” ing them to compete in cost sensitive
Esaote’s MyLab XVision series of high applications, such as low cost 12 channel
tech ultrasound systems is based on digi- electrocardiography and telemedicine.
tal platforms powered by TI dsps. The “Esaote is tapping into the perform-

A
leading designer of medical diag- XVision products provide high resolution ance, power and price points of our
nostic systems has used Texas and feature sophisticated technologies, fixed- and floating point dsps to create
Instruments’ digital signal pro- including the XStrain advanced cardiac cutting edge medical diagnostic equip-
cessing technology to create a line of next technique for myocardial function evalu- ment that is available to everyone,” said
generation diagnostic products, including ation, the Virtual Navigator tracking sys- Cyril Clocher, DSP Catalog business
ultrasounds, magnetic resonance imagers tem for interventional imaging and the development manager EMEA at Texas
(MRIs) and electrocardiograms (ECGs). 4D advanced technology for detailed Instruments. “We look forward to work-
Esaote’s new medical devices feature obstetric and general purpose evaluations. ing with them in the future as they fur-
state of the art functionality and mobil- The modular systems are compact to ther push the boundaries of healthcare
ity at prices that are likely to bring these ensure portability and include such inno- information systems.”
tools to a wider range of patients and vative features as tilting lcd monitors. In addition to dsps, Esaote’s products
healthcare workers. Diagnostic performance and maneuver- leverage TI’s analogue signal chain and
Using TI’s fixed and floating point ability allow them to be used in a wide power management technology. For
dsps, Esaote has been able to build its range of hospital applications and they example, the OPA364 precision 1.8V
platforms around devices that act as sig- are also suited for mobile services. operational amplifier and the ADS8320
nal processors and as controllers for the G-scan, Esaote’s open and tilting MRI low power 16bit a/d converter are opti-
board functions. The TMS320C5x, system for musculoskeletal applications, mised for low voltage, battery operated
TMS320C67x and TMS320C64x dsp is a diagnostic tool that is easy to use and systems.

20 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
Energy-saving inverter designs:
50% less cost, size and time.

Fairchild offers online motor design tools.

Integrated power modules simplify your designs


Smart Power Modules (SPM™) are just what you need to Our SPM series includes solutions for consumer
dramatically improve the performance/cost ratio of variable and industrial inverter designs, as well as options
speed designs. Available for motor ratings from 50W to for switched reluctance and PFC.
7.5kW, every SPM includes:
If you prefer to build your own drive with discrete
• An integrated drive and protection solution built with our components, all the building blocks inside our
leading power components SPM, including IGBTs, HVICs and MOSFETs are
• Fairchild’s combined power and motion design expertise also available for your motion power path.

• Best-in-class packaging technology that reduces board If energy and cost savings are your problem,
space while providing excellent thermal performance Fairchild has your solution.

SPM Motor For more motor design information, including


Description online design tools and application notes, visit
Series Ratings
Motion-SPM 50W to 7.5kW 3-phase IGBT or MOSFET inverter www.fairchildsemi.com/motor
SRM-SPM 2kW Single-phase asymmetric bridge
1kW to 3kW Partial switching converter module
PFC-SPM
3kW to 6kW Power Factor Correction (PFC) module

problem solved www.fairchildsemi.com


A Portfolio That Knocks You Over...
...The Linecard of EBV Elektronik

Sponsored by
EBV Elektronik UK-Wiltshire, SN4 8SY | & +44 (0) 1793 849 933 | www.ebv.com
APPLICATIONS SPECIAL SPECIAL REPORT

Life saver! RF chipset aids avalanche rescue.


By Graham Pitcher.

A
valanches are a constant danger explains Andreas Ehrensperger, dsp appli- mitted by the Nordic nRF905 on either
to skiers and when they happen, cations engineer at Ascom. “This was in the 868 kHz (in Europe) or 915 kHz (in
it’s important that rescuers get line with the objective to make the 210g, the US) bands. This allows rescuers to
to those affected as quickly as possible. personal organiser sized device the small- prioritise their efforts to reach those vic-
The primary objective of an avalanche est and lightest avalanche transceiver to tims that are still alive in the vital first 15
rescue mission is to get to the right spot use both analogue (acoustic signal) and to 20 minutes after an avalanche.
easily and quickly, because the chances of digital technology on the market. The PULSE Barryvox is the first bea-
survival diminish after 15 to 20 minutes. “The nRF905 operates at a low volt- con that can detect and transmit vital
In order to meet such demanding age and has low power consumption, signs. It has an lcd that shows distance
requirements, rescuers need a device they making it well suited to battery applica- and direction (360°), leading rescuers
can rely upon to operate easily, read tions,” continues Ehrensperger. quickly to buried survivors. An overview
clearly and understand unambiguously. “Nordic’s products offer excellent of all detected signals including their
Swiss electronics manufacturer cost/performance and are reliable. We vital status is given. An analogue mode
Ascom has just developed such a device ; have used many Nordic chips over the with an amplified acoustic speaker is
the PULSE Barryvox. The product uses years and have been impressed by their built in. The challenge to date has been
Nordic Semiconductor’s nRF905 multi- field reliability. And as a multiband that most devices require some expertise
band transceiver as part of the system device, the nRF905 can operate on both – not to mention a cool head – to oper-
used to transmit the vital signs data of a frequencies we intend to use – 868MHz ate effectively.
buried person to the searching device. in Europe and 915MHz in the US.” Extensive use of dsp technology and
The nRF905 transmits on either the Each member of a ski, snowboard or specially optimised algorithms that pre-
European 868 or US 915MHz Indus- climbing party carries a Barryvox device cisely separate signals and accurately
trial, Scientific and Medical bands. The that transmits a continuous signal. If an associate them with each unique source,
Barryvox device uses a patent pending avalanche buries one or more members, search speed and reliability in multiple
dual band antenna that allows the wire- other members of the group switch their burial situations is significantly
less link to operate on both frequency transceivers from transmit to receive enhanced.
bands with optimum impedance match- mode so their unit scans for rescue bea- The detection and transmission of
ing for the strongest possible signal con signals from the devices carried by vital data is made using a very sensitive
response. users buried under the snow. motion sensor and W-Link (Wireless
“We selected Nordic’s nRF905 The receiving unit can detect multi- Link), allowing effective pre-excavation
because the chip is very compact and ple signals on the 457kHz frequency, triage (prioritized rescue) thus increasing
needs only few additional components,” together with a vital signs signal trans- the chances of survival.

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 23
APPLICATIONS SPECIAL SPECIAL REPORT

A
compact ‘through the wall’ Compact radar sensing device allows system achieves this by maintaining a
radar sensing device from memory of background signals and
Cambridge Consultants allows the position and movement of observing changes above and beyond
security and emergency service personnel that database.
to evaluate the position and movement
people in rooms and buildings to be Prism 200 will monitor several sub-
of people in rooms and buildings. determined. By Graham Pitcher. jects and track movement, even in diffi-
Prism 200, which is the size of a brief- cult environments such as offices, with
case and can be carried and used with one their angular surfaces and metal objects
hand, features three advances that make the angle of arrival of echo signal returns which can act like a ‘hall of mirrors’ to a
through wall radar technology a practical accurately, yielding information that normal radar. Cambridge Consultants’
proposition: a battery powered portable provides both horizontal and vertical advanced signal processing software is
radar device; smart radar signal process- data so that 3d positions can be deter- designed to distinguish moving people
ing that senses human movement and mined. UWB technology is also said to from these reflections, distilling vital
position – even in environments with make the system good at resolving the information that can help observers to
many reflecting surfaces; and meaningful distance of objects. determine the real situation inside a
data presentation in forms to suit the user, Fast digital signal processing is per- building, and what is the best position
including plan, elevation or 3d views. formed on the echo results to distinguish and time for a tactical entry.
“To commercialise this technology, between objects which are static, such as The position of each subject is clearly
we’ve talked to the spectrum of potential furniture, and objects that move. The indicated on the screen and people can be
tracked as they move, to build informa-

Who’s there?
tion on behaviour and room layout. Users
can choose to see this information in plan,
side elevation or 3d views, or all three
simultaneously. When using the 3d view,
the user’s viewpoint can also be rotated.
The radar antennas inside are arranged
in such a way that prism 200 has a wide
field of view: 120° horizontally and 90°
users and spent more than a year vertically. The radar also has four user
refining the hardware and creat- selectable ranges of up to 20m.
ing a man machine interface prism 200 can be held against a wall
that provides critical intelli- or mounted on a tripod in a ‘stand
gence in an intuitive form”, off’ position. The radar uses ultraw-
says Alan Wiltshire, product ide band (UWB) radar pulses that
manager. “The result is a pass easily through standard
‘switch on and go’ unit that building materials including
can deliver high level loca- bricks, blocks, concrete, tim-
tion information that helps ber, plaster and tiles. The radi-
tip the balance in favour of ated power is very low and the
security operatives in a unit is inherently safe to use.
broad range of dangerous or The ergonomics of the fin-
time critical situations.” ished radar unit have been a
Prism is an ‘impulse key focus of the development
radar’, which operates by process. The packaged sys-
transmitting a very short tem, which measures 210 x
pulse and then listening for 300 x 450mm and weighs
echoes from objects that the 5.4 kg, includes a lithium-
pulse bounces off. The sys- ion battery pack that pro-
tem operates at 100Hz using vides power for more than
ultra wide band principles, three hours of continuous
in the 2GHz region of the use. It can be used almost instantly:
spectrum. the embedded system displays
An array of antennas results within two seconds of the
allows the system to measure device being powered up.

24 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
T Digital design know how helps
he fact that it’s a ‘wiggly world’ out audio people have
there means data converters are an got into ‘specman-
essential part of any system that consumer electronics manufacturers ship’. There are
wants to process information in the dig- applications where
ital domain. And there are many systems target digital tv deficiencies. performance is
that take advantage of technology such as By Graham Pitcher. important, but
digital signal processing to improve their more often, what a
performance. manufacturer is
However, once that processing has most worried
taken place, there’s often the need to designers to route an external master about is the cus-
return data to the ‘real’ world. And that clock across their pcb. Instead, they can tomer, who will
requires digital to analogue conversion. lock on to the incoming left right clock select a product
This technique is found in a number of signal (LRCK), rather than the video based on specs.”
applications, but one of the most clock or other high speed clock sources. He believes that manufacturers have
demanding is, surprisingly, audio. This reduces interference and clock jitter been pushing on such parameters as
Jason Rhode is vice president and sensitivity. In stand alone mode, the mas- dynamic range and distortion in the last
general manager of Cirrus Logic’s mixed ter clock runs at 256 times the LRCK fre- few years ‘largely due to perception’.
signal and audio division. He said: quency when the CS4350 is running in “From a distortion point of view,” he
“Audio, in general, means frequency. single and doublespeed mode. When in continued, “there’s not a speaker that’s
You can use general purpose or indus- quad speed mode, the master clock is better than 80dB of total harmonic dis-
trial devices, but you really need to use 128 times LRCK. tortion, but people are now insist-
specialist parts when it comes to audio.” The device operates in single speed ing upon distortion figures of

Sounds good!
There’s a number of reasons for this, he mode at sample rates from 30 to 54kHz,
notes. One of them is the number of in double speed mode from 60 to
standards which need to be supported. 108kHz and in quad speed mode from
“We have to support sampling rates all 120 to 216kHz.
the way from 32kHz to 192kHz and Rhode said: “This on chip pll is good
that’s non continuous.” But there’s one for emi and means the customer doesn’t
other reason why special parts need to be need a high speed master clock elsewhere
used in the audio world. “Fussy cus- in the system.”
tomers,” Rhode explained. Rhode noted another benefit of the
One of Cirrus’ latest offerings for the master pll. “A lot of systems today
audio sector is the CS4350 which the stream audio over usb. This uses a
company says is ‘a complete stereo audio master clock of 12 or 24MHz and
converter noteworthy for its superior those frequencies don’t relate to
audio quality and ease of design’. audio sampling rates; 48kHz is not
The CS4350 features an on chip an even multiple, so you have to
phase locked loop (pll) based master generate this.”
clock that supports 24bit resolution and Rhode points out another
sampling rates of up to 192kHz. It also interesting development
has a dynamic range of 108dB. within the audio world.
By integrating the pll in the CS4350, “Somewhere along the
Cirrus has taken away the need for way, the consumer

26 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
DIGITAL TECHNIQUES RESEARCH & DEVELOPMENT

more than working until they get there,” Rhode when you start talking about digital
100dB. That’s claimed. pwm,” Rhode observed, “it’s all about
good for us, “The thing that separates good design discrete time steps, so there’s a transition
because we’re engineers and great ones,” he continued, that results related to the input signal
a high per- “is faith. “They ask ‘what do you want frequency.”
formance sup- the spec to be?’ and they get it done.” Rhode says this is addressed using
plier and we Another example of faith, in Rhode’s delta-sigma modulation. “We take a low
typically target opinion, is the CS4525 Class D ampli- frequency (48kHz, 24bit) audio input
higher end sys- fier. “The faith here is the ability to put and run it through a digital delta-sigma
tems.” more than 90dB into a switching stage. modulator. This produces a 4 or 5bit
The device is That’s hard and you have to think a lot
based on the about sync and similar issues.”
delta-sigma architecture. “It’s a switched The CS4525 is aimed squarely at the
current design,” Rhode noted. “It’s sur- rapidly expanding flat panel digital tv
prising that a system that can achieve market. But, said Rhode, digital tvs
100dB thd is based on a delta-sigma don’t always have the best sound. So he
architecture.” sees a great opportunity for product dif-
One reason why Cirrus has been able ferentiation by small and large manu-
to achieve this figure is the availability of facturers alike.
better design tools. “Simulation is an Allowing this differentiation, the
example,” Rhode noted. “These CS4525 integrates a stereo a/d converter,
tools can tell you if you have a a sample rate converter, digital audio
problem, but there can be digital processor and a complete 30W Class D
interference and there’s no simula-
tion package that does that.
Whilst there has been a huge leap “Somewhere along the way, the consumer audio people have got into
in the capability of the tools, they
don’t capture everything.” ‘specmanship’. There are applications where performance is important,
And that means per-
formance comes down to but, more often, a manufacturer is most worried about the customer.”
design expertise. “What
lets you hit 105dB instead Jason Rhode, Cirrus Logic
of 90dB is having smart
people who keep
amplifier, including the controller and word at a higher sample rate, say
power stage. The device also supports 384kHz. We can then ‘turn’ this word on
incoming analogue and digital audio sig- its side and use each word to generate the
nals, and its power stage is efficient pulse width. That needs some heavy duty
enough to remove the need for a heat mathematics within the algorithm.”
sink in system designs. The CS4525 also features a patent
Component size is an important fac- pending thermal warning and fold back
tor in the flat screen tv world. Whilst technology, developed to cope with dif-
the devices have a large area, they invari- ferent compression levels amongst audio
ably are thin. And, with the electronics sources. When the volume gets turned
located behind the flat panel, mounting up too high and the chip starts running
height is becoming a differentiator. “But hot, this technique scales back output
thermal performance is even more levels automatically. This is said to be
important,” said Rhode, “and the 4525 particularly important for flat panel tvs,
is the first part where we’ve done a lot of which generate significant amounts of
finite element analysis. If you’re deliver- heat within slim form factors.
ing 30W at 85% efficiency, that’s a lot “With digital tv,” Rhode concluded,
of power.” “the focus is absolutely on video and peo-
The part also takes advantage of pulse ple are very tolerant of audio quality.
width modulation technology. “But We’re looking to change that.”

N e w E l e c t r o n i c s w w w. n e o n . c o . u k 1 2 D e c e m b e r 2 0 0 6 27
28 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
SIGNAL PROCESSING INTRA DESIGN

Analogue
outdoes digital
Signal processing the analogue way. By Roy Rubenstein.

D
igital may get much of the tasks include complex manipulations This can be an issue with foundries
attention but, for certain signal such as phase shifting as well as log and wanting to see 100 or more wafer starts
processing tasks, analogue has antilog conversions. a year – equivalent to 300,000 analogue
virtues that are unmatched. Speed, com- Applications using asp tend to be low chips,
pact layouts and extreme low power can power, low noise. “We do lots of circuits A way around the problem is multi
all be achieved on an analogue design for radio – handhelds and radio links project wafers (MPW), where compa-
implemented in a deep sub micron between equipment,” says Prof Saul. nies split the cost of a production run –
process. Sensors are another; for example, cir- and the resulting volumes – by placing
“We designed an analogue 90° audio cuits that measure capacitance changes their designs on one wafer. Saul Research
phase shifter – a Hilbert Transformer,” due to MEMS movement. “Such appli- has used MPW provider Europractice
says Professor Peter Saul, partner and cations are for battery powered, portable extensively. “It has been an enormous
cofounder of Saul Research. “Just after equipment put in the field and left for help. I think we are its biggest UK indus-
that, an article appeared describing a dsp months.” trial user when measured by numbers of
version. The [dsp] spec was marginally designs placed, and about third overall,”
better, but the power consumption was Fab choices says Prof Saul. “We also use more varied
1000 times greater.” For a company undertaking an asp ic processes than anyone else.”
Saul Research is a family firm that design, choice of foundry is a key deci- Foundries offer cmos processes with
happens to be a fabless design house. Its sion. Even getting fab access can be an feature sizes ranging from 0.7µm to
services range from feasibility studies to issue if the design run involves prototype 90nm. For digital, smaller mostly means
delivered prototype analogue signal pro- numbers only. Another issue is selecting better, but for analogue, voltage head-
cessing (asp) ics. “Most of our customers the right process, given the wide choice. room becomes an issue with process
come along with a question as to Europe has three main cmos shrink.
whether their chip can be made at all, or foundries: austriamicrosystems; X-Fab; The rule of thumb regarding the safe
economically,” says Saul. “We start with and AMIS. There are also specialist firms limit is 10V per micron of minimum
the customer’s idea, then turn it into a such as Zarlink offering a bipolar process dimension. “A 0.35µm process is
prototype.” process, and IHP for silicon germanium. good for 3.5V, usually translated as
ASP refers to complex circuits where Saul Research has designed products 3.3V, while 0.18µm is only good for a
the signal passes through several ana- using several foundries. “As a small busi- 1.8V supply,” says Prof Saul. Whilst
logue stages. Just as with dsp, the signal ness, we have to have access to a logic circuits can operate close to the
is transformed or characterised. For asp, foundry’s design data without being able voltage rails, analogue circuits need
signal filtering is common while other to promise a very large order,” he says. enough voltage to operate a differential

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 29
SIGNAL PROCESSING INTRA DESIGN

favours analogue designs that use resis- resistors matched well, such that value
tors and capacitors. “We have put down errors averaged out overall. Once the cell
many hundreds of megohms on some was crafted, 256 were laid out to form
chips; single resistors of 200Mohm are the 8bit design,
no problem on the right processes and The result is a small area, 100mV d/a
have been highly reproducible.” converter – equating to 0.4mV per bit –
The alternative approach avoids pas- with a standby current of 100nA. “No
sives, using FETs instead. This allows one thought a 1mV per bit d/a converter
designers to embrace leading edge was possible,” says Prof Saul. The con-
process dimensions. “At least one or two verter, which has a tiny power consump-
process generations ahead of us,” says tion, gives a dc output without taking
Prof Saul. But the approach brings current. Given the 2.5V reference volt-
design risks. One is supply voltage rejec- age, that equates to 250nW.
tion – greater power supply ripple can As for Saul’s Hilbert Transformer cir-
appear at the circuit’s output; another is cuit, it is a purer asp example. The cir-
Although Saul Research’s analogue 90° component value variation. “All FET cuit was developed for a single sideband
audio phase shifter has slightly less designs really only make sense for the in generator and receiver circuit. One
performance than a digital equivalent, its house design groups,” he says. application is for direct conversion of an
power consumption is 1000 times less. rf signal. Another use is for a medical
Nano converter design. “The company had a medical
Prof Saul cites the company’s recent 8bit device and wanted to develop a hand-
pair or current tail. “That means the d/a converter design for QinetiQ to held version, hence the requirement for
voltage swing is around 1.4V [for a illustrate asp design issues. “The idea low power,” says Prof Saul. The chip
0.18µm process] – not much for some was to use well known digital cells, yet takes 120µA at 3.3V.
applications,” he says. its output is clearly analogue,” he says. Prof Saul believes the use of digital
Circuits can be designed using a The converter was a proof of concept cells as analogue building blocks will be
smaller voltage swing, but performance design to show that a 0.35µm process an area that designers will increasingly
is compromised. “We are designing could deliver extremely low power con- explore. “An inverter makes a fine broad-
3.3V devices in the 0.35µm regions of a sumption and a sub mV bit size. The band, high gain amplifier if the dc con-
0.18µm process,” says Prof Saul. “This design involved adding an analogue ditions are right – and that might take
gives us 3.3V supply, 0.18µm process switch to the converter’s resistor chain. only one resistor,” he says.
compatibility and, surprisingly, better all “It just needed some transmission gates Similarly, rf switches can be formed
round performance than we would get – similar to 4x inverters – and resistors from minimally changed logic gates. For
with either.” to be added to the digital components,” example, a 4x gate can give good enough
The designer’s preferred approach says Prof Saul. performance for some applications,
also affects process choice. Prof Saul Another aspect was ensuring the while using very small chip area, and
rapid layout. “Layout time is always an
issue with analogue; there are few stan-
Figure 1: A d/a converter bit resistor output dard circuit blocks available,” he says.
Y address chain voltage
A further analogue cmos design issue
is interfaces. “With the right process,
50V or more is possible, or currents of
M3 M4 M5 say 0.5A,” says Prof Saul. These need
M8 R1
careful design to stay within the foundry
design rules, but it can be done.
Meanwhile, asp opportunities will
continue to be the centre of low voltage
M2 designs as cmos processes shrink, he says.
M7 This will include signal conditioning,
M6
such as low noise amplifiers and filtering
M1 in the analogue domain, as well as deci-
sion circuitry. “We are talking low noise,
X address resistor carry low power designs,” Prof Saul concludes,
“down to the nanoamps.”

30 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
Guess what’s new in...

Amplicon Industrial Computing

The Amplicon industrial computer range has been improved and expanded:
Ventrix-W: This new 4U SBC-based workstation range boasts a sliding keyboard with
integrated trackball and a flat multi-media 7" TFT LCD, making this ideal for IP video applications.

Impact-E: These embedded, compact and fanless rugged computers provide the performance
necessary for high speed and intensive tasks. The Impact-E is ideally suited for use in mission
critical 24/7 environments.

Amplicon’s full range includes; industrial computers, rackmount PC, panel PC/HMI, rugged mobile
computing, displays, PCI expansion, industrial motherboards, mini ITX, embedded computers and
rack cabinets.

Guess who you should call for industrial computing...

+44 (0)1273 570220 www.amplicon.co.uk



     
 







  
  
  

  
 

   

   
   
(


 



 
  




 


 

  


   

   

 


   
 

 


  )



*
  

  
*
 

 


    
   


 
  

 
  
 
 
 
 

 



!

"#


   
 
!$  
% 

 


 

& 
! 
'    


      !
 
'  

 

 
 

 "#$%&'()&*&+,*&+
WIRELESS SUPPLEMENT INTER DESIGN

The M2M market is starting to


boom, say market researchers.
If only people could agree on what
M2M is. By Nick Flaherty.

M
2M varies, depending who
you talk to, from connecting
up machines with a simple
Bluetooth wireless link in an electronic
point of sale (EPOS) or industrial system,
to linking equipment across the wide area
with GSM, GPRS or EDGE datalinks, to
3G links carrying video for cctv.
Are these really M2M? “If I have a cam-
era linked to a cctv system that monitors
the cameras and decides what it is going to
display, that’s M2M,” said Doug Gill-
mour, sales and marketing director of lead-
ing airtime supplier for M2M systems
Mobius Networks.
The M2M market is growing through
simple applications such as utility meters,
but also through more complex systems,
such as tracking the locations of trucks in
a fleet. “More than 300million utility
meters and 250m vehicles, plus more than
50m other devices could, potentially, be
connected to mobile networks in a not too
distant future,” says the latest report from
Swedish market researchers Berg Insight.
“The largest deployments already involve
up to half a million devices.”
for latency as much as possible and send

The need to talk


data out as fast as possible.”
Liverpool based Brainboxes has been
moving in this direction for a while with a
range of products that use Bluetooth as a
cable replacement technology.
“The biggest advantage is having
machines able to communicate via the
The market growth is coming from the optimised for M2M systems. “With internet,” said Stephen Evans, managing
fact that more companies now understand M2M, there are different requirements for director. “If you are a large company with
what is needed, but is challenged by sup- industrial applications with technologies lots of machines out on site, you can aggre-
pliers who put systems together ad hoc such as Bluetooth, such as latency and gate the information for fault finding and
without understanding the issues, particu- synchronisation,” said Markus Roemer, maintenance. At the moment, we are con-
larly scalability and security, says Gillmour. applications engineer at National Semi- necting either to the service engineer or
“A lot of people are making money out of conductor. “There are synchronisation connecting back to a wider network with
selling M2M as a concept,” he said. issues in collecting data from different RS232 and RS485 links via Bluetooth. If
“There’s a huge amount of ‘smoke and sensors. Real time is not that important, you look at the GPRS or CDMA modems,
mirrors’ and unnecessary complications.” but the time stamp or synchronised trans- they often have a serial connection on
It is important the parts used are also mission is. We try to optimise our devices them, so an engineer can connect.”

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 33
WIRELESS SUPPLEMENT INTER DESIGN

M2M supplier Comtech has put solidated billing across all SIM cards on
together a complete end to end system one account, rather than having unused
with the connection software and database airtime on each card. The access point is
that can be easily modified for different running at around 6% capacity and the
applications. “We have doubled our M2M company is already planning to upgrade
business in the last 12 months,” said Barry the link to Vodafone in February.
Lowe, managing director. “It has become Higher bandwidth 3G technology is
much more of a business model sell than a also starting to appear in M2M links.
technical sell. We have to help people “Over the next year, we will see a lot more
prove the business model quickly at mini- dual GPRS and 3G applications,” said
mum cost and help them with selling the Gillmour. “It’s not brilliant, and it’s expen-
sive compared to GPRS, but it’s good
to the stage where we are not managing the enough for quite a lot of applications.
machine on its own, but combining it with What we have not had is a viable
the procedures such as the supply chain 3G/GPRS embedded engine – we have
and invoicing systems.” PCMCIA cards, but that’s just not the
Comtech has recently rolled out 2500 same as an embedded engine.”
systems for Camelot for the National Lot- “It’s not going to change the market for
tery, and is working with lotteries in other GPRS, as there are lots of applications that
countries, as well as wirelessly linked and are small amounts of data,” said White-
controlled video posters for promoting the head. “We are starting to see video for cctv
new Nintendo Wii games console. and video posters where you need that
The airtime connection requirements kind of bandwidth. But 3G coverage is
for M2M are also quite different. “Three limited to the cities and you need the sys-
years ago, we went to Vodafone to buy air- tems to work the same way across the
time, and they didn’t have a route to mar- country.”
ket for their data products,” said Gillmour. Security is important, says Gillmour. “I
“We quickly found the dynamics of air- know of one guy who put together a sys-
time are quite different from hardware, so tem using public IP addresses and he was
we spun off Mobius Networks as a Voda- shut down by a hacker just to see what
“The biggest advantage is having fone preferred distributor and service
provider. The bulk of our customers are
would happen.” Mobius works with hard-
ware sister company Spectre and with the
machines able to communicate EPOS and transport.”
Mobius supplies fixed, private IP, rather
payment bureaux and banks through
another sister company Secure Etail. “That
via the internet.” than the dynamically assigned, public IP provides a loose alliance that does save the
addresses that come from the operators customer time,” he said. “The key thing is
Stephen Evans, Brainboxes with an ordinary PCMCIA modem and the difference between something that
SIM card. “The beauty is it becomes part works on the bench and producing some-
of the LAN over a virtual private network thing that works in the tens and hundreds
idea internally – they need a system they – Vodafone won’t give you that service,” he of thousands of units.”
can see and touch.” said. All the IP addresses go through the There are issues of scalability. “The
It has combined its µWEAVE database Mobius access point, which also voice operator’s view is if a SIM doesn’t
software with the GPRS modules that go allows con- work, they send a new one out the next
into the equipment. Now, it is link- day, and that’s good service. But if a fleet
ing the database to the customer of 100 trucks has a failure rate of 5%, that’s
applications. “Data and alarms are five trucks off the road.”
handled out of the database, with But is M2M even the right expression?
automated notification and web “M2M is nonsense because no one makes
based reporting tools, but now we M2M kit,” says Gillmour. “I think M2M
are delivering the data to applica- provides a cover for people ... to create a
tions, bridging the data across the sense of fear, uncertainty and doubt
embedded systems and IT for back among customers. There’s a lot of kit out
office systems,” said Steve White- there that hasn’t been used in a high vol-
head, technical director. “It has got ume roll out.”

34 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
 
      

     


  ! "#$

 
  


High power density 550 kHz, 1.6 MHz, or 3 MHz frequency


switching regulator options allow small passives

VIN VOUT
(Down to 0.6V)
(3V to 5.5V) Up to 2A

IN SW
Microcontroller,
Lower power FPGA,
P-FET ASIC,
On
Memory,
Off EN CPLD,
Digital logic
FB
PGND
Internal compensation
simplifies design

Ultra-low 30 nA Cycle-by-cycle current limit


standby current for short circuit protection



 
96
 
  94
  


 92




  

 90

   
88
86


 
   
 
 84

  
   
 82

80
0 0.5 1 1.5
 
 
   
   
    

     

  
 
     
 


   
    





 
   !"

#

WIRELESS SUPPLEMENT INTER DESIGN

“T
he strategy from the start was
to supply personal area net-
working (PAN) and I was
convinced there would be tens of wireless
devices in every home.” CSR has been
leading the way with its single chip Blue-
tooth device and James Collier, chief
It’s taken a while, but
technology officer and company founder, personal area networking
is seeing his dream in 1998 coming true.
PAN technology is expanding from the is finding a wide range
almost ubiquitous Bluetooth link in a bil-
lion mobile phones, to a low cost, low
of applications.
power version called WiBree, to low By Nick Flaherty.
power, network oriented Zigbee technol-
ogy, to contact based, short range Near
Field Communication (NFC) and to var-
ious versions of high speed ultrawideband
(UWB) that will provide wireless USB
links and the next generation of Bluetooth.
PAN is defined by the peer to peer
connections and so rules out the 802.11
standard wireless local area networking,
but there is an explosion in the different
technologies coming though.
WiBree is a low power version of
Bluetooth that aims to do for PAN what
Zigbee has done for control and home
automation – provide a low power, low
cost wireless link.

Let’s get personal


“WiBree is basically Bluetooth that’s
been optimised in the radio for power
saving; the protocol is Bluetooth like, but
much smaller and less secure. It’s really
product oriented Zigbee and will go in
remote controls and toys,” said Eric Jan-
son, CSR’s senior vice president of sales
and applications engineering. But the said Scott Biband, vice president and gen- designed for cable replacement applica-
value is that it will integrate easily into eral manager of the wireless PAN group tions, and the first is certified Wireless
existing Bluetooth chips. at Broadcom. “But I think WiBree is a USB (WUSB) with dongles and hubs.
“Bluetooth in particular is the first good move – you need something that is Then we will see the pc ecosystem deploy
high volume wireless PAN technology,” lower power and can run off coin cells for the technology into digital cameras,
sensors. There’s not a lot of overlap with external hard drives, personal media
Bluetooth.” Samples are expected in the players (PMP) and these kinds of
second half of next year, with production devices,” said Eric Rosser, vice president
in the first quarter of 2008 from CSR, of sales and marketing at UWB startup
and in Q2 from Broadcom. Staccato Communications. “But we are
High speed UWB is emerging from also seeing interest in the handsets to
three angles – as wireless USB to con- connect to a pc and those other periph-
nect peripherals to the pc through the erals, as well as the set top box connect-
WiMedia specification; as the next gen- ing to a personal media player to
eration of Bluetooth (sometimes called download video content. “In the short
Bluetooth 3); and to link equipment term, you will see these connections by
together in the living room. USB but at the end of 2007, it will be
“UWB with WiMedia has been Bluetooth over UWB.”

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 37
WIRELESS SUPPLEMENT INTER DESIGN

Broadcom is aiming at the 6GHz your phone to your laptop, and they use
band, sampling a two chip set in the mid- NFC to exchange configuration data,
dle of 2007 and moving to a single chip then Bluetooth takes over,” said
in volume in 2009. “This gives the Francesco Prato, business development
opportunity to create an open standard manager at NXP. But the lack of a stan-
around the world,” said Biband. dard is holding this back.
But the set up issues for WUSB are “Right now. the plan is for NFC to
vital and are potentially holding back the roll out commercially in Q1 2008 at the
market, as there is still a mode where you latest,” he said. “It depends on the mar-
use a cable to connect peripherals the ket and the [mobile phone and ticket sys-
first time they are used. tem] operators, as we are talking about
“We learnt a lot from Bluetooth and integrating this with the SIM card and
WiFi, where you can include the security. flash in the phone. We can’t get com-
But people won’t always turn it on,” said mercial deployment before there is an
Rosser. “WUSB is a standard for pairing interface standard to the SIM and there
and it’s always encrypted. It has an out of is an ETSI standards group working on
band key exchange, either by plugging in that. We expect it will come to market
“WiBree is … really product a cable or by numeric key comparison,
where you put in a number [on one ter-
quicker in the US with CDMA as that
does not use a SIM card.”
oriented Zigbee and will go in minal] and if the two numbers match,
that generates the key. And the keys can
NXP is already integrating the NFC
technology with its own in house Blue-
remote controls and toys.” be permanent, temporary or one time.” tooth development. “We have our own
“Setup is the issue with every single combo chip, but Bluetooth is not neces-
Eric Janson, CSR wireless technology,” said Janson. “Radio sarily number one in the market,” he said.
is, by its nature, promiscuous and will All of this is happening now. “We
run wild if you let it and the [WUSB] should see certified WUSB products in
standard is not ready for what is required January in the US and Japan and we
by the market.” expect Korea and Europe to make a deci-
NFC aims to make all these things sion in December, so they should be avail-
simpler to use. Instead of having to able in January,” said Rosser. “Bluetooth 3
search for a device to pair with and set up will be in the 6 to 8GHz band, so we hope
the link, the connection can be formed to have a dual band, single die with mul-
by touching a phone onto a printer or pc tiple protocols and automatic setting.”
and then Bluetooth or UWB can take There are also moves to implement
over to transfer the data. 60GHz technologies for links between
NXP Semiconductor sees this as the high definition set top boxes and screens,
next step for its MiFare contactless smart- promoted by the WirelessHD (WiHD)
card technology, already used in systems alliance. But this is being done with
around the world, adding peer to peer UWB at 6GHz, says Bruce Watkins,
capability and host and slave capability so chief operating officer of Pulse-Link.
that a phone can also be a smart tag reader. Will all these technologies cause con-
The value is low power – the protocol “Hold two phones together, or put fusion in the market? Most people don’t
is the most power efficient per bit, even think so – it’s about different technologies
though the system runs at 3.8GHz or at for different application areas. “Frankly,
6GHz. Transferring a 1Gbyte file can in the next decade, there will be no ‘win-
take just a few seconds, using less total ner’,” said Janson. “But there will be more
power, compared to 20minutes for a combination chips – Bluetooth and
Bluetooth transfer. UWB, Bluetooth and WiBree, Bluetooth
“We are going to do UWB Bluetooth and NFC, Zigbee and something else.”
in cmos, initially in the sub 5GHz band, “Consumers don’t care what UWB,
because that’s where the mobile terminals WiHD, WiMedia, 802.15.3c or what-
will connect,” said Janson. “We are con- ever else comes along means – until one
vinced we can do it without interfering becomes ubiquitous,” Watkins con-
with mobile phones.” cluded.

38 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
New WaveRunner® X i
Oscilloscopes
with WaveScan

Performance Elevated
Great performance, large display, and small footprint – the
WaveRunner Xi again shatters the conventions of bench
oscilloscope design. Now available from 400 MHz to 2 GHz,
it offers sample rates of 10 GS/s, LeCroy’s new WaveScan
search & analysis and WaveStream fast viewing mode,
I2C/SPI/CAN and Mixed Signal options and so much more.

Visit www.lecroy.com/europe/WRXi and learn more.


New WaveScan
Search & Analysis Tool
locates problems faster.
Phone 01235-533114
www.lecroy.co.uk
Industry is going wireless. But how are the various technologies being used? By Antony Adshead.

C
able is on the retreat. In almost from a few kbit/s on GSM networks to a details are shown on a geographical
every data application, one form few Mbit/s with 3G. In many applica- information system.
of wireless networking or another tions, sheer bandwidth is not necessary Elsewhere, industrial and medical
is supplanting copper and fibre, whether and effective monitoring and control gases supplier Cryoservice has connected
in the last few metres with personal area networks have been built which capi- 30 of its delivery and engineering staff to
networks or in the ‘last mile’ with WiMax. talise on mobile networks’ ability to back end applications using O2’s GPRS
The key technology areas – mobile cover wide areas of the countryside. network and XDA II PDAs supplied by
phone networks, wireless lan and short British Waterways, for example, has Handheld PCs. This allows real time
range, low bandwidth methods of trans- used Vodafone’s GPRS network to mon- tracking of deliveries and work assign-
mission such as Zigbee – are becoming itor flow rates, pumps and water levels on ments, proof of delivery and stock control
pervasive. So, what levels of adoption are 2000 miles of rivers and canals. Where via flyingSpark field services software
they achieving and what are their chief previously staff had to manually check which is also linked to satnav on the PDA.
uses in industry? often remote locations, data is now Neil Grimshaw, CryoService’s finan-
Mobile ’phone based remote moni- polled in seconds using sensors con- cial controller, says: “By automating
toring and control benefits from wide nected to modems which transmit to the many of the tasks the engineers previ-
areas of coverage and bandwidth ranging organisation’s SCADA centres where key ously had to do manually, such as

40 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
WIRELESS SUPPLEMENT INTER DESIGN

timesheet recording and reporting back over tens of miles. In a few cases, entire ideally suited to low data rate and long
to head office, the solution has created a cities have achieved WiMax coverage. battery life. Bluetooth, for example, has
20% to 30% time saving.” Another new kid on the wireless block a battery life of 100hr, whilst Zigbee has
Wireless lan technologies come into is Zigbee. Based on the IEEE 802.15.4 battery life of one or two years. Installa-
their own when the requirement is for standard, access to the specifications is tion costs are quite low compared to
less range, but more bandwidth. And the controlled by the Zigbee Alliance. The key wired technologies where you are dealing
technology has seen high rates of adop- benefits are cheapness, simplicity and long with many units.”
tion in warehouse applications. battery life when compared with similar Zigbee is particularly suited to building
Angelo Lamme, EMEA wireless prod- personal area network technologies, such automation and to control and monitor-
uct manager for Symbol, points out the as Bluetooth. Transmission range is up to ing applications in industrial, medical and
benefits of WiFi to industrial users. 75m, bandwidth is up to 250kbit/s and residential environments. A lot of atten-
“Wireless networking can be, and is being, nodes can be arranged in star, peer to peer tion is being placed on development of
used in any industry where mobility is or mesh topologies. The ability for Zigbee Zigbee based wireless light switches, blind
essential to the business,” he says. “Spe- units to form mesh networks is seen as a and window shade controls, thermostats,
cific industries are suited to the use of key advantage, because that configuration home security devices, consumer electron-
wireless. For example, it is much easier to can reroute should one node go down. ics remote controls and medical sensors.
realise the benefits of wireless networking Although it’s early days, there are
if you operate in the transportation and some industrial Zigbee implementations.
logistics, manufacturing, or retail sectors, In Turkey, for example, a Hugo Boss tex-
where WiFi can be used to trace goods and tiles plant has automated its stock con-
maintain control over inventory with a trol and production monitoring using
very clear return on investment. These RC2200 Zigbee modules from Norwe-
sectors have seen the largest increase in gian Radiocrafts. Zigbee modules –
uptake of wireless lan technology.” which have a form factor of 16.5 x
One user of WiFi in the warehouse is 35.6mm – are attached to batches of
Shrewsbury based pressing manufacturer clothing and fixed nodes at sewing and
Stadco, where voice activated warehous- pressing machines register their presence
ing systems are used for a Jaguar contract. at that stage of production. Management
Instead of keyboard or barcode driven can track order status and productivity,
systems, it uses Voiteq voice control sys- whilst operators can receive instructions
DebutArt: Barry Downard

tems in which a wireless control proces- instantly. Plans are afoot


sor is worn on a waist belt, connected to to capture maintenance
headphone and microphone. Instruc- and quality data for dis-
tions generated by manufacturing and play on large panels in
warehousing software direct the operator production areas.
and ask for a response, for example, Expectations for Zig- In general, though,
telling the operator to go to location X to bee are high. Market Zigbee has yet to gain
collect a stillage, then prompting a research company Har- traction in the main-
response to confirm that action. bor Research says that, stream, says John Cor-
Group systems manager David Lloyd by 2008, there will bett, sales director with
said the system produced near perfect 100million wireless sen- systems supplier Ember.
accuracy and slashed operator working sors in use, up from about 200,000 today. “It has been gaining ground in the past
times. “It is amazingly accurate,” he says. The worldwide market for wireless sensor year or two, but we are still in the early
“It takes 15 to 20 minutes to train opera- networks, it says, will grow from $100m adoption phase. Many people are still sit-
tors in the system and it is then 99.9% in 2005 to more than $1billion by 2009. ting on the fence to see how things go.”
accurate. In any stock control system, the Although expectations are high, we Lucido thinks many will be getting
keyword is accuracy. The only way this are still at the early stages of adoption, off the fence soon. “In one or two years,
can fail is if the operator puts in the wrong says Tony Lucido, VP of marketing with we’ll see a plethora of Zigbee compliant
information, but even then everything is fabless semiconductor company Jennic, products coming to market and be sur-
recorded so there is an audit trail.” which develops Zigbee microcontrollers. prised by the range – from domestic con-
Building on WiFi is Wimax, or IEEE “Last year was the year of technical trol of heating, lights and burglar alarms
802.16. At the early stages of adoption, it evaluation; this is the year of product to industrial locations with 1000 nodes
offers the potential to replace copper in the development,” he says. “Zigbee’s primary using the same underlying technology,”
last mile and to support up to 75Mbit/s advantage is that it is standards based and he says.

N e w E l e c t r o n i c s w w w. n e o n . c o . u k 1 2 D e c e m b e r 2 0 0 6 41
ADVERTISEMENT FEATURE

The path to
low risk wireless
F
rom concept to design, industry approval to manufacture, upgrading firmware, fault reporting and stock management are
Spectre has an enviable reputation as the leading wireless well understood.
distributor in the UK and Ireland. Whether your product By using these “off the shelf” standards such as GPRS, TCP/IP
needs hardware and software integration at chip level, module and back office software, a Spectre customer can quickly be in
integration or end product connection, Spectre provides the widest communication with their products in the next room or around the
possible wireless product range available. world.
Open standards such as Bluetooth™, ZigBee™, TCP/IP, WLAN, Working with its sister company, Mobius Networks - Vodafone’s
GSM/GPRS and 3G are now available “off the shelf”. preferred data distributor – means that systems can be robust,
Spectre has built up its expertise in this area taking communi- have dual redundancy and be truly bi-directional with fixed
cations to the Industrial, Instrumentation, Medical, EPOS, Security IP/Peer to Peer. The only air time provider qualified to BS EN ISO
and Gaming markets. The advantages of remote diagnostics, 9001:2000, our customer service is the best in the industry.

FOR MORE INFORMATION PLEASE EMAIL WIRELESS@SPECTRE-ONLINE.CO.UK.

Real life GSM/GPRS M2M applications -


The rise of the machine
he rise of Machine to Machine (M2M) data comms via the ITT Flygt Datalogger/Telemetry Outstation
T extensive GPRS network is now becoming easier and quicker to
implement, thanks to an impressive range of industrial GPRS
IP65 Ultra low-power data logger/telemetry outstations
Uses alkaline batteries that power the unit for up to 7 years
modules available off the shelf, Designed for monitoring small I/O in harsh conditions when
Security and Datalogging are two of the best known applications mains power is not readily available
for M2M via the GPRS network, and two successful projects Sold into a wide range of applications in the utilities and
supported by Spectre are highlighted below. industrial markets

Guardall Security System GSM Module Find out today how Spectre can
Enables alarm reporting and help you integrate industry stan-
uploading/downloading dard communications into your
Can be connected onto the PX/QX bus product, and open up a whole new
that allows easy installation and set up world of opportunity. Email
Can be used over any network wireless@spectre-online.co.uk for
Offers additional option of SMS more information.
messaging for alarms
Compatible with all networks THE RISE OF THE MACHINE IS HERE. MAKE IT WORK FOR YOU.

THE Two-Way Radio Processor


B ased on 37 years of two-way radio IC design
experience, CML presents ‘one-for-all’ radio-chip
providing a comprehensive feature-set as standard, along
Function Image™ may be later provided to supplement and
enhance device functions, expanding or modifying end-prod-
uct features without the need for expensive and time-consum-
with a roadmap of function enhancements available through ing design changes. The benefit is a significant reduction in
CML’s FirmASIC™ component technology. time-to-market along with commercial advantages over
Adoption of the CMX7031 on a manufacturer’s radio Custom ASIC, Structured ASIC, FPGA and DSP solutions.
platform enables multi-standard radios to be conceived in a The CMX7031 product is a full-function, half-duplex,
single design. Professional and leisure two-way radios are audio, signalling and data processing IC, suitable for
all target applications within the capabilities of the implementation in professional and leisure radio applications
CMX7031. (PMR/LMR, Trunking, GMRS, FRS, PMR446 and MURS), in the fields of
The specific functions of the CMX7031 device are determined by Marine VHF, aviation and amatamateur radio to name but a few of the
uploading its Function Image™ during device initialisation. A new applications suited to the CMX7031.

FOR MORE INFORMATION ON CML, PLEASE EMAIL WIRELESS@SPECTRE-ONLINE.CO.UK.


ADVERTISEMENT FEATURE

ZigBee™ – low cost, low power


mesh radio at its best
U S based chip manufacturer Ember, in partnership with communi-
cation specialist distributor Spectre, has supported several UK
based ZigBee designs, which are now in full production. Applications
Designed to be easily integrated into any third party/OEM product
without RF design experience
ETRX2 enables you to add powerful wireless networking
where ZigBee can be utilised are wide and varied, from the usual capabilities to your products and quickly bring them to market
monitoring and control for industrial applications to home automation. The module’s AT-style command line interface allows you to
ZigBee is now gaining a lot of momentum in Europe and the US. quickly integrate meshing radio technology without complex
Its low power, low cost, device interoperability and mesh networking programming
capability make it ideal for many applications, where a proprietary Small form factor, SMT module with 12 general-purpose I/O lines
radio technology would have traditionally been required. and 2 analogue inputs
It has a UART interface with DMA and is CE/FCC approved
Telegesis ETRX2 – ZigBee™ Wireless Mesh Networking Module Development kits are available to speed product integration
The combination of Ember’s ZigBee compliant meshing platform and Uses Ember EM250 Chip
the Telegesis module make ZigBee technology very easy to use,
enabling customers to build a solution remarkably quickly. FOR MORE INFORMATION ON EMBER OR TELEGESIS,
PLEASE EMAIL WIRELESS@SPECTRE-ONLINE.CO.UK.

A breakthrough design for VoWiFi phones


C SR's reference design for voice-over-Wi-Fi phones
sets new standards of
performance in this exciting market:
The UniVox reference design is provided with CSR's
UniVox Development Solution (DEV-SYS-UNIVOX-
1A). There are no royalties or license fees to pay.
Just add your user interface (and optimise the MMI
An exceptionally small bill of materials provided - or use it as it is), create the surrounding
Incredibly low power consumption phone
(up to 20 hours talk/400 hours standby from a plastics, and it's ready for mass production.
1500mAh battery!) UniFi-1 Portable b/g is a multi-mode Wi-Fi
It's based on UniFi-1 Portable, CSR's ground-breaking solution capable of 802.11b or g
single-chip 802.11b/g solution for 'deeply embedded' operation - with 802.11h dynamic
battery-powered systems. Designed from the outset for portable channel switching for quality of service (QoS). (An
applications, UniFi incorporates innovative power saving mechanisms. alternative variant with 802.11a/b/g is also available).

FOR MORE INFORMATION ON CSR, PLEASE EMAIL WIRELESS@SPECTRE-ONLINE.CO.UK.

FIND OUT MORE AT SPECTRE’S FORTHCOMING WIRELESS


SEMINAR TO BE HELD IN CAMBRIDGE IN FEBRUARY 2007
by emailing your details to: wireless@spectre-
online.co.uk. Spectre (Communications) Ltd, Walker
Road, Bardon Hill, Coalville, Leicestershire LE67 1TU
Telephone: +44 (0)1530 511170
Email: wireless@spectre-online.co.uk
Website: www.spectre-online.co.uk
Website: www.spectre-online.co.uk
WIRELESS PRODUCT NEWS

ZigBee application kit

RF & MICROWAVE
The ZigBee/802.15.4 application kit from Rabbit Semiconductor
interfaces a RabbitCore module with MaxStream XBee wireless
ZigBee modules. By adding ZigBee technology to an Ethernet
enabled Rabbit embedded control device, designers can create a
low cost, robust wireless infrastructure that allows them to monitor
remote devices, exchange data between devices, and control I/O
from a secured pc on the internet.
The is a reference application that comes with the hardware and
software needed to implement a ZigBee wireless control network in
various topologies. The simple configuration software allows users
to set up a network, discover nodes and establish communications
between similar ZigBee devices.
The RabbitCore module acts as the network coordinator to
monitor and manage two other battery powered XBee equipped
nodes. This allows users to access and control a ZigBee/802.15.4
network from anywhere in the world.
Rabbit Semiconductor: visit www.rabbit.com

RF & MICROWAVE
Alpha Micro Components has launched the iTegno 3898i PCMCIA
GPRS modem to meet growing demand for flexible internet
connectivity.
The iTegno 3898i, a multifunctional PCMCIA modem, offers SMS,
voice calls, wireless internet, email and fax and is designed to
provide dependable wireless data access solutions without the need
for a ‘hotspot’.
The quad band device provides real time access to information at a
maximum speed of 85.6kbit/s using GPRS technology. Because users
only pay for the volume of data sent and received, this can translate
into lower costs for longer connection.
Alpha Micro: visit www.alphamicro.net

Omron has launched a mass producable polymer UWB antenna,


aimed at high data rate wireless transmission applications, including
wireless home internet, streaming hdtv video and cable replacement.

44 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
INTER DESIGN

The omnidirectional UWB antenna is said to display 0dBi gain,


flatness within 3dB, and a VSWR ‘significantly less’ than 3.0 from 3.1
to 4.9GHz.
Key benefits of Wi-PlaDs antennas include flexibility, allowing
antennas to be produced in a variety of shapes, enabling compact
and individual product designs. They also offer improved omni
directional gain.
Wi-PlaDs antennas are available in two forms: the S1 is targetted at
‘wall powered’ applications, such as consumer tv receivers, whilst
portable and other applications, where space is the primary driver,
are served by the N1.
Omron: visit www.omroncomponents.co.uk

The AD9352 and AD9353 from Analog Devices are fully integrated,
direct conversion transceivers for WiMAX wireless networks. Offering
a complete rf and mixed signal system on a chip, the dual band
AD9352 operates from 2.3 to 2.7GHz and 4.9 to 5.9GHz, whilst
the single band AD9353 operates from 3.3 to 3.8GHz.
Integrated are 12bit, 160Msample/s a/d and d/a converters, along
with smart system features such as self calibration, automatic gain
control, transmit power control, and automatic frequency control.
The devices offer a noise figure of 3.5dB, along with adjacent
channel and alternate channel rejection capability 8dB greater than
the 802.16 standard requires. A highly linear transmit path brings
spectral purity and an error vector magnitude of -37dB at 0dBm
output power.
Analog Devices: visit www.analog.com

The Narrow Band Evaluation Kit


from Radiometrix can be used to
evaluate all products in the
company’s portfolio of narrow
band multichannel and single
frequency modules.
Radio modules can be assessed
for their suitability in terms of
many attributes, including
operating range, functionality,
reliability, and robustness in a
multiuser environment with
several other modules set up on
different channels.
The kit can help engineers to
identify noise and interference
sources, as well as helping to
ascertain what type of antenna
should be used. It consists of a
controller board and carrier
boards for separately available
modules.
Radiometrix: visit
www.radiometrix.com

The MLX71121 rf receiver ic from Melexis can address the


European 863 to 870MHz SRD bands or the North American ISM
bands at 902 to 928MHz. It can also cover the 315 and 433MHz
bands.
The device has a dual rf input, which allows users to set up an
antenna diversity system previously found only in high end wireless
systems. This can improve the reliability of the rf communications link
by selecting the rf input which provides the best signal quality.
The MLX71121 operates from a 2.1 to 5.5V supply, with a typical
current consumption of 9.6mA. Receiver input sensitivity is as low as
-114dBm for ASK and -104dBm for FSK signals, respectively.
Melexis: visit www.melexis.com
BACKPLANES & BOARDS SYSTEM DESIGN

A
number of sectors, but the ATCA is bringing changes in end net as short sighted. “Staying with giga-
communications sector in par- bit Ethernet switching architectures for
ticular, have undergone a radi- user markets and suppliers alike. the next year or so represents a short term
cal change in the way they develop choice. The best long term solution is
systems. No longer do companies in By Graham Pitcher. 10Gbit Ethernet.”
these industries create ‘perfect fit’ solu- And Radisys is looking to meet that
tions – essentially using almost unique need now. “We determined the market
components to build products which communications networking, worth needed a 10Gbit Ethernet switch,
have only one application. $200million in Echieson’s view. “We do started developing it and it’s now in tri-
Today, these industries are adopting a boards that go into telecoms equipment als with customers. It’s part of our focus
platform based approach, where the ‘per- and most of our R&D today is going into of delivering application ready inte-
fect fit’ of the past is replaced by com- ATCA.” ATCA, the Advanced Telecom- grated platforms.”
mercially available products. Not only munications Architecture, has an ‘allure’, Radisys sees ATCA getting good mar-
does this affect the system builders, it Echieson noted. “It allows companies to ket acceptance. “We’re seeing momen-
also has a big effect on those companies get a platform from another company tum build,” he said. “All Tier 1 telecom
who used to design boards ‘to order’. who will keep it up to date. They don’t equipment manufacturers (TEMs) bar
One such company is Radisys, which have to worry; all they need to do is to one have active ATCA projects and
deployment. Tier 2 and 3 companies are
‘all over it’ because it gives them time to

The ATCA effect


market and time to money advantages.”
ATCA is also bringing a change in
outlook amongst those Tier 1 companies.
“Most of the Tier 1s have been doing sys-
tem integration themselves. Now, some
are taking platforms from third party
vendors and starting to outsource their

started out in the design to order busi- sync road maps.”


ness some 19 years ago. “We were good In fact, Echieson says
at designing and delivering at high qual- Radisys is looking to bring ‘as com-
ity,” said Todd Echieson, the company’s plete a platform to customers as
vp of communications networking prod- possible’. “Our advantage is that,
uct management. “The issue here is that because of our flexibility, we can say
it’s not a scalable business; what you that customers have a choice and
make is only good for one customer.” can find solutions that meet their
Moving with the market, Radisys has needs. It’s standards based customi-
made what Echieson calls a ‘strategic sation, but how can we build that
shift’ to producing standard solutions. in? The answer is ATCA.”
“We’re moving away from good business At the core of ATCA is the
to get better leverage from our R&D. switching fabric, making it possible
We’re designing products that can be to mix such technologies as Giga-
used by multiple customers.” Echieson bit Ethernet, 10Gigabit Ether-
admitted the move was a ‘big shift’. net, Fiber Channel and PCI-
“We’re moving from being custom Express. ATCA defines the base
driven to being market driven. We’re fabric as Gigabit Ethernet, with
now saying ‘we think the market needs other fabrics for the backplane.
this’ and being there with that idea.” This allows the right fabric to
Nevertheless, Radisys will continue be selected for the application.
retain an interest in the ‘perfect fit’ mar- But Echieson sees Gigabit Ether-
ket, albeit in ‘customisation’, rather than
turnkey developments. It also has a more The Promentum ATCA-3000, aimed
than passing interest in the embedded at storage intensive applications,
world through its commercial division. features a 147Gbyte SCSI disk.
The largest part of Radisys’ business is

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 47
BACKPLANES & BOARDS SYSTEM DESIGN

markets it wants to address in two ways,


says Echieson. “We’ve looked at the mar-
ket from the top down and from the bot-
tom up.” The top down view determined
where ATCA volume would be and
Radisys believes there are four sectors:
switching; network processing; security;
and platform integration. “Then, from the
bottom up, we determined what those sec-
tors need; what are the building blocks?”
But it’s not just the market that’s
changing; there’s change within Radisys.
“Once, all our engineers were hardware
guys,” Echieson said. “Today, one third
are software, one third are hardware and
the other third are systems engineers. It’s a
big change in how we develop products.”
But he reminds you that ATCA was
designed ‘from the ground up’ as a tele- Above: The ATCA-7010 is a 10Gbit/s
com platform. “The problem there is single slot ATCA module which is compli-
that can bring overheads that other appli- ant with PICMG 3.0 and PICMG 3.x stan-
cations don’t need. The solution in those dards. The module includes dual Intel
cases may well be micro TCA (µTCA).” IXP28xx network processors.
And COM Express is another contender. Below: The 12U high Promentum ATCA-
“Once, our engineers were hardware “Our 10Gbit switch has a COM 6000 can accommodate three shelves and
Express site,” Echieson continued, act as host to a range of ATCA modules.
guys. Today, one third are “which allows the user to put a control
processor on the switch and to upgrade
software, one third are hardware and when you need to. You may not want to more niche.” Neither does Echieson
change the switch, but you might want believe µTCA will be as ‘off the shelf ’ as
one third systems engineers.” to take advantage of COM Express to ATCA. “People will mould it to their
access the latest processor.” needs,” he contended.
Todd Echieson, Radisys
Echieson believes there is a lot of con- Radisys has been active in the defini-
fusion between µTCA and ATCA Mez- tion of µTCA and Echieson points out
integration. If they can get high quality zanine Cards, or AMC. “AMCs provide the standard was only completed in July.
delivery, they’ll get more comfortable another level of granularity to ATCA,” he “So none of the µTCA solutions out
with outsourcing.” claimed, “while µTCA will end up being there are conforming to the standard.”
Alongside accessing multiple But although Radisys has a number of
sources, Tier 1 companies AMC projects in development, it’s also
have the opportunity to going to be looking at µTCA next year,
rationalise their portfolio. but these will be vertical, rather than
“TEMs who had five or broad based, he said.
six platforms and single use So, whilst mosr opportunities for
boards can move to an ATCA will be found in telecoms, other
ATCA platform and use applications are emerging. “There are big
that across multiple appli- opportunities in the military and aero-
cations. This means they space sectors,” Echieson claimed, with
have fewer single use boards suppliers specialising in producing a set of
and none are single cus- modules. “This inter market segment will
tomer boards,” Echieson play a significant role in increasing the sys-
explained, “because tem and module volumes, especially over
Radisys, for example, is sell- time and will bring with it even larger
ing to other customers.” economy of scale,” he concluded. “For
Radisys has defined the ATCA, the future is now!”

48 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
PRODUCT NEWS SYSTEM DESIGN

Signal source reduces test costs FREQUENCY


MANAGEMENT
TEST & MEASUREMENT Analog Devices has unveiled what it
believes to be the first clock ic to combine
The N9310A rf signal generator from low phase noise clock generation with 14
Agilent is said to reduce the cost of test channel clock distribution at jitter levels of
significantly. less than 1ps.
Covering frequencies from 9kHz to The AD9516 series integrates an integer-N
3GHz and full range of modulation synthesiser, two reference inputs, a voltage
types, the device has an external IQ controlled oscillator, programmable
source. User friendly features include a dividers, adjustable delay lines and 14
multiplelanguage display, 6.5in tft colour clock drivers. This level of integration
display and USB connectivity. manufacturing, low cost R&D, service replaces several discrete components and
Meanwhile, its compact size allows easy installation and maintenance. Students reduces board space and bill of materials
installation and maintenance. will be able to focus on RF circuit costs for clocking by more than 50%.
The N9310A expands Agilent’s range experimentation and exercises, as the There are five versions, each supporting a
of rf signal sources to a broader N9310A offers sufficient performance for specific frequency range. At the high end,
consumer base. It can be used in high many basic research projects. the AD9516-0 includes a vco that tunes
volume consumer electronic Agilent: visit www.agilent.com from 2.6 to 2.95GHz. Other parts cover
lower frequencies, down to the AD9516-4’s
range of 1.5 to 1.9GHz. Any member of
the AD9516 family may be used with an
BACKPLANES & BOARDS an sodimm slot for up to 1Gbyte of DDR2 external VCO up to 2.4 GHz.
400/533MHz memory. On board the Analog Devices: visit
cPCI-3915 are dual gigabit Ethernet ports www.analog.com
that use the high bandwidth PCI Express
bus to provide efficient remote The TG-5011BA from Epson uses a new
management and reliable network package structure to offer the smallest
connectivity vital for today’s rigorous 2016 TCXO, covering frequencies from 13
applications. to 52MHz. The package has a 36%
The cPCI-3915 also features multiple smaller surface area and 43% less volume
storage interfaces for a 2.5in Serial ATA than the earlier 2520 (2.5 x 2mm) models.
or IDE hard disk drive and a Meanwhile, the SG-150C is a 2016
CompactFlash card slot for OS installation SPXO which uses improved ic properties
and data keeping. An optional rear to achieve a precision of ±20 x 10-6 with
transition module provides high resolution a temperature range of -40 to 85°C.
An ADLINK single board computer from and dual channel support for multiple Epson Toyocom will use the new 2016
Acal combines Intel’s Pentium M/Celeron display interfaces. The cPCI-3915 also package as a common platform for ultra
M processor with DDR2 technology and features three USB 2.0 ports and two compact products aimed at markets
gigabit connectivity. front panel DB-9 serial ports. driven by space constraints.
The cPCI-3915 is available in single or Acal Microsystems: visit Epson Electronics:
dual slot cPCI form factors, both offering www.acalmicrosystems.co.uk visit www.epson-electronics.de

50 N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6
Capture
for just
£
995
Call now, for the OrCAD suite that suits you
01483 485210
QUOTATIONS • PRODUCT INFORMATION • TECHNIC AL ADVICE

OrCAD® is the complete OrCAD PCB Designer Suite


solution for designing, OrCAD Capture
simulating and Total
Allegro PCB Editor £4,995
developing PCB designs SPECCTRA AR6u Autorouter
and offers:
UNISON PCB Suite
Outstanding OrCAD Capture
performance at a Total
OrCAD Layout £3,995
competitive price SPECCTRA AR6u Autorouter
The favoured tool of UNISON EE Suite
design engineers for OrCAD Capture Total
over 20 years PSpice AD £3,995
Complete design
AVA I L A B L E
flexibility and scalabilty FROM

OrCAD®, OrCAD Capture®,


www.cadence.com/orcad OrCAD Layout®, PSpice®,
SPECCTRA® and Cadence® are
registered trademarks of Cadence
www.parallel-systems.co.uk Design Systems, Inc.
How to get overvoltage under control

In today’s wired universe, sensitive communications equipment can be exposed to


devastating electrical hazards. Raychem Circuit Protection overvoltage devices are in use
around the world, helping equipment designers and manufacturers meet safety and
performance standards and improve the reliability of network and customer premises
equipment. Our extensive line of thyristor surge protection devices, gas discharge tubes,
MOVs, PESDs, and integrated overcurrent/overvoltage protection devices offer you a
wide range of solutions, and the innovation, quality and value you expect from the leader
in circuit protection
Please visit www.circuitprotection.com/order/ to request a copy
of our new Circuit Protection Product and Application Catalog.

Diestsesteenweg 692
3010 Kessel-Lo Belgium
Tel +32 16 351321 Fax +32 16 351319
www.circuitprotection.com
© 2006 Tyco Electronics Corporation
ENGINEER PROFILE ADVANCE IN THE PROFESSION

Seeing the light


optoelectronics led Lotay to join a large
connectivity solutions company in north Wales
as technical director. In charge of product
development, manufacturing and quality, the
role gave him exposure to a manufacturing
environment. Then Altimex – and a whole new
way of working – beckoned. “Going from a
large company, with a car and the rest of the
benefits, to a little office which didn’t even
have a carpet is a big risk,” Lotay says. “You
need to have a vision of what you want to
achieve and how you’re going to get there,
but the rewards are huge.”
Flexibility and adaptability are part of the
recipe – Lotay handles purchasing, sales,
technical development, manufacturing and HR.
He employs four people and has a network of
outworkers. Running a company also takes
leadership, which, Lotay explains, is different
from managing an R&D team. Gone is the
safety net of delegation; ‘when it’s your
company, you have to stay and get the job
done and you’re stuck if staff don’t turn up’.

D
avinder Lotay’s office wall has a Altimex specialises in small batch
quote from Mark Twain: “Twenty
Davinder Lotay’s motivation is seeing production and bespoke products for a
years from now, you’ll be more something finished and a happy diverse customer base. Responding quickly to
disappointed by the things you didn’t do than individual needs is something Lotay finds
the ones you did do. So throw off the bow customer. By Elaine Essery. stimulating. “Quick response is not possible in
lines, sail away from the safe harbour, catch large organisations, where the technical
the trade winds in your sails, explore, dream people are removed from the customer and
and discover.” It sums up the philosophy of Fibre optics has been Lotay’s passion the information flow between them and the
the electronics graduate who left the safe since the late 1980s. He was a ‘guinea pig’ commercial guys is slow. I can talk to a
harbour of a large company to head up his on a new Engineering – Optoelectronics customer, capture the design concept and
own company and discover fulfilment. HND at Sheffield Polytechnic, transferred to meet expectations.” Whilst a phone call can
Lotay is director of Chester based a similar degree course at Newcastle and alter the course of a planned day, reacting
Altimex, a niche provider of optoelectronic went on to complete a Masters at Hatfield, promptly to customer demands is hugely
components and solutions for electronic turning down the offer of a PhD in favour of satisfying. “Money doesn’t motivate me, it’s
control systems and fibre optics data industry. His position today is far removed the fulfilment and joy of seeing something
connectivity. Answering an advertisement for from his earlier career in the R&D finished, working and a customer happy.”
a managing director in 2003, he and a departments of organisations such as BICC Lotay has no ambition to move into large
business partner bought the company. Lotay Cables and Corning. Then, he worked at the scale manufacture, but is considering taking
took over day to day management and set cutting edge of technology – ‘playing around over another micro organisation. Always up
about making his mark. “It needed a lot in with lasers like a child with new toys’. Whilst for a challenge, he is open to ideas. Returning
terms of updating production processes and at Corning, he completed an MBA; ‘one of to Mark Twain, he comments: “People always
products, but I love challenges,” he says. my best experiences’. fear things are difficult, but they’re not. You
Altimex doubled its turnover in the first year Eighteen months of self employment have to be positive and never look back and
under Lotay and he hasn’t looked back. followed, before that passion for wish you’d done things differently.”

N e w E l e c t r o n i c s w w w. n e w e l e c t r o n i c s . c o . u k 1 2 D e c e m b e r 2 0 0 6 53
Powered by
appointments

Electronic and Engineering Design vacancies

Consultancies in this sector AWE can offer you a


challenging career where the
Tel: 020 8992 8647
Email: cv@beechwoodrecruit.com opportunities are up to you!
www.beechwoodrecruit.com Location: South East
Areas of work at AWE include:
Tel: 01462 442727
Engineering - Chemical, Mechanical, Civil, Production, Structural, Systems,
Email: jobs@ctr.uk.com Electronic, Manufacturing, Electrical.
www.ctr.uk.com Science - Physics, Metallurgy, Chemistry, Computer Science, Materials Science,
Mathematics, Safety, Radiological Protection
Tel: 0870 3516622
At AWE we offer an environment that needs and encourages the best and has in
Email: Bernieg@colbernlimited.co.uk place everything you need to develop a career path tailored precisely to your skills
www.colbernlimited.co.uk and experience.Fewer organisations are more research led than AWE. In every area
relating to modern nuclear weaponry, our activities are challenging frontiers and
Tel: 0161 428 3568 breaking through established barriers.
Email: recruitment@debelder.co.uk The Atomic Weapons Establishment, based at Aldermaston and Burghfield in
www.debelder.co.uk Berkshire, develops solutions to the complex problems presented by maintaining
nuclear warheads in service.We employ staff with the highest scientific and
Tel: 020 8600 1600 technological skills. We are committed to stretching technology to the limits in
www.epcglobal.co.uk order to address the issues involved in our field - exploring the frontiers of
technology and challenging conventional thinking to deliver innovative solutions and
world class results.
Tel: 01895443181 AWE is always interested in recruiting experienced
Email: staff@gregorys.co.uk engineers, scientists, business professionals and
support staff.
www.gsatechnical.co.uk

Tel: 01296 330930


Email: info@morganrem.com Contact: To find out more and apply online, visit www.awe.co.uk.
www.morganrem.com Alternatively, you can call us on 0118 982 9009 for an application form.

Tel: 01902 311 313


Email: careers@netrec.co.uk
www.netrec.co.uk
Electronic Hardware Design
Tel: 01943 467766
Email: apply@networxrecruitment.com Engineer
www.networxrecruitment.com Location: South East Benefits: £40,000 p.a. Pension + Healthcare
Our client is a world leader in combustion management & control systems for
Tel: 0121 632 5555
industrial boiler/burner applications with a reputation for quality and innovation.
Email: t.priestman@progressive.co.uk
www.progressive.co.uk They have been established over 30 years won awards for export and hold seven
worldwide patents on products designed and manufactured in house.
Tel: 0118 958 3004 Due to ongoing product development and expansion they now require a Hardware
Email: contact@teambuilder.co.uk Engineer.
www.teambuilder.co.uk A structured mind and a keen eye for detail are considered an essential aspect for
this position.
Tel: 0115 9483633
The R&D Department is responsible for the development and future innovation of my
Email: kate.jennings@tech-search.co.uk client's systems and there are excellent prospects to Senior positions.
www.tech-search.co.uk
Benefits include contributory private pension scheme and private health are
available.
Tel: 01234 436 136
Email: post@vrl.co.uk If you are interested please call Leigh Kelleher on 020 8810 7541 or email him your
CV/details.
www.vrl.co.uk

Tel: 01275 544568


Email: vpconsulting@blueyonder.co.uk

Tel: 01392 332 842


Email: response@webrecruit.co.uk Contact: Mr Leigh Kelleher
www.webrecruit.co.uk Tel: 0208 810 7541
Email: leigh@g2technologies.co.uk
Web: www.g2technologies.co.uk
Jimfinder in partnership with New Electronics for the latest Electronic and Engineering Design vacancies

appointments
Electronic and Engineering Design vacancies

Design Verification Manager RF Engineer


Location: UK Benefits: c£40,000-£50,000 Company pension. Location: East Anglia, South East Salary: Negotiable
Application Deadline: 15/12/2006
A large south coast based Aerospace company requires a Design Verification (DV)
Manager to manage design verification activities on a range of products. Due to continued growth within the Cambridge office, my client, a leader in new
generation wireless technologies is seeking an RF Engineer to develop RF circuits.
The DV Manager will be responsible for such activities for all products within the
company portfolio of electro-mechanical Airborne Systems. Highly competent in your field you will design transmitter circuits up to 6GHz and be
skilled in 2D and 3D RF modelling. You will be responsible for the PCB layout of
An appropriate degree in mechanical, aeronautical, electrical or electronics
designs and have practical experience of microwave test equipment and
engineering is required, however an HNC or HND may be accepted with suitable
measurement techniques.
experience. It is expected that candidates will have at least five years experience in
a similar position within the defence or aerospace industry. The role will be based You will ideally have a good science degree or equivalent (Electronic Engineering,
in Dorset but will also include supporting work at other sites in the UK and abroad. Physics or Mathematics) with Microwave design content.
For further information please send CV. To be considered for this wonderful new opportunity forward your CV today with
details of your current salary and salary requirements.
Abatec Staff Consultants are acting as an employment agency on behalf of the
client.

Contact: Jamie Cummings Contact: Kaya Evans


Tel: 0845 200 4972 Tel: 01934 635025
Email: jcummings@kdconsultants.com Email: kaya.evans@abatec.co.uk
Web: www.kdconsultants.com Web: www.abatec.co.uk/

Contract - Electronics RF Design Engineer


Engineer Location: South Salary: Negotiable
Application Deadline: 14/12/2006 Reference: Jim01
Location: South West Salary: Negotiable
Wood & Douglas is an internationally recognised design and manufacturer of radio
Application Deadline: 15/12/2006 Reference: 112638
communication hardware for data, voice & video applications. Frequencies up to
A Principal Electronics Engineer is urgently required for my client based near Bristol 10GHz with volume products centred on the 100MHz to 1000MHz spectrum.
to work on Civil and Military aerospace projects.
RF Design Engineer required to design and develop new RF products.
You will have a minimum of 5 years aerospace/defence experience with experience
Experience and knowledge is essential in the following areas:- Narrowband FM
in design and development of brushless DC motor controllers and analogue
techniques, synthesiser design, transmitter circuitry to power levels below 10mW,
electronic equipment working to EMC standards you may also have motor and servo
modulation techniques such as FSK, MSK, GMSK, 4LFSK, Low noise VCO design.
control experience which is desirable but not essential.
A very hands on role you will provide the conceptual and detailed design input in the
field of analogue electronic engineering and motor control power engineering.
Rate will be negotiable depending on experience.
Please forward your CV today to register an interest and apply for the role.
Abatec Staff Consultants are acting as an employment agency on behalf of the
client.

Contact: Kaya Evans Contact: Trish Lovelcok


Tel: 01934 635025 Tel: 0118 9811444
Email: kaya.evans@abatec.co.uk Email: jobs@woodanddouglas.co.uk
Web: www.abatec.co.uk/ Web: www.woodanddouglas.co.uk
Ultimate Precision.
Low Power. Small Size.
Amplifiers Use TI’s New 36V Bipolar SiGe Process
Device Input VN VOS GBW IQ VS Package
OPA211 Bipolar 1nV/ Hz 100µV 80MHz 3.6mA ±18V MSOP-8
OPA827 JFET 4.5nV/ Hz 250µV 18MHz 4.5mA ±18V MSOP-8

The new OPA211 and OPA827 precision amplifiers from Texas Instruments offer lower power, smaller package size
and lower noise, enabling breakthrough performance in test and measurement, instrumentation, imaging, medical,
audio and industrial process control applications. The amplifiers were developed using the industry’s first complemen-
tary bipolar 36V SiGe process, BiCom3HV.

High Performance. Analog. Texas Instruments.

Ampli
OPA2
fier
11
For datasheets and samples, visit
Ampli
OPA8
fier
27
www.ti.com/opa211-e

Technology for Innovators and the red/black banner are trademarks of Texas Instruments. 1699A1 © 2006 TI

TM
Technology for Innovators

Das könnte Ihnen auch gefallen