Sie sind auf Seite 1von 35

B C D E

o m
c
Thermal Sensor Clock Generator YONAH CPU

.
1 1
ADM1032ARM ICS9LPR310
page 4,5,6

s
page 4 page 13

it c
533/667MHZ

CRT
page 14 Calistoga GMCH DDR-2 DDR2-SO-DIMM X2
page 7,8
LCD CONN PCBGA1466

a
page 14 page 9,10,11,12 Daul Channel DDR-2

Bandwidth 500MB
2.5GHz(1.2V)
m
DMI
e
2 2

h
PCI EXPRESS
USB 2.0 USB conn x 4
page 29

c
ICH7-M USB 2.0 Finger print
page 28
Broadcom

s
BCM5787 Mini Card BGA652
Audio CKT

-
page 22 page 23 HD-Interface AMP & Audio Jack
ALC262 page 25
PCI BUS page 24
page 15,16,17,18

p
RJ45 CONN MDC Conn. RJ11 Conn
page 24
page 23

to
CradBus Controller SATA SATA HDD Conn.
3 R5C811 page 19 3

page 20,21 LPC BUS

p
PATA
CDROM Conn.

l. a
page 19
Slot 0
page 21

ENE KB910L

w
page 26

w
Int. KBD
page 27

4
Touch Pad
CONN.page 27

w
BIOS
page 27

A B
5 4 3 2 1

+VCCP

m
9 H_A#[3..31] H_D#[0..63] 9
JP2A ITP_DBRESET# R91 2 @ 200_0402_5% This shall place near CPU
1 PAD T11
ITP_TDI R138 1 2 56_0402_5%
H_A#3 J4 E22 H_D#0 ITP_BPM#0 T12
H_A#4 L4
A3# YONAH D0#
F24 H_D#1 ITP_BPM#1
PAD
PAD T14 ITP_TMS R137 1 2 56_0402_1%

o
H_A#5 A4# D1# H_D#2 ITP_BPM#2 T15
M3 A5# D2# E26 PAD
H_A#6 K5 H22 H_D#3 ITP_BPM#3 PAD T13 ITP_TDO R142 1 2 56_0402_5%
H_A#7 A6# D3# H_D#4 ITP_BPM#4 T16
M1 A7# D4# F23 PAD
H_A#8 N2 G25 H_D#5 ITP_BPM#5 R141 1 2 56_0402_5%
H_A#9 A8# D5# H_D#6

c
J1 A9# D6# E25
H_A#10 N3 E23 H_D#7 ITP_TRST# R135 1 2 56_0402_5%
H_A#11 A10# D7# H_D#8
P5 A11# D8# K24

.
H_A#12 P2 G24 H_D#9 ITP_TCK R134 1 2 56_0402_5%
D H_A#13 A12# D9# H_D#10 D
L1 A13# D10# J24
H_A#14 P4 J23 H_D#11
H_A#15 A14# D11# H_D#12
P1 A15# D12# H26
H_A#16 R1 F26 H_D#13 +3VS
Thermal Sensor

s
H_A#17 A16# D13# H_D#14
Y2 A17# D14# K22
H_A#18 U5 H25 H_D#15
H_A#19 A18# D15# H_D#16
H_A#20
H_A#21
R3
W6
A19#
A20#
D16#
D17#
N22
K25 H_D#17
H_D#18
from EFL50 ADM1032

it c
U4 A21# D18# P26 1

1
H_A#22 Y5 R23 H_D#19
H_A#23 A22# D19# H_D#20 C383 R348
U2 A23# D20# L25
H_A#24 R4 L22 H_D#21 0.1U_0402_16V4Z @ 10K_0402_5%
H_A#25 A24# D21# H_D#22 H_THERMDA 2
T5 A25# ADDR GROUP DATA GROUP D22# L23
H_A#26 T3 M23 H_D#23 1

2
H_A#27 A26# D23# H_D#24 C388 U25
W3 A27# D24# P25
H_A#28 W5 P22 H_D#25 2 1
H_A#29 A28# D25# H_D#26 2200P_0402_50V7K D+ VDD1
Y4 A29# D26# P23
H_A#30 W2 T24 H_D#27 H_THERMDC 2 3 6
A30# D27# D- ALERT#

a
H_A#31 Y1 R24 H_D#28
9 H_REQ#[0..4] A31# D28#
L26 H_D#29 EC_SMB_CK2 8 4 THERM#
D29# 10,26 EC_SMB_CK2 SCLK THERM#
H_REQ#0 K3 T25 H_D#30
H_REQ#1 REQ0# D30# H_D#31 EC_SMB_DA2
H2 REQ1# D31# N24 10,26 EC_SMB_DA2 7 SDATA GND 5
H_REQ#2 K2 AA23 H_D#32
H_REQ#3 REQ2# D32# H_D#33
J3 REQ3# D33# AB24
H_REQ#4 L5 V24 H_D#34 ADM1032ARMZ MSOP 8P
REQ4# D34# H_D#35
D35# V26

m
H_ADSTB#0 L2 W25 H_D#36 SMBus Address: 1001110X (b)
9 H_ADSTB#0 ADSTB0# D36#
H_ADSTB#1 V4 U23 H_D#37
9 H_ADSTB#1 ADSTB1# D37#
U25 H_D#38
D38# H_D#39
D39# U22
AB25 H_D#40
D40#
KH3-ORG.

e
W22 H_D#41 B+_BIAS
C D41# H_D#42 +5VS C
Y23
13 CLK_CPU_BCLK
CLK_CPU_BCLK A22 BCLK0
D42#
D43# AA26 H_D#43 FAN1 Control and Tachometer
CLK_CPU_BCLK# A21 HOST CLK Y26 H_D#44
13 CLK_CPU_BCLK# BCLK1 D44#

2
1U_0805_10V4Z
Y22 H_D#45
D45#

h
AC26 H_D#46 1 R350
D46#

C379
AA24 H_D#47 0_1206_5%
H_ADS# D47# H_D#48 +3VS
9 H_ADS# H1 ADS# D48# AC22
H_BNR# E2 AC23 H_D#49
9 H_BNR#

1
H_BPRI# BNR# D49# H_D#50 2
9 H_BPRI# G5 AB22

c
BPRI# D50#

1
H_BR0# F1 AA21 H_D#51
9 H_BR0# BR0# D51#
H_DEFER# H5 AB21 H_D#52 R70
9 H_DEFER# DEFER# D52#
H_DRDY# F21 AC25 H_D#53 10K_0402_5%
9 H_DRDY# DRDY# D53#

1
2
5
6
R87 H_HIT# G6 AD20 H_D#54 R78
9 H_HIT# HIT# D54#

8
56_0402_5% H_HITM# E4 CONTROL AE22 H_D#55 100K_0402_5% U24A D Q32

s
9 H_HITM#

2
H_IERR# HITM# D55# H_D#56 FAN1VREF 3 G
1 2 D20 AF23 1 2

P
+VCCP IERR# D56# 26 EN_DFAN1 +IN
H_LOCK# H4 AD24 H_D#57 1 FAN1_ON 3
9 H_LOCK# LOCK# D57# OUT FAN_SPEED1 26

1U_0603_10V4Z
H_RESET# B1 AE21 H_D#58 2 S SI3456DV-T1_TSOP6

-
9 H_RESET# RESET# D58# -IN

G
AD21 H_D#59 1 FAN1_VFB 2

4
D59#

C137
9 H_RS#[0..2] AE25 H_D#60

4
H_RS#0 D60# H_D#61 LM358DR2G_SO8~N C133
F3 RS0# D61# AF25
H_RS#1 F4 AF22 H_D#62 0.01U_0402_16V7K
H_RS#2 RS1# D62# H_D#63 2 1
G3 AF26

p
H_TRDY# RS2# D63# C389
9 H_TRDY# G2 TRDY# 2200P_0402_50V7K
J26 H_DINV#0 1 2
DINV0# H_DINV#0 9
M26 H_DINV#1
DINV1# H_DINV#1 9
ITP_BPM#0 AD4 V23 H_DINV#2 R351
BPM0# DINV2# H_DINV#2 9

to
ITP_BPM#1 AD3 AC20 H_DINV#3 100K_0402_5% JFAN1
BPM1# DINV3# H_DINV#3 9
ITP_BPM#2 AD1 2 1 FAN1_POWER
ITP_BPM#3 BPM2# 1
AC4 BPM3# H_DSTBN#[0..3] 9 1 2

1000P_0402_50V7K~N
H23 H_DSTBN#0 1
DSTBN0# 3

150K_0402_5%
ITP_DBRESET# C20 M24 H_DSTBN#1 4
17 ITP_DBRESET# DBR# DSTBN1# G

22U_1206_10V4Z

C132
B H_DBSY# H_DSTBN#2 D10 B
9 H_DBSY# E1 DBSY# DSTBN2# W24 5 G
H_DPSLP# H_DSTBN#3 2
16 H_DPSLP# B5 DPSLP# DSTBN3# AD23 H_DSTBP#[0..3] 9 RB751V_SOD323 2

R353

C135
H_DPRSTP# E5 G22 H_DSTBP#0 MOLEX_53398-0371~N

p
16,37 H_DPRSTP#

2
H_DPWR# DPRSTP# DSTBP0# H_DSTBP#1
9 H_DPWR#
ITP_BPM#4
D24 DPWR# DSTBP1# N25
H_DSTBP#2
FAN1
AC2 MISC Y25

1
ITP_BPM#5 PRDY# DSTBP2# H_DSTBP#3
AC1 PREQ# DSTBP3# AE24
H_PROCHOT# D21
PROCHOT#

l. a
H_PWRGOOD D6
16 H_PWRGOOD H_CPUSLP# PWRGOOD
9,16 H_CPUSLP# D7 SLP#
ITP_TCK AC5
ITP_TDI TCK H_A20M#
AA6 TDI A20M# A6 H_A20M# 16
ITP_TDO AB3 A5 H_FERR#
TDO FERR# H_FERR# 16
R73 1 2 @ 1K_0402_5% TEST1 C26 C4 H_IGNNE#
TEST1 IGNNE# H_IGNNE# 16 +3V
R79 1 2 51_0402_5% TEST2 D25 B3 H_INIT#
TEST2 INIT# H_INIT# 16 +CPU_CORE
ITP_TMS AB5 C6 H_INTR
TMS LINT0 H_INTR 16

330_0603_5%
ITP_TRST# AB6 B4 H_NMI
TRST# LINT1 H_NMI 16

R93
LEGACY CPU
THERMAL Level shifter

1
w
H_THERMDA A24 D5 H_STPCLK#
H_THERMDC THERMDA DIODE STPCLK# H_SMI#
H_STPCLK# 16
R398 @
A25 THERMDC SMI# A3 H_SMI# 16 MAINPWON 32,34,38
H_THERMTRIP# C7 100K_0402_5%
9,16 H_THERMTRIP#

2
THERMTRIP# H_PROCHOT_SIO#

1
H_THERMDA, H_THERMDC routing together. C

2
FOX_PZ47823-2743-41_YONAH 1 2 2 Q35
Trace width / Spacing = 10 / 10 mil

1
B PMBT3904_SOT23 C

w
@ C413 E 2 1 2 +VCCP

3
0.1U_0402_10V6K~N B @ R88 56_0402_5%
E @ Q15

3
+VCCP 1 2 MMBT3904_SOT23
+VCCP R393 1 2 +VCCP
R143 56_0402_5% R92 56_0402_5%
A +VCCP H_DPSLP# 1 2 H_THERMTRIP# A

w
H_PROCHOT#
H_PROCHOT#
R392 @ 56_0402_5%
54.9_0402_1% R145
1 2 H_RESET# H_DPRSTP# 1 2

@ 56_0402_5%

5 4
A B C D E

m
+VCCP +CPU_CORE
Length match within 25 mils JP2B JP2C
The trace width 18 mils space

1
37 VCCSENSE VCCSENSE AF7 AB26 AE18 K1
VCCSENSE VSS VCC VSS
+CPU_CORE 7 mils

o
37 VSSSENSE VSSSENSE AE7 AA25 AE17 J2
R77 R368 VSSSENSE VSS VCC VSS
VSS AD25 AB15 VCC VSS M2
V_CPU_GTLREF 1K_0402_1% 100_0402_1% AE26 AA15 N1
VCCSENSE VSS VCC VSS
1 2 B26 AB23 AD15 T1

2
+1.5VS VCCA VSS VCC VSS
AC24 AC15 R2

c
R389 VSS VCC VSS
+VCCP K6 VCCP VSS AF24 AF15 VCC VSS V2
100_0402_1% J6 AE23 AE15 W1

.
1
VSSSENSE VCCP VSS VCC VSS
4
1 2 1 1 M6 VCCP VSS AA22 AB14 VCC VSS A26 4
N6 AD22 AA13 D26
R72
2K_0402_1%
C140
0.01U_0402_16V7K
C134
10U_0805_10V4Z~N
T6
VCCP
VCCP
YONAH VSS
VSS AC21 AD14
VCC
VCC
VSS
VSS C25
R6 VCCP VSS AF21 AC13 VCC VSS F25
2 2

s
K21 AB19 AF14 B24
2

VCCP VSS VCC VSS


J21 VCCP VSS AA19 AE13 VCC VSS A23
M21 VCCP VSS AD19 AB12 VCC VSS D23
Close to CPU pin N21 VCCP VSS AC19 AA12 VCC
YONAH VSS E24
Close to CPU pin AD26 T21 AF19 AD12 B21

it c
VCCP VSS VCC VSS
within 500mils. R21 VCCP VSS AE19 AC12 VCC VSS C22
within 0.5 inch V21 VCCP VSS AB16 AF12 VCC VSS F22
W21 AA16 AE12 E21

POWER, GROUNG, RESERVED SIGNALS AND NC


VCCP VSS VCC VSS
V6 VCCP VSS AD16 AB10 VCC VSS B19
G21 VCCP VSS AC16 AB9 VCC VSS A19
CPU_BSEL CPU_BSEL2 CPU_BSEL1 CPU_BSEL0 VSS AF16 AA10 VCC VSS D19
VSS AE16 AA9 VCC VSS C19
37 H_PSI# H_PSI# AE6 AB13 AD10 F19
PSI# VSS VCC VSS
AA14 AD9 E19

a
VSS VCC VSS
133 0 0 1 37 CPU_VID0
CPU_VID0 AD6 VID0 VSS AD13 AC10 VCC VSS B16
CPU_VID1 AF5 AC14 AC9 A16
37 CPU_VID1 VID1 VSS VCC VSS
CPU_VID2 AE5 AF13 AF10 D16
37 CPU_VID2 VID2 VSS VCC VSS
CPU_VID3 AF4 AE14 AF9 C16
37 CPU_VID3 VID3 VSS VCC VSS
166 0 1 1 37 CPU_VID4
CPU_VID4 AE3 VID4 VSS AB11 AE10 VCC POWER, GROUND VSS F16
CPU_VID5 AF2 AA11 AE9 E16
37 CPU_VID5 VID5 VSS VCC VSS
CPU_VID6 AE2 AD11 AB7 B13

m
37 CPU_VID6 VID6 VSS VCC VSS
VSS AC11 AA7 VCC VSS A14
VSS AF11 AD7 VCC VSS D13
V_CPU_GTLREF AD26 GTLREF VSS AE11 AC7 VCC VSS C14
VSS AB8 B20 VCC VSS F13
CPU_BSEL0 B22 AA8 A20 E14

e
13 CPU_BSEL0 BSEL0 VSS VCC VSS
3 CPU_BSEL1 B23 AD8 F20 B11 3
13 CPU_BSEL1 BSEL1 VSS VCC VSS
CPU_BSEL2 C21 AC8 E20 A11
13 CPU_BSEL2 BSEL2 VSS VCC VSS
VSS AF8 B18 VCC VSS D11
COMP0 R26 AE8 B17 C11
COMP1 COMP0 VSS VCC VSS

h
U26 COMP1 VSS AA5 A18 VCC VSS F11
COMP2 U1 AD5 A17 E11
COMP3 COMP2 VSS VCC VSS
V1 COMP3 VSS AC6 D18 VCC VSS B8
VSS AF6 D17 VCC VSS A8
AB4 C18 D8

c
VSS VCC VSS
+CPU_CORE E7 VCC VSS AC3 C17 VCC VSS C8
AB20 VCC VSS AF3 F18 VCC VSS F8
AA20 VCC VSS AE4 F17 VCC VSS E8
AF20 VCC VSS AB1 E18 VCC VSS G26

s
Resistor placed within AE20 VCC VSS AA2 E17 VCC VSS K26
27.4_0402_1%

54.9_0402_1%

27.4_0402_1%

54.9_0402_1%

AB18 AD2 B15 J25


0.5" of CPU pin.Trace VCC VSS VCC VSS
1

AB17 AE1 A15 M25

-
VCC VSS VCC VSS
should be at least 25 AA18 VCC VSS B6 D15 VCC VSS N26
R84

R83

R394

R395

AA17 C5 C15 T26


mils away from any AD18
VCC VSS
F5 F15
VCC VSS
R25
VCC VSS VCC VSS
other toggling signal. AD17 E6 E15 V25
2

VCC VSS VCC VSS


AC18 H6 B14 W26

p
VCC VSS VCC VSS
AC17 VCC VSS J5 A13 VCC VSS H24
AF18 VCC VSS M5 D14 VCC VSS G23
AF17 VCC VSS L6 C13 VCC VSS K23
VSS P6 F14 VCC VSS L24

to
VSS R5 E13 VCC VSS P24
D2 RSVD VSS V5 B12 VCC VSS N23
F6 RSVD VSS U6 A12 VCC VSS T23
D3 RSVD VSS Y6 D12 VCC VSS U24
2
C1 RSVD VSS A4 C12 VCC VSS Y24 2
AF1 RSVD VSS D4 F12 VCC VSS W23
D22 RSVD VSS E3 E12 VCC VSS H21
C23 H3 B10 J22

p
RSVD VSS VCC VSS
C24 RSVD VSS G4 B9 VCC VSS M22
AA1 RSVD VSS K4 A10 VCC VSS L21
AA4 RSVD VSS L3 A9 VCC VSS P21
AB2 RSVD VSS P3 D10 VCC VSS R22

l. a
AA3 RSVD VSS N4 D9 VCC VSS V22
M4 RSVD VSS T4 C10 VCC VSS U21
N5 RSVD VSS U3 C9 VCC VSS Y21
T2 RSVD VSS Y3 F10 VCC
V3 RSVD VSS W4 F9 VCC
B2 RSVD VSS D1 E10 VCC
C3 RSVD VSS C2 E9 VCC
T22 RSVD VSS F2 B7 VCC
B25 RSVD VSS G1 A7 VCC
F7 VCC

w
FOX_PZ47823-2743-41_YONAH FOX_PZ47823-2743-41_YONAH

w
1 1

A
w B
5 4 3 2 1

o m
. c
D D

+CPU_CORE
Mid Frequence Decoupling

it cs
a
1 2
proadlizer 1200uF
+ C167
1200P_PFAF250E128MNTTE_2.5VM
3 4

e m
C C
+CPU_CORE

h
330U_D2E_2.5VM_R9

330U_D2E_2.5VM_R9

330U_D2E_2.5VM_R9

330U_D2E_2.5VM_R9

330U_D2E_2.5VM_R9

330U_D2E_2.5VM_R9
1 1 1 1 1 1 ESR <= 1.5m ohm

c
Capacitor > 1980uF
C399

+
@ C161

+
@ C397

@ C168
+

C400
+

@ C394
+ North Side Secondary
South Side Secondary
2 2 2 2 2 2

-s
7mOhm 7mOhm 7mOhm 7mOhm 7mOhm 7mOhm
PS CAP PS CAP PS CAP PS CAP PS CAP PS CAP

p
to
+VCCP
B B

p
1 1 1 1 1 1
C171 + Place these inside
C174 C175 C173 C150 C152 C151 socket cavity on L8
@

330U_D2E_2.5VM_R9 0.1U_0402_16V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z (North side


2 2 2 2 2 2 2 Secondary)

l. a
330U ?

w w A

5
w 4
5 4 3 2 1

+1.8V +1.8V
Close to VREF pins of SO-DIMM

m
V_DDR_MCH_REF
10 DDR_A_DQS#[0..7] V_DDR_MCH_REF 8,9

10 DDR_A_D[0..63] JDIM1

2.2U_0805_16V4Z

0.1U_0402_16V4Z
1 VREF VSS 2
3 4 DDR_A_D6 1 1
10 DDR_A_DM[0..7]

o
VSS DQ4

C159

C166
DDR_A_D4 5 6 DDR_A_D0
DDR_A_D1 DQ0 DQ5 +1.8V
10 DDR_A_DQS[0..7] 7 DQ1 VSS 8
9 10 DDR_A_DM0
DDR_A_DQS#0 VSS DM0 2 2
10 DDR_A_MA[0..13] 11 DQS0# VSS 12
DDR_A_DQS0 DDR_A_D5

c
13 DQS0 DQ6 14

1
15 16 DDR_A_D7
DDR_A_D2 VSS DQ7 R113
17 DQ2 VSS 18

.
DDR_A_D3 19 20 DDR_A_D13
D DQ3 DQ12 DDR_A_D12 @ 100_0402_1% D
21 VSS DQ13 22
DDR_A_D8 23 24 V_DDR_MCH_REF

1 2
DDR_A_D14 DQ8 VSS DDR_A_DM1
Layout Note: 25 DQ9 DM1 26

0.1U_0402_16V4Z
27 28

s
Place near JP41 DDR_A_DQS#1 VSS VSS M_CLK_DDR0 R114
29 DQS1# CK0 30 M_CLK_DDR0 9 1
DDR_A_DQS1 31 32 M_CLK_DDR#0
DQS1 CK0# M_CLK_DDR#0 9
33 34 C156 @ 100_0402_1%
DDR_A_D9 VSS VSS DDR_A_D11
35 36

2
DDR_A_D15 DQ10 DQ14 DDR_A_D10 @ 2

it c
37 DQ11 DQ15 38
39 VSS VSS 40

+1.8V
DDR_A_D16
41 VSS VSS 42
DDR_A_D20
Close to connect
43 DQ16 DQ20 44
DDR_A_D17 45 46 DDR_A_D21
DQ17 DQ21
47 VSS VSS 48
2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z
DDR_A_DQS#2 49 50 2 1
DQS2# NC PM_EXTTS#0 8,9
1 1 1 1 1 1 1 1 1 DDR_A_DQS2 51 52 DDR_A_DM2 R89 0_0402_5%
DQS2 DM2
C124

C77

C83

C105

C125

C119

C89

C99

C93
a
53 VSS VSS 54
DDR_A_D18 55 56 DDR_A_D23
DDR_A_D19 DQ18 DQ22 DDR_A_D22
57 DQ19 DQ23 58
2 2 2 2 2 2 2 2 2
59 VSS VSS 60
DDR_A_D29 61 62 DDR_A_D28
DDR_A_D24 DQ24 DQ28 DDR_A_D25
63 DQ25 DQ29 64
65 VSS VSS 66
DDR_A_DM3 67 68 DDR_A_DQS#3
DM3 DQS3#

m
69 70 DDR_A_DQS3
NC DQS3
71 VSS VSS 72
DDR_A_D26 73 74 DDR_A_D31
DDR_A_D27 DQ26 DQ30 DDR_A_D30
75 DQ27 DQ31 76
77 VSS VSS 78

e
DDR_CKE0_DIMMA 79 80 DDR_CKE1_DIMMA
C 9 DDR_CKE0_DIMMA CKE0 NC/CKE1 DDR_CKE1_DIMMA 9 C
81 VDD VDD 82
83 NC NC/A15 84
DDR_A_BS#2 85 86
10 DDR_A_BS#2 BA2 NC/A14
Layout Note: 87 VDD VDD 88

h
DDR_A_MA12 89 90 DDR_A_MA11
Place one cap close to every 2 pullup DDR_A_MA9 A12 A11 DDR_A_MA7
91 A9 A7 92
DDR_A_MA8 93 94 DDR_A_MA6
resistors terminated to +0.9VS A8 A6
95 VDD VDD 96
DDR_A_MA5 97 98 DDR_A_MA4

c
DDR_A_MA3 A5 A4 DDR_A_MA2
99 A3 A2 100
DDR_A_MA1 101 102 DDR_A_MA0
A1 A0
103 VDD VDD 104
DDR_A_MA10 105 106 DDR_A_BS#1
A10/AP BA1 DDR_A_BS#1 10
DDR_A_BS#0 107 108 DDR_A_RAS#

s
10 DDR_A_BS#0 BA0 RAS# DDR_A_RAS# 10
DDR_A_WE# 109 110 DDR_CS0_DIMMA#
+0.9VS 10 DDR_A_WE# WE# S0# DDR_CS0_DIMMA# 9
111 VDD VDD 112
DDR_A_CAS# 113 114 M_ODT0

-
10 DDR_A_CAS# CAS# ODT0 M_ODT0 9
DDR_CS1_DIMMA# 115 116 DDR_A_MA13
9 DDR_CS1_DIMMA# NC/S1# NC/A13
117 VDD VDD 118
0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

9 M_ODT1 M_ODT1 119 120


NC/ODT1 NC
121 VSS VSS 122
1 1 1 1 1 1 1 1 1 1 1 1 1 DDR_A_D37 123 124 DDR_A_D32

p
DDR_A_D36 DQ32 DQ36 DDR_A_D33
125 DQ33 DQ37 126
127 VSS VSS 128
DDR_A_DQS#4 129 130 DDR_A_DM4
2 2 2 2 2 2 2 2 2 2 2 2 2 DDR_A_DQS4 DQS4# DM4
131 DQS4 VSS 132
C139

C62

C75

C76

C94

C88

C106

C103

C117

C110

C126

C122

C130

133 134 DDR_A_D39


VSS DQ38

to
DDR_A_D35 135 136 DDR_A_D38
DDR_A_D34 DQ34 DQ39
137 DQ35 VSS 138
139 140 DDR_A_D45
DDR_A_D40 VSS DQ44 DDR_A_D43
141 DQ40 DQ45 142
DDR_A_D44 143 144
B DQ41 VSS DDR_A_DQS#5 B
145 VSS DQS5# 146
DDR_A_DM5 147 148 DDR_A_DQS5
DM5 DQS5
149 150

p
DDR_A_D41 VSS VSS DDR_A_D47
151 DQ42 DQ46 152
DDR_A_D46 153 154 DDR_A_D42
DQ43 DQ47
155 VSS VSS 156
DDR_A_D49 157 158 DDR_A_D52
DDR_A_D48 DQ48 DQ52 DDR_A_D53
159 160

l. a
DQ49 DQ53
161 VSS VSS 162
163 164 M_CLK_DDR1
+0.9VS NC,TEST CK1 M_CLK_DDR1 9
Layout Note: 165 166 M_CLK_DDR#1
VSS CK1# M_CLK_DDR#1 9
DDR_A_DQS#6 167 168
RP18 RP26 56_0404_4P2R_5%
Place these resistor DDR_A_DQS6 DQS6# VSS DDR_A_DM6
169 DQS6 DM6 170
DDR_A_MA5 1 4 4 1 DDR_CKE0_DIMMA closely JP41,all 171 172
DDR_A_MA8 VSS VSS
2 3 3 2 DDR_A_BS#2 trace length Max=1.5" DDR_A_D54 173 DQ50 DQ54 174 DDR_A_D51
DDR_A_D50 175 176 DDR_A_D55
RP14 56_0404_4P2R_5% RP21 56_0404_4P2R_5% DQ51 DQ55
177 VSS VSS 178
DDR_A_MA3 1 4 4 1 DDR_A_MA11 DDR_A_D61 179 180 DDR_A_D57
DDR_A_MA1 DQ56 DQ60
2 3 3 2 DDR_A_MA7 DDR_A_D60 181 DQ57 DQ61 182 DDR_A_D56

w
183 VSS VSS 184
56_0404_4P2R_5% RP22 56_0404_4P2R_5% RP9 DDR_A_DM7 185 186 DDR_A_DQS#7
DDR_A_RAS# DM7 DQS7#
1 4 4 1 DDR_A_MA12 187 VSS DQS7 188 DDR_A_DQS7
DDR_CS0_DIMMA# 2 3 3 2 DDR_A_MA9 DDR_A_D59 189 190
DDR_A_D58 DQ58 VSS DDR_A_D62
191 DQ59 DQ62 192
RP10 56_0404_4P2R_5% RP17 56_0404_4P2R_5% 193 194 DDR_A_D63
DDR_A_MA10 VSS DQ63
1 4 4 1 DDR_A_MA2 8,13 CLK_SMBDATA
CLK_SMBDATA 195 SDA VSS 196

w
DDR_A_BS#0 2 3 3 2 DDR_A_MA4 CLK_SMBCLK 197 198
8,13 CLK_SMBCLK SCL SAO
+3VS 199 VDDSPD SA1 200
RP6 56_0404_4P2R_5% RP13 56_0404_4P2R_5% 1

1
10K_0402_5%

10K_0402_5%
DDR_A_WE# 1 4 4 1 DDR_A_MA0 1 1
DDR_A_CAS# 2 3 3 2 DDR_A_BS#1 C39 C42 P-TWO_A5692C-A0G16
R34

R35
A RP2 56_0404_4P2R_5% RP4 56_0404_4P2R_5% 0.1U_0402_16V4Z 2.2U_0603_6.3V6K SO-DIMM A A

w
DDR_CS1_DIMMA# 2 2 2
3 4 1 M_ODT0
REVERSE
2

2
M_ODT1 1 4 3 2 DDR_A_MA13

56_0404_4P2R_5% RP25 56_0404_4P2R_5%


4 1 DDR_A_MA6 Top side
3 2 DDR_CKE1_DIMMA

5 4
5 4 3 2 1

+1.8V +1.8V
10 DDR_B_DQS#[0..7] Close to VREF pins of SO-DIMM

m
10 DDR_B_D[0..63]
V_DDR_MCH_REF
V_DDR_MCH_REF 7,9
10 DDR_B_DM[0..7] JDIM2

2.2U_0805_16V4Z

0.1U_0402_16V4Z
10 DDR_B_DQS[0..7] 1 2

o
VREF VSS DDR_B_D5
3 VSS DQ4 4 1 1
10 DDR_B_MA[0..13] DDR_B_D0 5 6 DDR_B_D4
DQ0 DQ5

C149

C155
DDR_B_D1 7 8
DQ1 VSS DDR_B_DM0
9 VSS DM0 10
DDR_B_DQS#0 2 2

c
11 DQS0# VSS 12
DDR_B_DQS0 13 14 DDR_B_D6
DQS0 DQ6 DDR_B_D7
15 VSS DQ7 16

.
DDR_B_D2 17 18
D DDR_B_D3 DQ2 VSS DDR_B_D12 D
Layout Note: 19 DQ3 DQ12 20
DDR_B_D13
21 VSS DQ13 22
Place near JP42 DDR_B_D8 23 24
DDR_B_D9 DQ8 VSS DDR_B_DM1
25 26

s
DQ9 DM1
27 VSS VSS 28
DDR_B_DQS#1 29 30 M_CLK_DDR3
DQS1# CK0 M_CLK_DDR3 9
DDR_B_DQS1 31 32 M_CLK_DDR#3
DQS1 CK0# M_CLK_DDR#3 9
33 VSS VSS 34
DDR_B_D10 DDR_B_D14

it c
35 DQ10 DQ14 36
DDR_B_D11 37 38 DDR_B_D15
+1.8V DQ11 DQ15
39 VSS VSS 40

41 VSS VSS 42
2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

2.2U_0603_6.3V6K

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z
DDR_B_D17 43 44 DDR_B_D21
DDR_B_D20 DQ16 DQ20 DDR_B_D16
1 1 1 1 1 1 1 1 1 45 DQ17 DQ21 46
C121

C82

C128

C102

C84

C115

C100

C90

C92
47 VSS VSS 48
DDR_B_DQS#2 49 50 2 1
DQS2# NC PM_EXTTS#0 7,9

a
DDR_B_DQS2 51 52 DDR_B_DM2
2 2 2 2 2 2 2 2 2 DQS2 DM2 R90 0_0402_5%
53 VSS VSS 54
DDR_B_D18 55 56 DDR_B_D22
DDR_B_D19 DQ18 DQ22 DDR_B_D23
57 DQ19 DQ23 58
59 VSS VSS 60
DDR_B_D28 61 62 DDR_B_D26
DDR_B_D25 DQ24 DQ28 DDR_B_D24
63 DQ25 DQ29 64
65 VSS VSS 66

m
DDR_B_DM3 67 68 DDR_B_DQS#3
DM3 DQS3# DDR_B_DQS3
69 NC DQS3 70
71 VSS VSS 72
DDR_B_D30 73 74 DDR_B_D29
DDR_B_D31 DQ26 DQ30 DDR_B_D27
75 DQ27 DQ31 76

e
77 VSS VSS 78
C DDR_CKE2_DIMMB DDR_CKE3_DIMMB C
9 DDR_CKE2_DIMMB 79 CKE0 NC/CKE1 80 DDR_CKE3_DIMMB 9
81 VDD VDD 82
Layout Note: DDR_B_BS#2
83 NC NC/A15 84
10 DDR_B_BS#2 85 BA2 NC/A14 86
Place one cap close to every 2 pullup

h
87 VDD VDD 88
DDR_B_MA12 89 90 DDR_B_MA11
resistors terminated to +0.9VS DDR_B_MA9 A12 A11 DDR_B_MA7
91 A9 A7 92
DDR_B_MA8 93 94 DDR_B_MA6
A8 A6
95 96

c
DDR_B_MA5 VDD VDD DDR_B_MA4
97 A5 A4 98
DDR_B_MA3 99 100 DDR_B_MA2
DDR_B_MA1 A3 A2 DDR_B_MA0
101 A1 A0 102
103 VDD VDD 104
DDR_B_MA10 105 106 DDR_B_BS#1

s
+0.9VS A10/AP BA1 DDR_B_BS#1 10
DDR_B_BS#0 107 108 DDR_B_RAS#
10 DDR_B_BS#0 BA0 RAS# DDR_B_RAS# 10
DDR_B_WE# 109 110 DDR_CS2_DIMMB#
10 DDR_B_WE# WE# S0# DDR_CS2_DIMMB# 9
111 112

-
DDR_B_CAS# VDD VDD M_ODT2
10 DDR_B_CAS# 113 CAS# ODT0 114 M_ODT2 9
0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

DDR_CS3_DIMMB# 115 116 DDR_B_MA13


9 DDR_CS3_DIMMB# NC/S1# NC/A13
117 VDD VDD 118
1 1 1 1 1 1 1 1 1 1 1 1 1 M_ODT3 119 120
9 M_ODT3 NC/ODT1 NC
121 122

p
DDR_B_D32 VSS VSS DDR_B_D36
123 DQ32 DQ36 124
DDR_B_D33 125 126 DDR_B_D37
2 2 2 2 2 2 2 2 2 2 2 2 2 DQ33 DQ37
127 VSS VSS 128
C107

C91

C95

C80

C79

C68

C67

C129

C123

C127

C108

C118

C104

DDR_B_DQS#4 129 130 DDR_B_DM4


DDR_B_DQS4 DQS4# DM4
131 DQS4 VSS 132

to
133 134 DDR_B_D39
DDR_B_D34 VSS DQ38 DDR_B_D38
135 DQ34 DQ39 136
DDR_B_D35 137 138
DQ35 VSS DDR_B_D44
139 VSS DQ44 140
DDR_B_D40 141 142 DDR_B_D45
B DDR_B_D41 DQ40 DQ45 B
143 DQ41 VSS 144
145 146 DDR_B_DQS#5
DDR_B_DM5 VSS DQS5# DDR_B_DQS5
147 148

p
DM5 DQS5
149 VSS VSS 150
DDR_B_D42 151 152 DDR_B_D46
DDR_B_D43 DQ42 DQ46 DDR_B_D47
153 DQ43 DQ47 154
155 VSS VSS 156
DDR_B_D48 157 158 DDR_B_D52

l. a
DDR_B_D49 DQ48 DQ52 DDR_B_D53
Layout Note: 159 DQ49 DQ53 160
Place these resistor 161 VSS VSS 162
+0.9VS 163 164 M_CLK_DDR2
closely JP42,all NC,TEST CK1 M_CLK_DDR2 9
165 166 M_CLK_DDR#2
RP16 RP23 56_0404_4P2R_5% DDR_B_DQS#6 VSS CK1# M_CLK_DDR#2 9
trace length Max=1.5" 167 DQS6# VSS 168
DDR_B_MA1 1 4 4 1 DDR_B_MA12 DDR_B_DQS6 169 170 DDR_B_DM6
DDR_B_MA3 DDR_B_MA9 DQS6 DM6
2 3 3 2 171 VSS VSS 172
DDR_B_D51 173 174 DDR_B_D54
RP11 56_0404_4P2R_5% RP24 56_0404_4P2R_5% DDR_B_D50 DQ50 DQ54 DDR_B_D55
175 DQ51 DQ55 176
DDR_B_MA10 1 4 4 1 DDR_CKE3_DIMMB 177 178
DDR_B_BS#0 DDR_B_MA11 DDR_B_D56 VSS VSS DDR_B_D60
2 3 3 2 179 DQ56 DQ60 180

w
DDR_B_D61 181 182 DDR_B_D57
RP12 56_0404_4P2R_5% RP20 56_0404_4P2R_5% DQ57 DQ61
183 VSS VSS 184
DDR_B_MA0 1 4 4 1 DDR_B_MA5 DDR_B_DM7 185 186 DDR_B_DQS#7
DDR_B_BS#1 DDR_B_MA8 DM7 DQS7# DDR_B_DQS7
2 3 3 2 187 VSS DQS7 188
DDR_B_D59 189 190
56_0404_4P2R_5% RP19 56_0404_4P2R_5% RP8 DDR_B_D58 DQ58 VSS DDR_B_D62
191 DQ59 DQ62 192
DDR_B_RAS# 1 4 4 1 DDR_B_MA7 193 194 DDR_B_D63
VSS DQ63

w
DDR_CS2_DIMMB# 2 3 3 2 DDR_B_MA6 CLK_SMBDATA 195 196
7,13 CLK_SMBDATA SDA VSS
CLK_SMBCLK 197 198 R31
7,13 CLK_SMBCLK SCL SAO
RP7 56_0404_4P2R_5% RP15 56_0404_4P2R_5% 199 200 1 2 +3VS
+3VS VDDSPD SA1
DDR_B_CAS# 1 4 4 1 DDR_B_MA4

1
10K_0402_5%
DDR_B_WE# 2 3 3 2 DDR_B_MA2 1 1 10K_0402_5%

R32
RP3 C38 C43 P-TWO_A5652C-A0G16
A 56_0404_4P2R_5% RP5 56_0404_4P2R_5% A
SO-DIMM B

w
DDR_CS3_DIMMB# 2 3 4 1 DDR_B_MA13 0.1U_0402_16V4Z 2.2U_0603_6.3V6K CONN@
M_ODT3 M_ODT2 2 2
1 4 3 2
STANDARD
2

56_0404_4P2R_5% RP27
4 1 DDR_CKE2_DIMMB Bottom side
3 2 DDR_B_BS#2

56_0404_4P2R_5%

5 4
5 4 3 2 1

4 H_D#[0..63] H_A#[3..31] 4 Description at page13.


U23A U23B

m
H_D#0 F1 H9 H_A#3
H_D#1 HD0# HA3# H_A#4 DMI_TXN0 MCH_CLKSEL0
J1 HD1# HA4# C9 17 DMI_TXN0 AE35 DMIRXN0 CFG0 K16 MCH_CLKSEL0 13
H_D#2 H1 E11 H_A#5 DMI_TXN1 AF39 K18 MCH_CLKSEL1
HD2# HA5# 17 DMI_TXN1 DMIRXN1 CFG1 MCH_CLKSEL1 13
H_D#3 J6 G11 H_A#6 DMI_TXN2 AG35 J18 MCH_CLKSEL2
HD3# HA6# 17 DMI_TXN2 DMIRXN2 CFG2 MCH_CLKSEL2 13
H_D#4 H3 F11 H_A#7 DMI_TXN3 AH39 F18 CFG3
17 DMI_TXN3 CFG3 12

o
H_D#5 HD4# HA7# H_A#8 DMIRXN3 CFG3 CFG4
K2 HD5# HA8# G12 CFG4 E15 PAD T2
H_D#6 G1 F9 H_A#9 F15 CFG5
HD6# HA9# CFG5 CFG5 12
H_D#7 G2 H11 H_A#10 DMI_TXP0 AC35 E18 CFG6 PAD T1
HD7# HA10# 17 DMI_TXP0 DMIRXP0 CFG6
H_D#8 K9 J12 H_A#11 DMI_TXP1 AE39 D19 CFG7
HD8# HA11# 17 DMI_TXP1 DMIRXP1 CFG7 CFG7 12
H_D#9 H_A#12 DMI_TXP2 CFG8

c
K1 HD9# HA12# G14 17 DMI_TXP2 AF35 DMIRXP2 CFG8 D16 PAD T4

DMI
H_D#10 K7 D9 H_A#13 DMI_TXP3 AG39 G16 CFG9
HD10# HA13# 17 DMI_TXP3 DMIRXP3 CFG9 CFG9 12
H_D#11 J8 J14 H_A#14 E16 CFG10 PAD T3
HD11# HA14# CFG10

.
H_D#12 H4 H13 H_A#15 D15 CFG11
D HD12# HA15# CFG11 CFG11 12 D
H_D#13 J3 J15 H_A#16 DMI_RXN0 AE37 G15 CFG12
HD13# HA16# 17 DMI_RXN0 DMITXN0 CFG12 CFG12 12
H_D#14 K11 F14 H_A#17 DMI_RXN1 AF41 K15 CFG13
HD14# HA17# 17 DMI_RXN1 DMITXN1 CFG13 CFG13 12

CFG
H_D#15 G4 D12 H_A#18 DMI_RXN2 AG37 C15 CFG14 PAD T5
HD15# HA18# 17 DMI_RXN2 DMITXN2 CFG14
H_D#16 T10 A11 H_A#19 DMI_RXN3 AH41 H16 CFG15 T7

s
HD16# HA19# 17 DMI_RXN3 DMITXN3 CFG15 PAD
H_D#17 W11 C11 H_A#20 G18 CFG16
HD17# HA20# CFG16 CFG16 12
H_D#18 T3 A12 H_A#21 H15 CFG17
HD18# HA21# CFG17 CFG17 12
H_D#19 U7 A13 H_A#22 DMI_RXP0 AC37 J25 CFG18
HD19# HA22# 17 DMI_RXP0 DMITXP0 CFG18 CFG18 12
H_D#20 U9 E13 H_A#23 DMI_RXP1 AE41 K27 CFG19
HD20# HA23# 17 DMI_RXP1 DMITXP1 CFG19 CFG19 12
H_D#21 H_A#24 DMI_RXP2 CFG20

it c
U11 HD21# HA24# G13 17 DMI_RXP2 AF37 DMITXP2 CFG20 J26 CFG20 12
H_D#22 T11 F12 H_A#25 DMI_RXP3 AG41
HD22# HA25# 17 DMI_RXP3 DMITXP3
H_D#23 W9 B12 H_A#26
H_D#24 HD23# HA26# H_A#27
T1 HD24# HA27# B14 G_CLKP AG33 CLK_MCH_3GPLL CLK_MCH_3GPLL 13
H_D#25 T8 C12 H_A#28 M_CLK_DDR0 AY35 AF33 CLK_MCH_3GPLL#
HD25# HA28# 7 M_CLK_DDR0 SM_CK0 G_CLKN CLK_MCH_3GPLL# 13
H_D#26 T4 A14 H_A#29 M_CLK_DDR1 AR1
HD26# HA29# 7 M_CLK_DDR1 SM_CK1
H_D#27 W7 C14 H_A#30 M_CLK_DDR2 AW7 A27 CLK_MCH_DREFCLK#

CLK
HD27# HA30# 8 M_CLK_DDR2 SM_CK2 D_REF_CLKN CLK_MCH_DREFCLK# 13
H_D#28 U5 D14 H_A#31 M_CLK_DDR3 AW40 A26 CLK_MCH_DREFCLK
HD28# HA31# 8 M_CLK_DDR3 SM_CK3 D_REF_CLKP CLK_MCH_DREFCLK 13
H_D#29 T9
H_D#30 HD29# M_CLK_DDR#0
W6 HD30# 7 M_CLK_DDR#0 AW35 SM_CK0# D_REF_SSCLKN C40 MCH_SSCDREFCLK# MCH_SSCDREFCLK# 13

a
H_D#31 T5 M_CLK_DDR#1 AT1 D41 MCH_SSCDREFCLK
H_D#32
H_D#33
H_D#34
AB7
AA9
W4
HD31#
HD32#
HD33#
HD34#
HOST HREQ#0
HREQ#1
HREQ#2
D8
G8
B8
H_REQ#0
H_REQ#1
H_REQ#2
H_REQ#[0..4] 4 7
8
8
M_CLK_DDR#1
M_CLK_DDR#2
M_CLK_DDR#3
M_CLK_DDR#2
M_CLK_DDR#3
AY7
AY40
SM_CK1#
SM_CK2#
SM_CK3#
D_REF_SSCLKP

CLK_REQ# H32 CLKREQA#


MCH_SSCDREFCLK 13

CLKREQA# 13
H_D#35 W3 F8 H_REQ#3 DDR_CKE0_DIMMA AU20
HD35# HREQ#3 7 DDR_CKE0_DIMMA SM_CKE0

DDR MUXING
H_D#36 Y3 A8 H_REQ#4 DDR_CKE1_DIMMA AT20
HD36# HREQ#4 7 DDR_CKE1_DIMMA SM_CKE1
H_D#37 Y7 DDR_CKE2_DIMMB BA29 A3
HD37# 8 DDR_CKE2_DIMMB SM_CKE2 NC0
H_D#38 W5 DDR_CKE3_DIMMB AY29 A39
HD38# 8 DDR_CKE3_DIMMB SM_CKE3 NC1

m
H_D#39 Y10 B9 H_ADSTB#0 A4
HD39# HADSTB#0 H_ADSTB#0 4 NC2
H_D#40 AB8 C13 H_ADSTB#1 DDR_CS0_DIMMA# AW13 A40
HD40# HADSTB#1 H_ADSTB#1 4 7 DDR_CS0_DIMMA# SM_CS0# NC3
H_D#41 W2 DDR_CS1_DIMMA# AW12 AW1
HD41# 7 DDR_CS1_DIMMA# SM_CS1# NC4
H_D#42 AA4 AG1 CLK_MCH_BCLK# DDR_CS2_DIMMB# AY21 AW41
HD42# HCLKN CLK_MCH_BCLK# 13 8 DDR_CS2_DIMMB# SM_CS2# NC5
H_D#43 AA7 AG2 CLK_MCH_BCLK DDR_CS3_DIMMB# AW21 AY1
HD43# HCLKP CLK_MCH_BCLK 13 8 DDR_CS3_DIMMB# SM_CS3# NC6

e
H_D#44 AA2 BA1

NC
C HD44# H_DSTBN#[0..3] 4 NC7 C
H_D#45 AA6 K4 H_DSTBN#0 M_OCDOCMP0 AL20 BA2
H_D#46 HD45# HDSTBN#0 H_DSTBN#1 M_OCDOCMP1 SM_OCDCOMP0 NC8
AA10 HD46# HDSTBN#1 T7 AF10 SM_OCDCOMP1 NC9 BA3
H_D#47 Y8 Y5 H_DSTBN#2 BA39
H_D#48 HD47# HDSTBN#2 H_DSTBN#3 M_ODT0 NC10
AA1 HD48# HDSTBN#3 AC4 H_DSTBP#[0..3] 4 7 M_ODT0 BA13 SM_ODT0 NC11 BA40
+1.8V

h
H_D#49 AB4 K3 H_DSTBP#0 M_ODT1 BA12 BA41
HD49# HDSTBP#0 7 M_ODT1 SM_ODT1 NC12
H_D#50 AC9 T6 H_DSTBP#1 M_ODT2 AY20 C1
HD50# HDSTBP#1 8 M_ODT2 SM_ODT2 NC13
H_D#51 AB11 AA5 H_DSTBP#2 M_ODT3 AU21 AY41
HD51# HDSTBP#2 8 M_ODT3 SM_ODT3 NC14
H_D#52 AC11 AC5 H_DSTBP#3 B2
H_D#53 HD52# HDSTBP#3 R47 SMRCOMPN NC15
AB3 1 2 80.6_0402_1% AV9 B41

c
+VCCP H_D#54 HD53# SMRCOMPP SM_RCOMPN NC16
AC2 HD54# 1 2 AT9 SM_RCOMPP NC17 C41
H_D#55 AD1 J7 H_DINV#0 R46 80.6_0402_1% D1
HD55# HDINV#0 H_DINV#0 4 NC18
H_D#56 AD9 W8 H_DINV#1 AK1
HD56# HDINV#1 H_DINV#1 4 SM_VREF0
H_D#57 AC1 U3 H_DINV#2 V_DDR_MCH_REF AK41
HD57# HDINV#2 H_DINV#2 4 SM_VREF1
54.9_0402_1%

54.9_0402_1%

H_D#58 AD7 AB10 H_DINV#3 T32

s
HD58# HDINV#3 H_DINV#3 4 RESERVED1
1

H_D#59 AC6 R32


HD59# RESERVED2
R38

R335

H_D#60 AB5 17 PM_BMBUSY# PM_BMBUSY# G28 F3


H_D#61 HD60# H_RESET# PM_EXTTS#0 PM_BMBUSY# RESERVED3
AD10 B7 F25 F7

-
HD61# HCPURST# H_RESET# 4 7,8 PM_EXTTS#0 PM_EXTTS0# RESERVED4

PM

RESERVED
H_D#62 AD4 E8 H_ADS# PM_EXTTS#1 PM_EXTTS#1 H26 AG11
HD62# HADS# H_ADS# 4 PM_EXTTS1# RESERVED5
H_D#63 AC8 E7 H_TRDY# 4,16 H_THERMTRIP# H_THERMTRIP# G6 AF11
H_TRDY# 4
2

HD63# HTRDY# H_DPWR# NB_PWRGD PM_THERMTRIP# RESERVED6


HDPWR# J9 H_DPWR# 4 15 NB_PWRGD AH33 PWROK RESERVED7 H7
H8 H_DRDY# 2 1 PLTRST_R# AH34 J19
HDRDY# H_DRDY# 4 15,17,22,23,26 PLT_RST# RSTIN# RESERVED8
J13 C3 H_DEFER# R76 100_0402_1% A41
H_DEFER# 4

p
H_VREF HVREF0 HDEFER# H_HITM# RESERVED9
K13 HVREF1 HHITM# D4 H_HITM# 4 15 MCH_ICH_SYNC# K28 ICH_SYNC# RESERVED10 A34
H_XRCOMP E1 D3 H_HIT# D28
HXRCOMP HHIT# H_HIT# 4 RESERVED11
H_XSCOMP E2 B3 H_LOCK# D27
HXSCOMP HLOCK# H_LOCK# 4 RESERVED12
H_YRCOMP Y1 C7 H_BR0# A35
HYRCOMP HBREQ0# H_BR0# 4 RESERVED13
H_YSCOMP U1 C6 H_BNR#
HYSCOMP HBNR# H_BNR# 4

to
H_SWNG0 E4 F6 H_BPRI# CALISTOGA_FCBGA1466~D
HXSWING HBPRI# H_BPRI# 4
H_SWNG1 W1 A7 H_DBSY# <BOM Structure>
HYSWING HDBSY# H_DBSY# 4
E3 H_CPUSLP# Layout Note:
HCPUSLP# H_CPUSLP# 4,16
24.9_0402_1%

24.9_0402_1%

V_DDR_MCH_REF
1

trace width and


R336

R41

B H_RS#0 B
HRS0# B4
E6 H_RS#1 spacing is 20/20.
HRS1# H_RS#2
D6

p
HRS2#
H_RS#[0..2] 4
2

CALISTOGA_FCBGA1466~D +1.8V
<BOM Structure> Layout Note:
Route as short

l. a 1
as possible
R40
Layout Note: +3VS
100_0402_1%
H_XRCOMP / H_YRCOMP / H_VREF / H_SWNG0 / V_DDR_MCH_REF
7,8 V_DDR_MCH_REF

1 2
0.1U_0402_16V4Z

H_SWNG1 trace width and spacing is 10/20.


M_OCDOCMP0
1 R44 M_OCDOCMP1 R67
+VCCP +VCCP 10K_0402_5%
C47

100_0402_1% PM_EXTTS#0 2 1
+VCCP

40.2_0402_1%

40.2_0402_1%
w
2

1
2
221_0603_1%

221_0603_1%

@ R65 @ R66
1

1
100_0402_1%

0_0402_5% 10K_0402_5%
1

R43

R33

@ R59

R50
1 2PM_EXTTS#1 2 1
R51

2
@
2

w
H_SWNG0 H_SWNG1
2

H_VREF
DPRSLPVR 17,37
0.1U_0402_16V4Z

0.1U_0402_16V4Z
1

1
100_0402_1%

100_0402_1%
0.1U_0402_16V4Z

1 1 Stuff R286 & R281 for A1 Calistoga


1

200_0402_1%

R45

R42

1
R53

C57

C52

C44

A A

w
2 2
2

2
2

5 4
m
U23D U23E
DDR_A_D[0..63] 7 DDR_B_D[0..63] 8

o
DDR_A_BS#0 AU12 AJ35 DDR_A_D0 DDR_B_BS#0 AT24 AK39 DDR_B_D0
7 DDR_A_BS#0 SA_BS0 SA_DQ0 8 DDR_B_BS#0 SB_BS0 SB_DQ0
DDR_A_BS#1 AV14 AJ34 DDR_A_D1 DDR_B_BS#1 AV23 AJ37 DDR_B_D1
7 DDR_A_BS#1 SA_BS1 SA_DQ1 8 DDR_B_BS#1 SB_BS1 SB_DQ1
DDR_A_BS#2 BA20 AM31 DDR_A_D2 DDR_B_BS#2 AY28 AP39 DDR_B_D2
7 DDR_A_BS#2 SA_BS2 SA_DQ2 8 DDR_B_BS#2 SB_BS2 SB_DQ2
AM33 DDR_A_D3 AR41 DDR_B_D3
SA_DQ3 DDR_A_D4 SB_DQ3 DDR_B_D4
AJ36 AJ38

c
SA_DQ4 DDR_A_D5 SB_DQ4 DDR_B_D5
7 DDR_A_DM[0..7] SA_DQ5 AK35 8 DDR_B_DM[0..7] SB_DQ5 AK38
DDR_A_DM0 AJ33 AJ32 DDR_A_D6 DDR_B_DM0 AK36 AN41 DDR_B_D6

.
DDR_A_DM1 SA_DM0 SA_DQ6 DDR_A_D7 DDR_B_DM1 SB_DM0 SB_DQ6 DDR_B_D7
AM35 SA_DM1 SA_DQ7 AH31 AR38 SB_DM1 SB_DQ7 AP41
DDR_A_DM2 AL26 AN35 DDR_A_D8 DDR_B_DM2 AT36 AT40 DDR_B_D8
DDR_A_DM3 SA_DM2 SA_DQ8 DDR_A_D9 DDR_B_DM3 SB_DM2 SB_DQ8 DDR_B_D9
AN22 SA_DM3 SA_DQ9 AP33 BA31 SB_DM3 SB_DQ9 AV41
DDR_A_DM4 AM14 AR31 DDR_A_D10 DDR_B_DM4 AL17 AU38 DDR_B_D10
SA_DM4 SA_DQ10 SB_DM4 SB_DQ10

s
DDR_A_DM5 AL9 AP31 DDR_A_D11 DDR_B_DM5 AH8 AV38 DDR_B_D11
DDR_A_DM6 SA_DM5 SA_DQ11 DDR_A_D12 DDR_B_DM6 SB_DM5 SB_DQ11 DDR_B_D12
AR3 SA_DM6 SA_DQ12 AN38 BA5 SB_DM6 SB_DQ12 AP38
DDR_A_DM7 AH4 AM36 DDR_A_D13 DDR_B_DM7 AN4 AR40 DDR_B_D13
SA_DM7 SA_DQ13 DDR_A_D14 SB_DM7 SB_DQ13 DDR_B_D14
SA_DQ14 AM34 SB_DQ14 AW38
AN33 DDR_A_D15 AY38 DDR_B_D15

it c
SA_DQ15 DDR_A_D16 SB_DQ15 DDR_B_D16
SA_DQ16 AK26 8 DDR_B_DQS[0..7] SB_DQ16 BA38
7 DDR_A_DQS[0..7] AL27 DDR_A_D17 AV36 DDR_B_D17
DDR_A_DQS0 SA_DQ17 DDR_A_D18 DDR_B_DQS0 SB_DQ17 DDR_B_D18
AK33 SA_DQS0 SA_DQ18 AM26 AM39 SB_DQS0 SB_DQ18 AR36
DDR_A_DQS1 AT33 AN24 DDR_A_D19 DDR_B_DQS1 AT39 AP36 DDR_B_D19
DDR_A_DQS2 SA_DQS1 SA_DQ19 DDR_A_D20 DDR_B_DQS2 SB_DQS1 SB_DQ19 DDR_B_D20
AN28 AK28 AU35 BA36

DDR SYS MEMORY A

DDR SYS MEMORY B


DDR_A_DQS3 SA_DQS2 SA_DQ20 DDR_A_D21 DDR_B_DQS3 SB_DQS2 SB_DQ20 DDR_B_D21
AM22 SA_DQS3 SA_DQ21 AL28 AR29 SB_DQS3 SB_DQ21 AU36
DDR_A_DQS4 AN12 AM24 DDR_A_D22 DDR_B_DQS4 AR16 AP35 DDR_B_D22
DDR_A_DQS5 SA_DQS4 SA_DQ22 DDR_A_D23 DDR_B_DQS5 SB_DQS4 SB_DQ22 DDR_B_D23
AN8 SA_DQS5 SA_DQ23 AP26 AR10 SB_DQS5 SB_DQ23 AP34
DDR_A_DQS6 AP3 AP23 DDR_A_D24 DDR_B_DQS6 AR7 AY33 DDR_B_D24

a
DDR_A_DQS7 SA_DQS6 SA_DQ24 DDR_A_D25 DDR_B_DQS7 SB_DQS6 SB_DQ24 DDR_B_D25
AG5 SA_DQS7 SA_DQ25 AL22 AN5 SB_DQS7 SB_DQ25 BA33
AP21 DDR_A_D26 AT31 DDR_B_D26
SA_DQ26 DDR_A_D27 SB_DQ26 DDR_B_D27
7 DDR_A_DQS#[0..7] SA_DQ27 AN20 8 DDR_B_DQS#[0..7] SB_DQ27 AU29
DDR_A_DQS#0 AK32 AL23 DDR_A_D28 DDR_B_DQS#0 AM40 AU31 DDR_B_D28
DDR_A_DQS#1 SA_DQS0# SA_DQ28 DDR_A_D29 DDR_B_DQS#1 SB_DQS0# SB_DQ28 DDR_B_D29
AU33 SA_DQS1# SA_DQ29 AP24 AU39 SB_DQS1# SB_DQ29 AW31
DDR_A_DQS#2 AN27 AP20 DDR_A_D30 DDR_B_DQS#2 AT35 AV29 DDR_B_D30
DDR_A_DQS#3 SA_DQS2# SA_DQ30 DDR_A_D31 DDR_B_DQS#3 SB_DQS2# SB_DQ30 DDR_B_D31
AM21 AT21 AP29 AW29

m
DDR_A_DQS#4 SA_DQS3# SA_DQ31 DDR_A_D32 DDR_B_DQS#4 SB_DQS3# SB_DQ31 DDR_B_D32
AM12 SA_DQS4# SA_DQ32 AR12 AP16 SB_DQS4# SB_DQ32 AM19
DDR_A_DQS#5 AL8 AR14 DDR_A_D33 DDR_B_DQS#5 AT10 AL19 DDR_B_D33
DDR_A_DQS#6 SA_DQS5# SA_DQ33 DDR_A_D34 DDR_B_DQS#6 SB_DQS5# SB_DQ33 DDR_B_D34
AN3 SA_DQS6# SA_DQ34 AP13 AT7 SB_DQS6# SB_DQ34 AP14
DDR_A_DQS#7 AH5 AP12 DDR_A_D35 DDR_B_DQS#7 AP5 AN14 DDR_B_D35
SA_DQS7# SA_DQ35 DDR_A_D36 SB_DQS7# SB_DQ35 DDR_B_D36
AT13 AN17

e
SA_DQ36 DDR_A_D37 SB_DQ36 DDR_B_D37
SA_DQ37 AT12 SB_DQ37 AM16
7 DDR_A_MA[0..13] AL14 DDR_A_D38 8 DDR_B_MA[0..13] AP15 DDR_B_D38
DDR_A_MA0 SA_DQ38 DDR_A_D39 DDR_B_MA0 SB_DQ38 DDR_B_D39
AY16 SA_MA0 SA_DQ39 AL12 AY23 SB_MA0 SB_DQ39 AL15
DDR_A_MA1 AU14 AK9 DDR_A_D40 DDR_B_MA1 AW24 AJ11 DDR_B_D40
DDR_A_MA2 SA_MA1 SA_DQ40 DDR_A_D41 DDR_B_MA2 SB_MA1 SB_DQ40 DDR_B_D41

h
AW16 SA_MA2 SA_DQ41 AN7 AY24 SB_MA2 SB_DQ41 AH10
DDR_A_MA3 BA16 AK8 DDR_A_D42 DDR_B_MA3 AR28 AJ9 DDR_B_D42
DDR_A_MA4 SA_MA3 SA_DQ42 DDR_A_D43 DDR_B_MA4 SB_MA3 SB_DQ42 DDR_B_D43
BA17 SA_MA4 SA_DQ43 AK7 AT27 SB_MA4 SB_DQ43 AN10
DDR_A_MA5 AU16 AP9 DDR_A_D44 DDR_B_MA5 AT28 AK13 DDR_B_D44
DDR_A_MA6 SA_MA5 SA_DQ44 DDR_A_D45 DDR_B_MA6 SB_MA5 SB_DQ44 DDR_B_D45
AV17 AN9 AU27 AH11

c
DDR_A_MA7 SA_MA6 SA_DQ45 DDR_A_D46 DDR_B_MA7 SB_MA6 SB_DQ45 DDR_B_D46
AU17 SA_MA7 SA_DQ46 AT5 AV28 SB_MA7 SB_DQ46 AK10
DDR_A_MA8 AW17 AL5 DDR_A_D47 DDR_B_MA8 AV27 AJ8 DDR_B_D47
DDR_A_MA9 SA_MA8 SA_DQ47 DDR_A_D48 DDR_B_MA9 SB_MA8 SB_DQ47 DDR_B_D48
AT16 SA_MA9 SA_DQ48 AY2 AW27 SB_MA9 SB_DQ48 BA10
DDR_A_MA10 AU13 AW2 DDR_A_D49 DDR_B_MA10 AV24 AW10 DDR_B_D49
SA_MA10 SA_DQ49 SB_MA10 SB_DQ49

s
DDR_A_MA11 AT17 AP1 DDR_A_D50 DDR_B_MA11 BA27 BA4 DDR_B_D50
DDR_A_MA12 SA_MA11 SA_DQ50 DDR_A_D51 DDR_B_MA12 SB_MA11 SB_DQ50 DDR_B_D51
AV20 SA_MA12 SA_DQ51 AN2 AY27 SB_MA12 SB_DQ51 AW4
DDR_A_MA13 AV12 AV2 DDR_A_D52 DDR_B_MA13 AR23 AY10 DDR_B_D52

-
SA_MA13 SA_DQ52 DDR_A_D53 SB_MA13 SB_DQ52 DDR_B_D53
SA_DQ53 AT3 SB_DQ53 AY9
AN1 DDR_A_D54 AW5 DDR_B_D54
SA_DQ54 DDR_A_D55 SB_DQ54 DDR_B_D55
SA_DQ55 AL2 SB_DQ55 AY5
7 DDR_A_CAS# DDR_A_CAS# AY13 AG7 DDR_A_D56 8 DDR_B_CAS# DDR_B_CAS# AR24 AV4 DDR_B_D56
DDR_A_RAS# SA_CAS# SA_DQ56 DDR_A_D57 DDR_B_RAS# SB_CAS# SB_DQ56 DDR_B_D57
AW14 AF9 AU23 AR5

p
7 DDR_A_RAS# SA_RAS# SA_DQ57 8 DDR_B_RAS# SB_RAS# SB_DQ57
DDR_A_WE# AY14 AG4 DDR_A_D58 DDR_B_WE# AR27 AK4 DDR_B_D58
7 DDR_A_WE# SA_WE# SA_DQ58 8 DDR_B_WE# SB_WE# SB_DQ58
T9 PAD SA_RCVENIN# AK23 AF6 DDR_A_D59 T6 PAD SB_RCVENIN# AK16 AK3 DDR_B_D59
SA_RCVENOUT# SA_RCVENIN# SA_DQ59 DDR_A_D60 SB_RCVENOUT# SB_RCVENIN# SB_DQ59 DDR_B_D60
T10 PAD AK24 SA_RCVENOUT# SA_DQ60 AG9 T8 PAD AK18 SB_RCVENOUT# SB_DQ60 AT4
AH6 DDR_A_D61 AK5 DDR_B_D61
SA_DQ61 DDR_A_D62 SB_DQ61 DDR_B_D62

to
SA_DQ62 AF4 SB_DQ62 AJ5
AF8 DDR_A_D63 AJ3 DDR_B_D63
SA_DQ63 SB_DQ63
check layout check layout
CALISTOGA_FCBGA1466~D CALISTOGA_FCBGA1466~D
<BOM Structure> <BOM Structure>

DDR Thermal Sensor

l. a
+5VS

p 1
C391
@ 0.1U_0402_16V4Z

w
2
U26
SMB_EC_DA2 1 8
4,26 EC_SMB_DA2 SDA VCC
SMB_EC_CK2 2 7
4,26 EC_SMB_CK2 SCL A0
3 OS# A1 6
4 GND A2 5 1 2

w
R355 @ 1K_0402_5%
@ LM75CIMMX-5_MSOP8

w
5 4 3 2 1

R74 +1.5VS_PCIE
U23C 24.9_0402_1%

m
H27 D40 PEGCOMP
1 2
SDVOCTRL_DATA EXP_COMPI
H28 SDVOCTRL_CLK EXP_COMPO D38 U23F
+VCCP +1.5VS
F34 U23I U23J
LVDSA0+ EXP_RXN0
14 LVDSA0+ B37 LA_DATA0 EXP_RXN1 G38 AC41 VSS0 VSS100 AE34 AN21 VSS200 VSS280 AG10 AD27 VCC_NCTF0 VCCAUX_NCTF0 AG27
LVDSA1+

o
14 LVDSA1+ B34 LA_DATA1 EXP_RXN2 H34 AA41 VSS1 VSS101 AC34 AL21 VSS201 VSS281 AC10 AC27 VCC_NCTF1 VCCAUX_NCTF1 AF27
LVDSA2+ A36 J38 W41 C34 AB21 W10 AB27 AG26
14 LVDSA2+ LA_DATA2 EXP_RXN3 VSS2 VSS102 VSS202 VSS282 VCC_NCTF2 VCCAUX_NCTF2
EXP_RXN4 L34 T41 VSS3 VSS103 AW33 Y21 VSS203 VSS283 U10 AA27 VCC_NCTF3 VCCAUX_NCTF3 AF26
LVDSA0- C37 M38 P41 AV33 P21 BA9 Y27 AG25
14 LVDSA0- LVDSA1- LA_DATA#0 EXP_RXN5 VSS4 VSS104 VSS204 VSS284 VCC_NCTF4 VCCAUX_NCTF4
14 LVDSA1- B35 LA_DATA#1 EXP_RXN6 N34 M41 VSS5 VSS105 AR33 K21 VSS205 VSS285 AW9 W27 VCC_NCTF5 VCCAUX_NCTF5 AF25
LVDSA2-

c
14 LVDSA2- A37 LA_DATA#2 EXP_RXN7 P38 J41 VSS6 VSS106 AE33 J21 VSS206 VSS286 AR9 V27 VCC_NCTF6 VCCAUX_NCTF6 AG24
EXP_RXN8 R34 F41 VSS7 VSS107 AB33 H21 VSS207 VSS287 AH9 U27 VCC_NCTF7 VCCAUX_NCTF7 AF24
LVDSB0+ F30 T38 AV40 Y33 C21 AB9 T27 AG23
14 LVDSB0+ LB_DATA0 EXP_RXN9 VSS8 VSS108 VSS208 VSS288 VCC_NCTF8 VCCAUX_NCTF8

.
D LVDSB1+ D

0.22U_0603_10V7K

0.22U_0603_10V7K

0.22U_0603_10V7K
LVDS
14 LVDSB1+ D29 LB_DATA1 EXP_RXN10 V34 AP40 VSS9 VSS109 V33 AW20 VSS209 VSS289 Y9 R27 VCC_NCTF9 VCCAUX_NCTF9 AF23
LVDSB2+ F28 W38 AN40 T33 AR20 R9 AD26 AG22
14 LVDSB2+ LB_DATA2 EXP_RXN11 VSS10 VSS110 VSS210 VSS290 VCC_NCTF10 VCCAUX_NCTF10
EXP_RXN12 Y34 AK40 VSS11 VSS111 R33 AM20 VSS211 VSS292 G9 1 1 1 AC26 VCC_NCTF11 VCCAUX_NCTF11 AF22
LVDSB0- G30 AA38 AJ40 M33 AA20 E9 AB26 AG21
14 LVDSB0- LB_DATA#0 EXP_RXN13 VSS12 VSS112 VSS212 VSS291 VCC_NCTF12 VCCAUX_NCTF12

C71

C63
C113
LVDSB1- D30 AB34 AH40 H33 K20 A9 AA26 AF21
14 LVDSB1- LB_DATA#1 EXP_RXN14 VSS13 VSS113 VSS213 VSS293 VCC_NCTF13 VCCAUX_NCTF13

s
LVDSB2- F29 AC38 AG40 G33 B20 AG8 Y26 AG20
14 LVDSB2- LB_DATA#2 EXP_RXN15 VSS14 VSS114 VSS214 VSS294 2 2 2 VCC_NCTF14 VCCAUX_NCTF14
AF40 VSS15 VSS115 F33 A20 VSS215 VSS295 AD8 W26 VCC_NCTF15 VCCAUX_NCTF15 AF20
LVDSAC+ A32 D34 AE40 D33 AN19 AA8 V26 AG19
14 LVDSAC+ LA_CLK EXP_RXP0 VSS16 VSS116 VSS216 VSS296 VCC_NCTF16 VCCAUX_NCTF16
LVDSAC- A33 F38 B40 B33 AC19 U8 U26 AF19
14 LVDSAC- LVDSBC+ LA_CLK# EXP_RXP1 VSS17 VSS117 VSS217 VSS297 VCC_NCTF17 VCCAUX_NCTF17
14 LVDSBC+ E26 LB_CLK EXP_RXP2 G34 AY39 VSS18 VSS118 AH32 W19 VSS218 VSS298 K8 T26 VCC_NCTF18 VCCAUX_NCTF18 R19

it c
LVDSBC- E27 H38 AW39 AG32 K19 C8 R26 AG18
14 LVDSBC- LB_CLK# EXP_RXP3 VSS19 VSS119 VSS219 VSS299 VCC_NCTF19 VCCAUX_NCTF19

PCI-EXPRESS GRAPHICS
EXP_RXP4 J34 AV39 VSS20 VSS120 AF32 G19 VSS220 VSS300 BA7 AD25 VCC_NCTF20 VCCAUX_NCTF20 AF18
BIA_PWM D32 L38 AR39 AE32 C19 AV7 AC25 R18
14 BIA_PWM LBKLT_CTL EXP_RXP5 VSS21 VSS121 VSS221 VSS301 VCC_NCTF21 VCCAUX_NCTF21
14,26 GMCH_ENBKL GMCH_ENBKL J30 M34 AN39 AC32 AH18 AP7 AB25 AG17
LCTLA_CLK LBKLT_EN EXP_RXP6 VSS22 VSS122 VSS222 VSS302 VCC_NCTF22 VCCAUX_NCTF22
H30 LCTLA_CLK EXP_RXP7 N38 AJ39 VSS23 VSS123 AB32 P18 VSS223 VSS303 AL7 AA25 VCC_NCTF23 VCCAUX_NCTF23 AF17
LCTLB_DATA H29 P34 AC39 G32 H18 AJ7 Y25 AE17
EDID_CLK_LCD LCTLB_DATA EXP_RXP8 VSS24 VSS124 VSS224 VSS304 VCC_NCTF24 VCCAUX_NCTF24
14 EDID_CLK_LCD G26 LDDC_CLK EXP_RXP9 R38 AB39 VSS25 VSS125 B32 D18 VSS225 VSS305 AH7 W25 VCC_NCTF25 VCCAUX_NCTF25 AD17
EDID_DAT_LCD G25 T34 AA39 AY31 A18 AF7 V25 AB17
14 EDID_DAT_LCD LDDC_DATA EXP_RXP10 VSS26 VSS126 VSS226 VSS306 VCC_NCTF26 VCCAUX_NCTF26
14 GMCH_LVDDEN GMCH_LVDDEN F32 V38 Y39 AV31 AY17 AC7 U25 AA17
LVDD_EN EXP_RXP11 VSS27 VSS127 VSS227 VSS307 VCC_NCTF27 VCCAUX_NCTF27

10U_1206_6.3V6M

10U_1206_6.3V6M

1U_0603_10V4Z
2 1 B38 W34 W39 AN31 AR17 R7 T25 W17

P O W E R
R71 1.5K_0402_1% LIBG EXP_RXP12 VSS28 VSS128 VSS228 VSS308 VCC_NCTF28 VCCAUX_NCTF28
C35 Y38 V39 AJ31 AP17 G7 R25 V17
P O W E R

a
LVBG EXP_RXP13 VSS29 VSS129 VSS229 VSS309 VCC_NCTF29 VCCAUX_NCTF29
C33 LVREFH EXP_RXP14 AA34 T39 VSS30 VSS130 AG31 AM17 VSS230 VSS310 D7 1 1 1 AD24 VCC_NCTF30 VCCAUX_NCTF30 T17
C32 LVREFL EXP_RXP15 AB38 R39 VSS31 VSS131 AB31 AK17 VSS231 VSS311 AG6 AC24 VCC_NCTF31 VCCAUX_NCTF31 R17

C101

C65

C85
P39 VSS32 VSS132 Y31 AV16 VSS232 VSS312 AD6 AB24 VCC_NCTF32 VCCAUX_NCTF32 AG16
R339 F36 N39 AB30 AN16 AB6 AA24 AF16
EXP_TXN0 VSS33 VSS133 VSS233 VSS313 2 2 2 VCC_NCTF33 VCCAUX_NCTF33
1 2 A16 G40 M39 E30 AL16 Y6 Y24 AE16
+1.5VS
C18
TVDAC_A
TVDAC_B
EXP_TXN1
EXP_TXN2 H36 L39
VSS34
VSS35
P O W E R VSS134
VSS135 AT29 J16
VSS234
VSS235
VSS314
VSS315 U6 W24
VCC_NCTF34
VCC_NCTF35
VCCAUX_NCTF34
VCCAUX_NCTF35 AD16
0_0603_5% A19 J40 J39 AN29 F16 N6 V24 AC16
TVDAC_C EXP_TXN3 VSS36 VSS136 VSS236 VSS316 VCC_NCTF36 VCCAUX_NCTF36
TV
L36 H39 AB29 C16 K6 U24 AB16

m
EXP_TXN4 VSS37 VSS137 VSS237 VSS317 VCC_NCTF37 VCCAUX_NCTF37
J20 TV_IREF EXP_TXN5 M40 G39 VSS38 VSS138 T29 AN15 VSS238 VSS318 H6 T24 VCC_NCTF38 VCCAUX_NCTF38 AA16
EXP_TXN6 N36 F39 VSS39 VSS139 N29 AM15 VSS239 VSS319 B6 R24 VCC_NCTF39 VCCAUX_NCTF39 Y16
B16 TV_IRTNA EXP_TXN7 P40 D39 VSS40 VSS140 K29 AK15 VSS240 VSS320 AV5 AD23 VCC_NCTF40 VCCAUX_NCTF40 W16
C B18 R36 AT38 G29 N15 AF5 V23 V16 C
TV_IRTNB EXP_TXN8 VSS41 VSS141 VSS241 VSS321 VCC_NCTF41 VCCAUX_NCTF41
B19 TV_IRTNC EXP_TXN9 T40 AM38 VSS42 VSS142 E29 M15 VSS242 VSS322 AD5 U23 VCC_NCTF42 VCCAUX_NCTF42 U16

e
EXP_TXN10 V36 AH38 VSS43 VSS143 C29 L15 VSS243 VSS323 AY4 T23 VCC_NCTF43 VCCAUX_NCTF43 T16
J29 TV_DCONSEL1 EXP_TXN11 W40 AG38 VSS44 VSS144 B29 B15 VSS244 VSS324 AR4 R23 VCC_NCTF44 VCCAUX_NCTF44 R16

220U_D2_4VM
K30 TV_DCONSEL0 EXP_TXN12 Y36 AF38 VSS45 VSS145 A29 A15 VSS245 VSS325 AP4 AD22 VCC_NCTF45 VCCAUX_NCTF45 AG15
EXP_TXN13 AA40 AE38 VSS46 VSS146 BA28 BA14 VSS246 VSS326 AL4 V22 VCC_NCTF46 VCCAUX_NCTF46 AF15
EXP_TXN14 AB36 C38 VSS47 VSS147 AW28 AT14 VSS247 VSS327 AJ4 1 U22 VCC_NCTF47 VCCAUX_NCTF47 AE15
AC40 AK37 AU28 AK14 Y4 T22 AD15

h
3VDDCCL EXP_TXN15 VSS48 VSS148 VSS248 VSS328 + VCC_NCTF48 VCCAUX_NCTF48
14 3VDDCCL C26 DDCCLK AH37 VSS49 VSS149 AP28 AD14 VSS249 VSS329 U4 R22 VCC_NCTF49 VCCAUX_NCTF49 AC15
CRT

C111
3VDDCDA C25 D36 AB37 AM28 AA14 R4 AD21 AB15
14 3VDDCDA DDCDATA EXP_TXP0 VSS50 VSS150 VSS250 VSS330 VCC_NCTF50 VCCAUX_NCTF50
EXP_TXP1 F40 AA37 VSS51 VSS151 AD28 U14 VSS251 VSS331 J4 V21 VCC_NCTF51 VCCAUX_NCTF51 AA15
CRT_VSYNC 2
14 CRT_VSYNC H23 VSYNC EXP_TXP2 G36 Y37 VSS52 VSS152 AC28 K14 VSS252 VSS332 F4 U21 VCC_NCTF52 VCCAUX_NCTF52 Y15
14 CRT_HSYNC CRT_HSYNC G23 H40 W37 W28 H14 C4 T21 W15

c
CRT_B HSYNC EXP_TXP3 VSS53 VSS153 VSS253 VSS333 VCC_NCTF53 VCCAUX_NCTF53
14 CRT_B E23 BLUE EXP_TXP4 J36 V37 VSS54 VSS154 J28 E14 VSS254 VSS334 AY3 R21 VCC_NCTF54 VCCAUX_NCTF54 V15
D23 BLUE# EXP_TXP5 L40 T37 VSS55 VSS155 E28 AV13 VSS255 VSS335 AW3 AD20 VCC_NCTF55 VCCAUX_NCTF55 U15
CRT_G C22 M36 R37 AP27 AR13 AV3 V20 T15
14 CRT_G GREEN EXP_TXP6 VSS56 VSS156 VSS256 VSS336 VCC_NCTF56 VCCAUX_NCTF56
B22 GREEN# EXP_TXP7 N40 P37 VSS57 VSS157 AM27 AN13 VSS257 VSS337 AL3 U20 VCC_NCTF57 VCCAUX_NCTF57 R15
CRT_R A21 P36 N37 AK27 AM13 AH3 T20

s
14 CRT_R RED EXP_TXP8 VSS58 VSS158 VSS258 VSS338 VCC_NCTF58
B21 RED# EXP_TXP9 R40 M37 VSS59 VSS159 J27 AL13 VSS259 VSS339 AG3 R20 VCC_NCTF59
2

2
150_0402_1%

150_0402_1%

150_0402_1%

EXP_TXP10 T36 L37 VSS60 VSS160 G27 AG13 VSS260 VSS340 AF3 AD19 VCC_NCTF60 VSS_NCTF0 AE27
EXP_TXP11 V40 J37 VSS61 VSS161 F27 P13 VSS261 VSS341 AD3 V19 VCC_NCTF61 VSS_NCTF1 AE26

-
R56

R62

R60

2 R64 1 J22 CRT_IREF EXP_TXP12 W36 H37 VSS62 VSS162 C27 F13 VSS262 VSS342 AC3 U19 VCC_NCTF62 VSS_NCTF2 AE25

@ 220U_D2_4VM
255_0402_1% Y40 G37 B27 D13 AA3 T19 AE24
EXP_TXP13 VSS63 VSS163 VSS265 VSS343 VCC_NCTF63 VSS_NCTF3
AA36 F37 AN26 B13 G3 1 AD18 AE23
1

EXP_TXP14 VSS64 VSS164 VSS264 VSS344 VCC_NCTF64 VSS_NCTF4


EXP_TXP15 AB40 D37 VSS65 VSS165 M26 AY12 VSS263 VSS345 AT2 AC18 VCC_NCTF65 VSS_NCTF5 AE22
AY36 K26 AC12 AR2 + AB18 AE21
VSS66 VSS166 VSS266 VSS346 VCC_NCTF66 VSS_NCTF6

C96
AW36 F26 K12 AP2 AA18 AE20

p
CALISTOGA_FCBGA1466~D VSS67 VSS167 VSS267 VSS347 VCC_NCTF67 VSS_NCTF7
AN36 VSS68 VSS168 D26 H12 VSS268 VSS348 AK2 Y18 VCC_NCTF68 VSS_NCTF8 AE19
2
AH36 VSS69 VSS169 AK25 E12 VSS269 VSS349 AJ2 W18 VCC_NCTF69 VSS_NCTF9 AE18
AG36 VSS70 VSS170 P25 AD11 VSS270 VSS350 AD2 V18 VCC_NCTF70 VSS_NCTF10 AC17
AF36 VSS71 VSS171 K25 AA11 VSS271 VSS351 AB2 U18 VCC_NCTF71 VSS_NCTF11 Y17
AE36 VSS72 VSS172 H25 Y11 VSS272 VSS352 Y2 T18 VCC_NCTF72 VSS_NCTF12 U17
+3VS

to
B AC36 E25 J11 U2 B
VSS73 VSS173 VSS273 VSS353 +VCCP
C36 VSS74 VSS174 D25 D11 VSS274 VSS354 T2
+1.8V
B36 VSS75 VSS175 A25 B11 VSS275 VSS355 N2 M19 VCC100
1 2 LCTLA_CLK BA35 BA24 AV10 J2 L19 AR6
R86 @ 10K_0402_5% VSS76 VSS176 VSS276 VSS356 VCC101 VCC_SM100
AV35 VSS77 VSS177 AU24 AP10 VSS277 VSS357 H2 N18 VCC102 VCC_SM101 AP6
AR35 VSS78 VSS178 AL24 AL10 VSS278 VSS358 F2 M18 VCC103 VCC_SM102 AN6
1 2 LCTLB_DATA AH35 AW23 AJ10 C2 L18 AL6
R85 @ 10K_0402_5% VSS79 VSS179 VSS279 VSS359 VCC104 VCC_SM103
AB35 VSS80 VSS180 AT23 VSS360 AL1 P17 VCC105 VCC_SM104 AK6

p
AA35 VSS81 VSS181 AN23 N17 VCC106 VCC_SM105 AJ6
Y35 AM23 CALISTOGA_FCBGA1466~D M17 AV1
VSS82 VSS182 VCC107 VCC_SM106
W35 VSS83 VSS183 AH23 <BOM Structure> N16 VCC108 VCC_SM107 AJ1
V35 VSS84 VSS184 AC23 M16 VCC109

0.47U_0603_10V7K

0.47U_0603_10V7K
T35 VSS85 VSS185 W23 L16 VCC110
R35 VSS86 VSS186 K23

l. a
P35 VSS87 VSS187 J23 1 1
N35 F23 CALISTOGA_FCBGA1466~D
VSS88 VSS188

C51

C50
GMCH_ENBKL 1 2 M35 C23 <BOM Structure>
R69 100K_0402_1% VSS89 VSS189
L35 VSS90 VSS190 AA22
J35 K22 2 2
VSS91 VSS191
H35 VSS92 VSS192 G22
G35 VSS93 VSS193 F22
GMCH_LVDDEN 1 2 F35 E22
R346 100K_0402_5% VSS94 VSS194
D35 VSS95 VSS195 D22
AN34 VSS96 VSS196 A22
AK34 VSS97 VSS197 BA21 Place near pin AV1 & AJ1
AG34 VSS98 VSS198 AV21

w
AF34 VSS99 VSS199 AR21

CALISTOGA_FCBGA1466~D
<BOM Structure>

A A

w w 4
5 4 3 2 1

+2.5VS
+1.5VS_DPLLA L2 +VCCP U23G +1.8V

m
U23H CHB1608U301_0603
+VCCP H22 1 2 2 1 AA33 AU41
VCC_SYNC +1.5VS VCC0 VCC_SM0
C81 W33 AT41 MCH_AT41
VCC1 VCC_SM1 Strap Pin Table

0.1U_0402_16V4Z

470U_D2_2.5VM
AC14 0.1U_0402_16V4Z P33 AM41 MCH_AM41
VTT0 VCC2 VCC_SM2
AB14 VTT1 VCCTX_LVDS0 B30 +2.5VS 1 N33 VCC3 VCC_SM3 AU40
CFG[3:17] have internal pull up

0.47U_0603_10V7K

0.47U_0603_10V7K
W14 C30 L33 BA34

o
VTT2 VCCTX_LVDS1 1 VCC4 VCC_SM4
+1.5VS_PCIE

C87
V14 A30 R352 + J33 AY34
VTT3 VCCTX_LVDS2 0_0805_5% VCC5 VCC_SM5
T14 AA32 AW34 CFG[19:18] have internal pull down
VTT4 W=40 mils VCC6 VCC_SM6 1 1

C97

C142
R14 VTT5 VCC3G0 AB41 2 1 +1.5VS Y32 VCC7 VCC_SM7 AV34
2 2

C136
10U_0805_6.3V6M

10U_0805_6.3V6M
P14 VTT6 VCC3G1 AJ41 W32 VCC8 VCC_SM8 AU34

220U_D2_4VM
N14 L41 1 V32 AT34 011 = 667MT/s FSB

c
VTT7 VCC3G2 VCC9 VCC_SM9 2 2
M14 VTT8 VCC3G3 N41
+
1 1 P32 VCC10 VCC_SM10 AR34 CFG[2:0] 001 = 533MT/s FSB

C386
L14 VTT9 VCC3G4 R41 N32 VCC11 VCC_SM11 BA30

C384

C385
D D

.
AD13 VTT10 VCC3G5 V41
+3VS_TVDACB +3VS
M32 VCC12 VCC_SM12 AY30 0 = DMI x 2
220U_D2_4VM

AC13 VTT11 VCC3G6 Y41


2 2 2 +1.5VS
L32 VCC13 VCC_SM13 AW30 CFG5 1 = DMI x 4 *(Default)
AB13 R342 J32 AV30
VTT12 VCC14 VCC_SM14
AA13 AC33 2 1 AA31 AU30 0 = Reserved

CHB1608U301_0603
1 VTT13 VCCA_3GPLL +1.5VS_3GPLL VCC15 VCC_SM15

1
Y13 G41 0_0805_5% Place near pin AT41 CFG7 1 = Mobile Yonah CPU *(Default)

0.022U_0402_16V7K~N
VTT14 VCCA_3GBG +2.5VS W31 VCC16 VCC_SM16 AT30
+
C48

W13 H41 L3

0.1U_0402_16V4Z
V31 AR30

s
V13
VTT15 VSSA_3GBG
1 1 T31
VCC17 VCC_SM17
AP30 & AM41 0 = Lane Reversal Enable
VTT16 L19 BLM11A601S_0603 VCC18 VCC_SM18
2
U13 VTT17 +1.5VS_DPLLB
R31 VCC19 VCC_SM19 AN30 CFG9 1 = Normal Operation (Default)*
T13 E21 +2.5VS_CRTDAC 1 2 +2.5VS P31 AM30
VTT18 VCCA_CRTDAC0 VCC20 VCC_SM20
R13 F21

0.022U_0402_16V7K~N
N31 AM29

2
VTT19 VCCA_CRTDAC1 2 2 VCC21 VCC_SM21

C73

C78
N13 G21 CFG6 0 = Reserved

0.1U_0402_16V4Z
M31 AL29

it c
VTT20 VSSA_CRTDAC2 VCC22 VCC_SM22
M13 VTT21 1 1 AA30 VCC23 VCC_SM23 AK29

0.1U_0402_16V4Z

470U_D2_2.5VM
L13 VTT22 Y30 VCC24 VCC_SM24 AJ29 PSB 4X CLK Enable 1 = Calistoga *

C382

C381
AB12 VTT23 VCCA_DPLLA B26 +1.5VS_DPLLA 1 W30 VCC25 VCC_SM25 AH29

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z
AA12 VTT24 VCCA_DPLLB C39 +1.5VS_DPLLB 1 V30 VCC26 VCC_SM26 AJ28
2 2

C131

C141
+
Y12 VTT25 VCCA_HPLL AF1 +1.5VS_HPLL U30 VCC27 VCC_SM27 AH28 00 = Reserved
W12 VTT26 T30 VCC28 VCC_SM28 AJ27 1 1 1 1 CFG[13:12] 01 = XOR Mode Enabled
V12 VTT27 CRTDAC: Route caps within 2 2
R30 VCC29 VCC_SM29 AH27 10 = All Z Mode Enabled
U12 VTT28 VCCA_LVDS A38 +2.5VS
250mil of Alviso. Route FB
P30 VCC30 VCC_SM30 BA26 11 = Normal Operation *(Default)
T12 VTT29 VSSA_LVDS B39 N30 VCC31 VCC_SM31 AY26
2 2 2 2

C72

C98

C55
C109
R12 VTT30 within 3" of Calistoga M30 VCC32 P O W E R VCC_SM32 AW26 0 = Dynamic ODT Disabled

a
P12 VTT31 +3VS_TVBG +3VS
L30 VCC33 VCC_SM33 AV26 CFG16 1 = Dynamic ODT Enabled *(Default)
N12 AF2 AA29 AU26
VTT32 P O W E R VCCA_MPLL +1.5VS_MPLL
R54 VCC34 VCC_SM34
10 = 1.05V *(Default)
4.7U_0805_10V4Z

M12 VTT33 Y29 VCC35 VCC_SM35 AT26


CFG10 CFG18 01 = 1.5V
2.2U_0805_16V4Z

L12 VTT34 VCCA_TVBG H20 +3VS_TVBG 2 1 W29 VCC36 VCC_SM36 AR26


R11 G20 VSSA_TVBG 0_0805_5% V29 AJ26

0.022U_0402_16V7K~N
1 VTT35 VSSA_TVBG VCC37 VCC_SM37
C58

1 P11 VTT36 +3VS_TVDACC +3VS+3VS_TVDACA +3VS


U29 VCC38 VCC_SM38 AH26 0 = Normal Operation * (Default)
C59

N11 CFG19 1 = DMI Lane Reversal Enable

0.1U_0402_16V4Z
VTT37 1 1 R29 VCC39 VCC_SM39 AJ25
M11 E19 +3VS_TVDACA R341 R344 P29 AH25
2 VTT38 VCCA_TVDACA0 VCC40 VCC_SM40
R10 F19 2 1 2 1 M29 AJ24 0 = No SDVO Device Present *

m
2 VTT39 VCCA_TVDACA1 0_0805_5% 0_0805_5% VCC41 VCC_SM41
P10 C20 L29 AH24 (Default)

0.022U_0402_16V7K~N

0.022U_0402_16V7K~N
VTT40 VCCA_TVDACB0 +3VS_TVDACB 2 2 VCC42 VCC_SM42

C61

C66
SDVO_CTRLDATA

0.1U_0402_16V4Z

0.1U_0402_16V4Z
N10 VTT41 VCCA_TVDACB1 D20 AB28 VCC43 VCC_SM43 BA23
C C
M10 VTT42 VCCA_TVDACC0 E20 +3VS_TVDACC 1 1 1 1 AA28 VCC44 VCC_SM44 AJ23 1 = SDVO Device Present

0.47U_0603_10V7K
P9 VTT43 VCCA_TVDACC1 F20 Y28 VCC45 VCC_SM45 BA22

C74

C367

C372

C373
N9 VTT44 V28 VCC46 VCC_SM46 AY22

e
M9 VTT45 2 2 2 2
U28 VCC47 VCC_SM47 AW22 1 0 = Only PCIE or SDVO is
R8 VTT46 VCCD_HMPLL0 AH1 +1.5VS T28 VCC48 VCC_SM48 AV22 CFG20 operational. *(Default)

C86
P8 VTT47 VCCD_HMPLL1 AH2 R28 VCC49 VCC_SM49 AU22
N8 VTT48 P28 VCC50 VCC_SM50 AT22
2 (PCIE/SDVO select) 1 = PCIE/SDVO are operating
M8 N28 AR22
P7
VTT49
A28 M28
VCC51 VCC_SM51
AP22 simu.

h
VTT50 VCCD_LVDS0 VCC52 VCC_SM52
N7 VTT51 VCCD_LVDS1 B28 +1.5VS L28 VCC53 VCC_SM53 AK22
M7 VTT52 VCCD_LVDS2 C28 P27 VCC54 VCC_SM54 AJ22
R6 VTT53 N27 VCC55 VCC_SM55 AK21
Place near pin BA23
0.01U_0402_16V7K
P6 VTT54 VCCD_TVDAC D21 +1.5VS_TVDAC M27 VCC56 VCC_SM56 AK20
10U_0805_4VAM

M6 H19 +1.5VS_QTVDAC L27 BA19

c
MCH_A6 VTT55 VCCDQ_TVDAC VCC57 VCC_SM57
A6 P26 AY19

330U_D2E_2.5VM_R9
VTT56 1 1 VCC58 VCC_SM58
C375

C374

10U_1206_6.3V6M

10U_1206_6.3V6M

10U_1206_6.3V6M

10U_1206_6.3V6M

10U_1206_6.3V6M
0.47U_0603_10V7K

R5 VTT57 VCCHV0 A23 +3VS N26 VCC59 VCC_SM59 AW19


P5 VTT58 VCCHV1 B23 L26 VCC60 VCC_SM60 AV19 1
10U_0805_6.3V6M
0.1U_0402_16V4Z

1 N5 B25 N25 AU19 1 1 1 1 1


VTT59 VCCHV2 2 2
PCI-E/MEM/PSB PLL decoupling VCC61 VCC_SM61 +
C54

C378
M5 VTT60 1 1 M25 VCC62 VCC_SM62 AT19

C380

C365

C494

C495

C496
P4 VTT61 VCCAUX0 AK31 L25 VCC63 VCC_SM63 AR19
N4 VTT62 VCCAUX1 AF31 P24 VCC64 VCC_SM64 AP19
2 2 2 2 2 2 2
C369

C368

M4 VTT63 VCCAUX2 AE31 N24 VCC65 VCC_SM65 AK19


2 2 +1.5VS_TVDAC +1.5VS

-
R3 AC31 M24 AJ19 @ @
VTT64 VCCAUX3 +1.5VS_QTVDAC
R340 +1.5VS VCC66 VCC_SM66
P3 VTT65 VCCAUX4 AL30 AB23 VCC67 VCC_SM67 AJ18
N3 AK30 2 1 0_0603_5% AA23 AJ17
VTT66 VCCAUX5 0_0603_5% VCC68 VCC_SM68
0.22U_0603_10V7K

M3 VTT67 VCCAUX6 AJ30 2 1 Y23 VCC69 VCC_SM69 AH17


+1.5VS R343
0.1U_0402_16V4Z

0.1U_0402_16V4Z
R2 AH30
0.022U_0402_16V7K~N

0.022U_0402_16V7K~N
VTT68 VCCAUX7 P23 VCC70 VCC_SM70 AJ16

0.1U_0402_16V4Z
P2 AG30 1 1 N23 AH16

p
VTT69 VCCAUX8 VCC71 VCC_SM71 R48 @ 2.2K_0402_5%
M2 AF30
0.1U_0402_16V4Z

1 VTT70 VCCAUX9 1 1 1 M23 VCC72 VCC_SM72 BA15 9 CFG3 1 2


C56

C370

C371

MCH_D2 D2 AE30 L23 AY15


VTT71 VCCAUX10 VCC73 VCC_SM73

C70

C64

C366
R95 2 @ 2.2K_0402_5%

0.47U_0603_10V7K
AB1 VTT72 VCCAUX11 AD30 1 AC22 VCC74 VCC_SM74 AW15 9 CFG17 1
2 2
0.22U_0603_10V7K

R1 VTT73 VCCAUX12 AC30 AB22 VCC75 VCC_SM75 AV15


MCH_AB1

2 2 2 2
C112

B P1 AG29 Y22 AU15 R101 1 2 @ 2.2K_0402_5% B


1 VTT74 VCCAUX13 VCC76 VCC_SM76 1 9 CFG5
C46

N1 AF29 W22 AT15

to
VTT75 VCCAUX14 2 VCC77 VCC_SM77

C60
R97 2 @ 2.2K_0402_5%
0.47U_0603_10V7K

M1 VTT76 VCCAUX15 AE29 P22 VCC78 VCC_SM78 AR15 9 CFG7 1


VCCAUX16 AD29 N22 VCC79 VCC_SM79 AJ15
2 2 R100
1 VCCAUX17 AC29 M22 VCC80 VCC_SM80 AJ14 9 CFG9 1 2 @ 2.2K_0402_5%
VCCAUX18 AG28 L22 VCC81 VCC_SM81 AJ13
+1.5VS_3GPLL R75 +1.5VS
C49

AF28 AC21 AH13 R103 1 2 @ 2.2K_0402_5%


VCCAUX19 +1.5VS_HPLL VCC82 VCC_SM82 9 CFG11
AE28 0_0603_5% R36 AA21 AK12
2 VCCAUX20 0_0603_5% VCC83 VCC_SM83 R94
VCCAUX21 AH22 2 1 W21 VCC84 VCC_SM84 AJ12 9 CFG12 1 2 @ 2.2K_0402_5%
45mA Max.
0.1U_0402_16V4Z

0.1U_0402_16V4Z

VCCAUX22 AJ21 2 1 +1.5VS N21 VCC85 VCC_SM85 AH12

p
R102 2 @ 2.2K_0402_5%
10U_1206_6.3V6M

Place near pin9 BA15


0.1U_0402_16V4Z

AG14 VCCAUX32 VCCAUX23 AH21 M21 VCC86 VCC_SM86 AG12 CFG13 1


AF14 AJ20 1 1 1 22U_0805_6.3V6M L21 AK11
VCCAUX33 VCCAUX24 VCC87 VCC_SM87 R96
AE14 VCCAUX34 VCCAUX25 AH20 AC20 VCC88 VCC_SM88 BA8 9 CFG16 1 2 @ 2.2K_0402_5%
C120

C147

C143

Y14 VCCAUX35 VCCAUX26 AH19 1 1 AB20 VCC89 VCC_SM89 AY8


AF13 VCCAUX36 VCCAUX27 P19 Y20 VCC90 VCC_SM90 AW8
2 2 2
C41

AE13 P16 W20 AV8

l. a
+1.5VS VCCAUX37 VCCAUX28 VCC91 VCC_SM91
AF12 VCCAUX38 VCCAUX29 AH15 P20 VCC92 VCC_SM92 AT8
2 2
C45

AE12 VCCAUX39 VCCAUX30 P15 N20 VCC93 VCC_SM93 AR8


AD12 VCCAUX40 VCCAUX31 AH14 M20 VCC94 VCC_SM94 AP8
L20 VCC95 VCC_SM95 BA6
AB19 AY6 +3VS
CALISTOGA_FCBGA1466~D VCC96 VCC_SM96
AA19 VCC97 VCC_SM97 AW6
<BOM Structure> Y19 VCC98 VCC_SM98 AV6
N19 AT6 R80 1 2 @ 1K_0402_5%
+1.5VS_MPLL VCC99 VCC_SM99 9 CFG18
R37 R82 1 2 @1K_0402_5%
9 CFG19
0_0603_5% R81 1 2 @ 1K_0402_5%
+VCCP +1.5VS 9 CFG20
45mA Max. 2 1 +1.5VS CALISTOGA_FCBGA1466~D
+2.5VS +2.5VS
0.1U_0402_16V4Z

<BOM Structure>
CH751H-40_SC76

CH751H-40_SC76

w
22U_0805_6.3V6M
2

2
D20
D9

4.7U_0603_6.3V6M
0.01U_0402_16V7K

0.1U_0402_16V4Z

0.1U_0402_16V4Z

1 1
@ +2.5VS
0.1U_0402_16V4Z

A @ A
1 1
C116

C114

1 1 1
1 1

1 1

2 2
C53

C40
C376

C377

w
C138

+2.5VS +3VS 2 2
10_0402_5% R63

10_0402_5%R345

2 2 2
@ @
2

close pin G41


close pin A38 close pin B30/C30/A30

w
5 4
A B C D E F G H

+3VS

m
@ R882
+CK_VDD_MAIN1 0_0402_5%
1 2 R165 R166
+3VS 1 2 2.2K_0402_5% 2.2K_0402_5%
R146 0_0805_5% 1 1 1 1 CLKREQA# 1 2

o
C213 C209 C212 C223 CLK_SMBDATA C205

S
17,23 ICH_SMBDATA 1 3
@ 1000P_0402_50V7K
10U_0805_10V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z Q21 CLKREQB# 1 2
2 2 2 2 2N7002_SOT23 C419

G
2
+3VS @ 1000P_0402_50V7K
+CK_VDD_MAIN2

c
CPU_XDP 1 2

2
R157 Q20 C412

G
+3VS 1 2 1 2 CK_VDD_REF 2N7002_SOT23 @ 1000P_0402_50V7K

.
R158 0_0805_5% 1 1 1 1_0805_1% 17,23 ICH_SMBCLK 1 3 CLK_SMBCLK CPU_XDP# 1 2
1 C215 C220 C216 C218 1

S
1 2 CK_VDD_48 1000P_0402_50V7K
10U_0805_10V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z R144 1 2
2 2 2 2.2_0805_1% @ R885
Place near U62

s
0_0402_5%
+CK_VDD_XDP
R159
5/20 +CK_VDD_MAIN1 U28
+3VS 1 2
0_0805_5% 1 1 1 1 1
C211 C226 C208 C225 VDDPCI
7 26 PCIE_SATA 1 2 CLK_PCIE_SATA

it c
+CK_VDD_XDP VDDPCI SATACLKT_LP CLK_PCIE_SATA 16
R150 50 R371 24_0402_5%
10U_0805_10V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z 0.1U_0402_16V4Z VDDCPU
+VCCP 1 2 SATACLKC_LP 27 PCIE_SATA# 1 2 CLK_PCIE_SATA#
CLK_PCIE_SATA# 16
@ 0_0805_5% 2 2 2 2 R370 24_0402_5%
28 VDDPCIEX
42 VDDPCIEX
C210 0.1U_0402_16V4Z 52 CPU_BCLK 1 2 CLK_CPU_BCLK
CPUCLKT0_LP CLK_CPU_BCLK 4
2 1 CK_VDD_48 11 R420 24_0402_5%
VDD48
CPUCLKC0_LP 51 CPU_BCLK# 1 2 CLK_CPU_BCLK#
CLK_CPU_BCLK# 4
2 1 CK_VDD_REF 56 R419 24_0402_5%
C221 0.1U_0402_16V4Z VDDREF

CPUCLKT1_LP 49 MCH_BCLK 1 2 CLK_MCH_BCLK


CLK_MCH_BCLK 9
2 1 1 45 R418 24_0402_5%

a
+VCCP +3VS VDDA
R171 C230 46 48 MCH_BCLK# 1 2 CLK_MCH_BCLK#
GNDA CPUCLKC1_LP CLK_MCH_BCLK# 9
0_0805_5% R417 24_0402_5%
0.1U_0402_16V4Z
2

2 C417 33P_0402_50V8J
PCIeT0_LP/LCDCLKT_LP 17 SSCDREFCLK 1 2 MCH_SSCDREFCLK
MCH_SSCDREFCLK 9
R133 +CK_VDD_XDP 1 2 CLK_XTAL_IN 58 R386 24_0402_5%
56_0402_5% X1
PCIeC0_LP/LCDCLKC_LP 18 SSCDREFCLK# 1 2 MCH_SSCDREFCLK#
MCH_SSCDREFCLK# 9

1
R130 CLK_Rd Y3 R385 24_0402_5%

1
8.2K_0402_5% Place crystal within 14.31818MHZ_20P_6X1430004201 CLK_XTAL_OUT 57 R1392 110K_0402_5% +3VS
1

X2

m
FSA 2 1 1 2 R164 16 CLKREQA#
MCH_CLKSEL0 9
@ 0_0402_5%
500 mils of CK410 C416 33P_0402_50V8J *PEREQ1# CLKREQA# 9

2
1 2 R132 1K_0402_5% 1 2
5 CPU_BSEL0
R128 39 MCH_3GPLL 1 2 CLK_MCH_3GPLL
CLK_MCH_3GPLL 9
2
PCIeT6_LP
1

0_0402_5% @ CLKIREF R387 @ 12_0402_5% R416 24_0402_5%


CLK_Ra R131 1 CLK_PCIE_LAN_EN 2 1 38 MCH_3GPLL# 1 2 CLK_MCH_3GPLL#
17 CLK_PCIE_LAN_EN PCIeC6_LP CLK_MCH_3GPLL# 9

e
C224 17 CLK_48M_ICH CLK_48M_ICH 2 1 FSA 12 R415
2 24_0402_5%
1 CPPE#
2 FSLA/USB_48MHz CPPE# 15 2
1K_0402_5% R369 33_0402_5% R422 10K_0402_5%
CLK_PCIE_ICH_EN 17
@ @ 0.1U_0402_16V4Z FSB_G 60 2 1 +3VS
2

2 FSLB/REF0 R413 @ 10K_0402_5%


17 CLK_14M_ICH CLK_14M_ICH 2 1 CLKREF1 61 34 CLKREQB# 2 1
R408 33_0402_5% FSLC/REF1 *PEREQ2# R421 @ 10K_0402_5%

h
PCIeT1_LP 19 PCIE_ICH 1 2 CLK_PCIE_ICH
CLK_PCIE_ICH 17
4.7K_0402_1% 1 2 R156 CLKIREF 47 R384 24_0402_5%
+VCCP VREF
PCIeC1_LP 20 PCIE_ICH# 1 2 CLK_PCIE_ICH#
CLK_PCIE_ICH# 17
H_STP_CPU# 62 R383 24_0402_5%
17 H_STP_CPU# CPU_STOP#
PCIeT8_LP 44
2

c
H_STP_PCI# 63
17 H_STP_PCI# PCI/PCIEX_STOP#
R428 43
CLK_ENABLE# PCIeC8_LP
CLK_ENABLE# 10 Vtt_PwrGd#/PD
R410 1K_0402_5% SATACLKREQ#
SATACLKREQ# 17
8.2K_0402_5% R400 2 1 10K_0402_5% +3VS 2 1 +3VS
1

FSB_G 2 FSB CLKREQC# R403 10K_0402_5%

s
1 1 2 MCH_CLKSEL1 9 2 1 CLKREQC# 22
CLK_PCI_ICH 2 R376 1 33_0402_5% PCI_ICH 8 R399 @ 0_0402_5% 2 1
15 CLK_PCI_ICH PCICLK_F0
1 2 R423 32 CPU_XDP 1 2 SATACLKREQ# R404 @ 10K_0402_5%
5 CPU_BSEL1 *PEREQ3#
R411 1K_0402_5% CLK_PCI_EC 2 R388 1 33_0402_5% SELDOT 9 R397 @ 24_0402_5%
26 CLK_PCI_EC

-
0_0402_5% *SELDOT/PCICLK_F1
PCIeT2_LP 22 PCIE_LOM 1 2 CLK_PCIE_LAN
CLK_PCIE_LAN 22
1

CLK_Rb CLK_PCI_SIO_DB 2@ R405 1 33_0402_5% CLKREF0 64 R382 24_0402_5%


26 CLK_PCI_SIO_DB PCICLK0_2x
@ R425 23 PCIE_LOM# 1 2 CLK_PCIE_LAN#
PCIeC2_LP CLK_PCIE_LAN# 22
CLK_PCI_CB 2 R402 1 33_0402_5% R381 24_0402_5%
20 CLK_PCI_CB
0_0402_5% 2 R396 1 33_0402_5% PCI_CLK3 3 30
27 CLK_PCI_FWH PCICLK1_2x PCIeT4_LP
CLK_Re

p
2

DOT96 & LCDCLK select PCIeC4_LP 31


26 CLK_PCI_TPM 33_0402_5% 2 1 R391 PCI_TPM 4
PCICLK2_2x
+3VS 1 2 5
23 CLK_DEBUG_PORT PCICLK3
R390 0_0402_5%
+VCCP

to
1

CLK_SMBDATA 55 R406 2 1 10K_0402_5% +3VS


7,8 CLK_SMBDATA SDATA
R140 7,8 CLK_SMBCLK CLK_SMBCLK 54 SCLK
2

10K_0402_5% 33 CPU_XDP# 2 1 CLKREQD#


*PEREQ4# CLKREQD# 23
R429 9 CLK_MCH_DREFCLK CLK_MCH_DREFCLK 1 2 MCH_DREFCLK 14 R409 @ 0_0402_5%
R375 24_0402_5% DOT96T_LP/27FIX
3 24 PCIE_MCARD 1 2 CLK_PCIE_MCARD
CLK_PCIE_MCARD 23 3
2

R412 1K_0402_5% SELDOT CLK_MCH_DREFCLK# 1 PCIeT3_LP


9 CLK_MCH_DREFCLK# 2 MCH_DREFCLK# 15 DOT96C_LP/27FIX
R380 24_0402_5%
8.2K_0402_5% @ R374 24_0402_5% 25 PCIE_MCARD# 1 2 CLK_PCIE_MCARD#
CLK_PCIE_MCARD# 23
1

PCIeC3_LP
1

CLKREF1 2 1 1 2 R379 24_0402_5%


MCH_CLKSEL2 9

p
2 GND PCIeT5_LP 36
1 2 R426 R136 @ 6
5 CPU_BSEL2 GND
R430 0_0402_5% 1K_0402_5% 10K_0402_5% 13 35
GND PCIeC5_LP
@ 21
2

GND
1

CLK_Rc 29 GND PCIeT7_LP 41


R424 37 GND

l. a
0_0402_5%
*High:Pin14/15 = DOT96 53
59
GND PCIeC7_LP 40

CLK_Rf pin17/18 = LCDCLK GND


2

* Pull-Up or Down Strap Pin

ICS9LPR310_TSSOP64

+3VS
1

R126
FSLC FSLB FSLA CPU SRC PCI

w
10K_0402_5%
CLKSEL2 CLKSEL1 CLKSEL0 MHz MHz MHz
2

37 CLK_EN# 1 2 CLK_ENABLE#
R127 0_0402_5% 0 0 1 133 100 33.3

w
+3VS
0 1 1 166 100 33.3
2

10/18 R360 @
4 2K_0402_1%

w
1

CLK_ENABLE#
1
1

D R359
5,17,26,37 VGATE 2
G 300_0402_5%
Q34 @ S
3

1 2

2N7002_SOT23

J1
NO SHORT PADS
2

A B C D
A B C D E

D1 D2 +5VS +CRT_VCC

m
@ DAN217_SC59 @ DAN217_SC59 W=40mils
F1 D4 W=40mils

1
1 2 2 1

1.1A_6VDC_FUSE RB411DT146 SOT23

o
1 1

0.1U_0402_16V4Z
D3

C550
@ DAN217_SC59 C2

3
0.1U_0402_16V4Z
2 2

c
+3VS
@

.
1
26 MSEN# 1
JCRT1
CRT_R 1 2 CRT_R_L CRT_GND 6
11 CRT_R
L10 11

s
BK2125LL121-T_0805 1
CRT_G 1 2 CRT_G_L 7
11 CRT_G
L9 12
BK2125LL121-T_0805 2
CRT_B 2 CRT_B_L

it c
11 CRT_B 1 8
L8 13

150_0402_1%

150_0402_1%

150_0402_1%
1 1 BK2125LL121-T_0805 3

1
@ C316 1 1 1 DDC_MD2 9

R303

R302

R301
@ 1 C317 C318 For EMI C310 C309 C312 14

22P_0402_50V8J

22P_0402_50V8J
4
2 2 @

22P_0402_50V8J
10P_0402_50V8J 10 17
2 2
10P_0402_50V8J 2
15 16

2
2 10P_0402_50V8J CRT_GND 1 5

100P_0402_50V8J
a
R297 C3
+CRT_VCC HSYNC_L
1 2
MBK1608301YZF_0603 2 SUYIN_070549FR015S208CR
1 2 2 1 1 VGA_DDC_DATA_C
C314 0.1U_0402_16V4Z R307 10K_0402_5% 1 2 VSYNC_L C307
MBK1608301YZF_0603 1

5
1

100P_0402_50V8J

100P_0402_50V8J
R296 2 C1
1 1

P
OE#
m
CRT_HSYNC 1 2 CRT_HSYNC_B2 4 D_CRT_HSYNC VGA_DDC_CLK_C
11 CRT_HSYNC A Y 2
R309 39_0402_5% C311 C308

15P_0402_50V8J

15P_0402_50V8J
U18
74AHCT1G125GW_SOT353-5 2 2

3
+CRT_VCC 1

100P_0402_50V8J
2 R295 2
1 2 C4 0_0805_5%
C313 0.1U_0402_16V4Z 2 CRT_GND 2 1

5
1
h
R1

P
OE#
CRT_VSYNC 1 2 CRT_VSYNC_B 2 4 D_CRT_VSYNC 0_0805_5%
11 CRT_VSYNC A Y
R308 39_0402_5% 2 1
LCD POWER CIRCUIT

G
U17
74AHCT1G125GW_SOT353-5

3
c
+5VALW
+CRT_VCC +CRT_VCC +3VS +3VS +3VS

s
+LCDVDD
100K_0402_5% R30

R17
2

W=60mils 原本為4.7K 原本為10K

2
+3VS GREEN_LED# 1 2

-
2

100_0603_1%

2K_0402_5%

2K_0402_5%

2.2K_0402_5%

2.2K_0402_5%

2.2K_0402_5%
R3 R2 R4 R5 R6
R14

330_0603_5%
1

1
R18

p
1 1

1
330_0603_5% C
3

2
D S
SI2301BDS-T1-E3 1P SOT23 Q4

G
G 26,29 PWR_GREEN_LED# 1 2 2
Q6 2 2 1 2 Q5 B MMBT3904_NL_SOT23
2N7002LT1G_SOT23 G R25 10K_0402_5% W=60mils VGA_DDC_DATA_C 1 3 E
3VDDCDA 11 R16

3
S

S
3

2
to
+LCDVDD Q1

G
1 D 7.3 1 2
Q9 BSS138_NL_SOT23 Battery low LED Color:Amber
1

C36 +LCDVDD VGA_DDC_CLK_C 1 3 3VDDCCL 11 1M_0603_1%


BSS138_NL_SOT23

S
1

D 2
1 1 R19
3 C33 C30 3
11 GMCH_LVDDEN 2 Q2
G 0.047U_0402_16V7K AMBER_LED# 1 2
1

S 4.7U_0805_10V4Z 0.1U_0402_16V4Z BSS138_NL_SOT23

p
3

R26 2 2
+5VALW 1K_0603_5%
10K_0402_5% 2
C354 R23

41
2

1
JLCD1 0.1U_0402_10V6K 330_0603_5% C

l. a
AMBER_LED# 1 Q7
1 2 1 2 2

GND
1 2 26,29 PWR_AMBER_LED#
R320 BATT_LED1# 3 4 B MMBT3904_NL_SOT23
+3VS F4 0_0805_5% GREEN_LED# 3 4 LVDSAC+ E
5 6 LVDSAC+ 11 R20

3
5 6
B+ 1 2 2 1INVPWR_B+ +LCDVDD 7 7 8 8 LVDSAC- LVDSAC- 11
9 9 10 10 1 2
1 2.5A_32V 0.1U_0603_50V4Z +3VS 11 12 LVDSA0+ Battery low LED Color:Amber
R68 11 12 LVDSA0+ 11
2 2 EDID_CLK 13 14 LVDSA0-
13 14 LVDSA0- 11 1M_0603_1%
C355

C356

C37 11 BIA_PWM BIA_PWM 2 1 INVT_PWM 0.1U_0603_50V4Z EDID_DAT 15 16


@ 0.1U_0402_16V4Z 15 16 LVDSA1+
17 17 18 18 LVDSA1+ 11
2 @ 10_0402_5% LVDSBC+ LVDSA1-
11 LVDSBC+ 19 19 20 20 LVDSA1- 11 R22
1 1 LVDSBC-
11 LVDSBC- 21 21 22 22

w
23 24 LVDSA2+ LVDSA2+ 11 BATT_LED1# 1 2
+3VS LVDSB0+ 23 24 LVDSA2-
11 LVDSB0+ 25 25 26 26 LVDSA2- 11
11 LVDSB0- LVDSB0- 27 28
27 28 DISPOFF# 1K_0603_5%
29 29 30 30
1

LVDSB1+ 31 32 DAC_BRIG
11 LVDSB1+ 31 32 DAC_BRIG 26
R15 LVDSB1- 33 34 INVT_PWM R29
11 LVDSB1- 33 34 INVT_PWM 26

1
35 36 330_0603_5% C
35 36

w
4.7K_0402_5% LVDSB2+ 37 38 INVPWR_B+ 1 2 2 Q10
GND

+3VS 11 LVDSB2+ 37 38 26,29 BATT_FULL_LED#


D6 @ LVDSB2- 39 40 INVPWR_B+ B MMBT3904_NL_SOT23
11 LVDSB2-
2

BKOFF# DISPOFF# 39 40 E
26 BKOFF# 1 2 RB751V_SOD323 R24

3
1

1
10K_0402_5%

10K_0402_5%

42
R327

R325

D7 1 2
1 2 RB751V_SOD323 Battery low LED Color:Amber
4
11,26 GMCH_ENBKL 4

w
CONN@ 1M_0603_1%
2

0_0402_5% JST_BM40B-SRDS-G-TFCLFSN~N
1 2 EDID_CLK
INVT_PWM 11 EDID_CLK_LCD R326
1

1
D8
C35
@ 1N4148_SOT23 @ 1U_0603_10V4Z
2
2

11 EDID_DAT_LCD 1 2 EDID_DAT
R324 0_0402_5%

A B
5 4 3 2 1

+3VS

m
R277 1 2 8.2K_0402_5% PCI_DEVSEL#

o
R285 1 2 8.2K_0402_5% PCI_STOP#

R284 1 2 8.2K_0402_5% PCI_TRDY#

c
R262 1 2 8.2K_0402_5% PCI_FRAME# 20 PCI_AD[0..31] U34B

.
D PCI_AD0 E18 D7 PCI_REQ0# D
AD0 REQ0# PCI_REQ0# 20
R289 1 2 8.2K_0402_5% PCI_PLOCK# PCI_AD1 C18 E7
AD1 GNT0# PCI_GNT0# 20
PCI_AD2 PCI_REQ1#
R288 1 2 8.2K_0402_5% PCI_IRDY# PCI_AD3
A16
F18
AD2 PCI REQ1# C16
D16

s
PCI_AD4 AD3 GNT1# PCI_REQ2#
E16 AD4 REQ2# C17 PCI_REQ2#
R283 1 2 8.2K_0402_5% PCI_SERR# PCI_AD5 A18 D17
PCI_AD6 AD5 GNT2# PCI_REQ3# +3VS
E17 AD6 REQ3# E13 PCI_REQ3#
R275 1 2 8.2K_0402_5% PCI_PERR# PCI_AD7 A17 F13

it c
PCI_AD8 AD7 GNT3# PCI_REQ4#
A15 AD8 REQ4# / GPIO22 A13

5
R290 1 2 8.2K_0402_5% PCI_REQ4# PCI_AD9 C14 A14 R2810_0402_5% U14
PCI_AD10 AD9 GNT4# / GPIO48 PCI_REQ5#
E14 C8 2 1 CPPE# PCI_PCIRST# 1

P
AD10 GPIO1 / REQ5# CPPE# 13 B
R276 1 2 8.2K_0402_5% PCI_REQ3# PCI_AD11 D14 D8 4 PCI_RST#
AD11 GPIO17 / GNT5# Y PCI_RST# 20,23
PCI_AD12 B12 2
AD12 A

G
PCI_AD13 C13 B15 PCI_CBE#0
AD13 C/BE0# PCI_CBE#0 20
PCI_AD14 G15 C12 PCI_CBE#1 @ TC7SH08FU_SSOP5
PCI_CBE#1 20

3
PCI_AD15 AD14 C/BE1# PCI_CBE#2 R267
G13 AD15 C/BE2# D12 PCI_CBE#2 20
PCI_AD16 PCI_CBE#3 0_0402_5%

a
E12 AD16 C/BE3# C15 PCI_CBE#3 20,22
PCI_AD17 C11 2 1
PCI_AD18 AD17 PCI_IRDY#
D11 AD18 IRDY# A7 PCI_IRDY# 20
PCI_AD19 A11 E10 PCI_PAR
AD19 PAR PCI_PAR 20 +3VS
PCI_AD20 A10 B18 PCI_PCIRST#
PCI_AD21 AD20 PCIRST# PCI_DEVSEL#
F11 AD21 DEVSEL# A12 PCI_DEVSEL# 20,22
+3VS PCI_AD22 F10 C9 PCI_PERR#
AD22 PERR# PCI_PERR# 20

5
m
PCI_AD23 E9 E11 PCI_PLOCK# U16
PCI_AD24 AD23 PLOCK# PCI_SERR# PCI_PLTRST#
D9 B10 1

P
AD24 SERR# PCI_SERR# 20 B
R257 1 2 8.2K_0402_5% PCI_PIRQA# PCI_AD25 B9 F15 PCI_STOP# 4 PLT_RST#
AD25 STOP# PCI_STOP# 20 Y PLT_RST# 9,17,22,23,26
PCI_AD26 A8 F14 PCI_TRDY# 2
AD26 TRDY# PCI_TRDY# 20 A

G
R258 1 2 8.2K_0402_5% PCI_PIRQB# PCI_AD27 A6 F16 PCI_FRAME#

e
C AD27 FRAME# PCI_FRAME# 20 C
PCI_AD28 C7 @ TC7SH08FU_SSOP5

3
R256 1 AD28
2 8.2K_0402_5% PCI_PIRQC# PCI_AD29 B6 AD29 PLTRST# C26 PCI_PLTRST#
PCI_AD30 E6 A9 CLK_PCI_ICH R274
AD30 PCICLK CLK_PCI_ICH 13
R255 1 2 8.2K_0402_5% PCI_PIRQD# PCI_AD31 D6 B19 0_0402_5%
AD31 PME# EC_PME# 26

h
2 1
R249 1 2 8.2K_0402_5% PCI_PIRQE#

R250 1 2 8.2K_0402_5% PCI_PIRQF# PCI_PIRQA# A3


Interrupt I/F G8 PCI_PIRQE#
PCI_PIRQA# PIRQA# GPIO2 / PIRQE# PCI_PIRQE# 20

c
PCI_PIRQB# B4 F7 PCI_PIRQF#
PCI_PIRQB# PIRQB# GPIO3 / PIRQF# PCI_PIRQF# 20
R246 1 2 8.2K_0402_5% PCI_PIRQG# PCI_PIRQC# C5 F8 PCI_PIRQG#
PCI_PIRQC# PIRQC# GPIO4 / PIRQG# PCI_PIRQG# 20
PCI_PIRQD# B5 G7 PCI_PIRQH#
PCI_PIRQD# PIRQD# GPIO5 / PIRQH# PCI_PIRQH#
R247 1 2 8.2K_0402_5% PCI_PIRQH#

s
R252 1 2 8.2K_0402_5% PCI_REQ0# AE5
MISC AE9
RSVD[1] RSVD[6]
AD5 AG8

-
R264 1 PCI_REQ1# RSVD[2] RSVD[7]
2 8.2K_0402_5% AG4 RSVD[3] RSVD[8] AH8

R248 1 PCI_REQ2#
AH4 RSVD[4] RSVD[9] F21 Place closely pin A9
2 8.2K_0402_5% AD9 RSVD[5] MCH_SYNC# AH20 MCH_ICH_SYNC# 9

p
R282 1 2 8.2K_0402_5% PCI_REQ5# CLK_PCI_ICH
ICH7_BGA652~D

2
R499

to
@ 10_0402_5%

1
B B
1
C477

p
@ 8.2P_0402_50V8D
+3VS 2
1

+3VS

l. a
R161
@ 100K_0402_5% C219 @ 0.1U_0402_16V4Z
14

14

14

14
2

U9A U9B U9C U9D


R185
P

P
13,17,26,37 VGATE 1 I O 2 3 I O 4 1 2 5 I O 6 9 I O 8 2 1 ICH_POK 17,26
@ 330K_0402_5% @ R163 0_0402_5%
G

G
1
@ SN74LVC14APWR_TSSOP14~N

w
7

7
1

@ SN74LVC14APWR_TSSOP14~N C232 @ SN74LVC14APWR_TSSOP14~N


@ SN74LVC14APWR_TSSOP14~N @ 0.47U_0603_10V7K
R168 2
@ 1M_0402_5%
2

w
NB_PWRGD 9

A A
2 1 ICH_POK 17,26
R193 0_0402_5%

5
w 4
5 4 3 2 1

C445

m
15P_0402_50V8J
2 1 ICH_RTCX1

10M_0402_5%
+RTCVCC

1
Y4

R460
2 NC IN 1
32.768KHZ_12.5P_1TJS125BJ2A251
1

3 NC OUT 4
R212 U34A

c
LPC_AD[0..3] 23,26

2
C446

RTC
1M_0402_5% 15P_0402_50V8J AB1 AA6 LPC_AD0

.
ICH_RTCX2 RTXC1 LAD0 LPC_AD1
D 2 1 AB2 AB5 D
2

SM_INTRUDER# RTCX2 LAD1 LPC_AD2


LAD2 AC4
+RTCVCC R213 1 2ICH_RTCRST# AA3 Y6 LPC_AD3
RTCRST# LAD3

LPC
20K_0402_5%
RTC Battery

s
ICH_INTVRMEN W4 AC3 2 R208 1 LPC_DRQ0#
INTVRMEN LDRQ0# LPC_DRQ#0 26
SM_INTRUDER# Y5 AA5 @ 0_0402_5%
INTRUDER# LDRQ1# / GPIO23
AB3 LPC_FRAME#
- + LPC_FRAME# 23,26

it c
+RTCBATT LFRAME#
23 CLR_CMOS W1 EE_CS
Y1 EE_SHCLK 2 1 R431 10K_0402_5% +3VS
2 - + 1+RTCBATT 1 Y2 EE_DOUT A20GATE AE22 GATEA20
GATEA20 26

LAN
C252 W3 AH28 H_A20M#
EE_DIN A20M# H_A20M# 4

CPU
1U_0603_10V6K

1
V3 AG27 H_CPUSLP_R# 2 R180 1 @ 0_0402_5%
Place J1 close D23 2 LAN_CLK CPUSLP# H_CPUSLP# 4,9 H_DPRSTP# daisy:
U3 AF24 DPRSLP# 2 1 R176 0_0402_5%
to DDR-SODIMM JRTC1 BAS40-04_SOT23 LAN_RSTSYNC TP1 / DPRSTP# H_DPSLP#
H_DPRSTP# 4,37 ICH7-M --> Yonah --> IMVP6

a
TP2 / DPSLP# AH25 H_DPSLP# 4
MAXELL_1220G U5 2 1 56_0402_5% +VCCP
+RTCVCC LAN_RXD0 H_FERR# R191
V4 AG26 H_FERR# 4

2
LAN_RXD1 FERR#
T5 LAN_RXD2
+RTCVCC R585 L21 CHGRTC AG24 H_PWRGOOD
GPIO49 / CPUPWRGD H_PWRGOOD 4
0_0603_5% 1 2 U7 LAN_TXD0
1

BAT 2 1 CHB1608U301_0603 V6 AG22 H_IGNNE#


LAN_TXD1 IGNNE# H_IGNNE# 4

m
R211 V7 AG21
LAN_TXD2 INIT3_3V# H_INIT#
INIT# AF22 H_INIT# 4
332K_0402_1% AF25 H_INTR
INTR H_INTR 4
39_0402_5% R214
2

+VCCP

AC-97/AZALIA
1 2 ICH_ACZ_BITCLK U1 2 1 R175 10K_0402_5%

e
C 24 ACZ_BITCLK ACZ_BCLK +3VS C
ICH_INTVRMEN 1 2 ICH_ACZSYNC_ R6 AG23 KB_RST#
24 ACZ_SYNC ACZ_SYNC RCIN# KB_RST# 26
39_0402_5% R229

1
24 ACZ_RST# 1 2 ACZRST# R5 ACZ_RST# SMI# AF23 H_SMI#
H_SMI# 4
PUT JOPEN1 NEAR TO RAM DOOR 39_0402_5% R222 AH24 H_NMI R181
NMI H_NMI 4

h
ACZ_SDIN0 T2
24 ACZ_SDIN0 ACZ_SDIN0
ACZ_SDIN1 T3 AH22 H_STPCLK# 56_0402_5%
24 ACZ_SDIN1 ACZ_SDIN1 STPCLK# H_STPCLK# 4
T1

2
ACZ_SDIN2 THRMTRIP_ICH#
THERMTRIP# AF26 1 R184 2 H_THERMTRIP# 4,9

c
R217 1 2 ICH_ACZSDOUT_ T4 24.9_0402_1%@
24 ACZ_SDOUT ACZ_SDOUT
39_0402_5%
AH17 IDE_DA0
+3VS DA0 IDE_DA0 19
SATA_LED# AF18 AE17 IDE_DA1
29 SATA_LED# SATALED# DA1 IDE_DA1 19

s
AF17 IDE_DA2
DA2 IDE_DA2 19
PSATA_IRX_DTX_N0_C AF3 AE16 IDE_DCS1#

-
19 PSATA_IRX_DTX_N0_C SATA0RXN DCS1# IDE_DCS1# 19
PSATA_IRX_DTX_P0_C AE3 AD16 IDE_DCS3#
19 PSATA_IRX_DTX_P0_C SATA0RXP DCS3# IDE_DCS3# 19
10K_0402_5% 2 1 R427 SATA_LED# PSATA_ITX_DRX_N0_C AG2 SATA0TXN

SATA
PSATA_ITX_DRX_P0_C AH2 IDE_DD[0..15]
SATA0TXP IDE_DD[0..15] 19
AB15 IDE_DD0
DD0

p
AF7 AE14 IDE_DD1
SATA2RXN DD1 IDE_DD2
AE7 SATA2RXP DD2 AG13
AG6 AF13 IDE_DD3
SATA2TXN DD3 IDE_DD4
AH6 SATA2TXP DD4 AD14
AC13 IDE_DD5

to
CLK_PCIE_SATA# DD5 IDE_DD6
13 CLK_PCIE_SATA# AF1 SATA_CLKN DD6 AD12
+3VS CLK_PCIE_SATA AE1 AC12 IDE_DD7
13 CLK_PCIE_SATA SATA_CLKP DD7
B AE12 IDE_DD8 B
R439 DD8 IDE_DD9
AH10 SATARBIASN DD9 AF12
1 2 AG10 AB13 IDE_DD10
4.7K_0402_5% 2 SATARBIASP DD10
1 R436 IDE_DIORDY
DD11 AC14 IDE_DD11

p
8.2K_0402_5% 2 1 R437 IDE_IRQ 24.9_0402_1% AF14 IDE_DD12
DD12 IDE_DD13
DD13 AH13
AH14 IDE_DD14
IDE_DIORDY AG16
IDE DD14
AC15 IDE_DD15
19 IDE_DIORDY IORDY DD15
IDE_IRQ AH16

l. a
19 IDE_IRQ IDEIRQ
IDE_DDACK# AF16
19 IDE_DDACK# DDACK#
IDE_DIOW# AH15 AE15 IDE_DDREQ
19 IDE_DIOW# DIOW# DDREQ IDE_DDREQ 19
IDE_DIOR# AF15
19 IDE_DIOR# DIOR#

ICH7_BGA652~D

1 2 ICH_ACZSYNC_ BATT1

w
24 MDC_ACZ_SYNC
PSATA_ITX_DRX_N0 1 2 PSATA_ITX_DRX_N0_C 39_0402_5% R230
19 PSATA_ITX_DRX_N0
C436 3900P_0402_50V7K 1 2 ACZRST#
24 MDC_ACZ_RST#
39_0402_5% R223
PSATA_ITX_DRX_P0 1 2 PSATA_ITX_DRX_P0_C 1 2 ICH_ACZSDOUT_
19 PSATA_ITX_DRX_P0 24 MDC_ACZ_SDOUT
C431 3900P_0402_50V7K 39_0402_5% R218
2 ICH_ACZ_BITCLK

w
24 MDC_ACZ_BITCLK 1 ML1220 MAXELL LITHIUM RTC BATTERY
39_0402_5% R215
2
A close ICH7 C260 A
@ 10P_0402_25V8K
1

5
w 4
5 4 3 2 1

Place closely pin B2 Place closely pin AC1

m
+3VS

CLK_48M_ICH CLK_14M_ICH
+3V_SB +3V_SB

1
10K_0402_5%
R205 1 2 SERIRQ R496 R455

1
2

2
8.2K_0402_5% R269 R268 @ 10_0402_5% @ 10_0402_5%
R433 1 2 PCI_CLKRUN# R498 R497

2
8.2K_0402_5% 2.2K_0402_5% 2.2K_0402_5% U34C
R195 2 1 EC_THERM# 10K_0402_5% 10K_0402_5% 1 1

2
.
13,23 ICH_SMBCLK ICH_SMBCLK C22 AF19 R179 2 1 8.2K_0402_5% +3VS C478 C442

1
D ICH_SMBDATA SMBCLK GPIO21 / SATA0GP R434 8.2K_0402_5% D
13,23 ICH_SMBDATA B22 SMBDATA GPIO19 / SATA1GP AH18 2 1 +3VS
+3V +3V_SB

SMB
SATA
GPIO
LINKALERT# A26 AH19 R432 2 1 8.2K_0402_5% +3VS @ 4.7P_0402_50V8C @ 4.7P_0402_50V8C
ICH_SMLINK0 LINKALERT# GPIO36 / SATA2GP R178 8.2K_0402_5% 2 2
B25 SMLINK0 GPIO37 / SATA3GP AE19 2 1 +3VS
ICH_SMLINK1 A25

s
SMLINK1
2

R241 R237 +3V_SB


0_0402_5% 0_0402_5% R263 AC1 CLK_14M_ICH
CLK14 CLK_14M_ICH 13

Clocks
@ 1 2 ICH_RI# A28 B2 CLK_48M_ICH
RI# CLK48 CLK_48M_ICH 13
8.2K_0402_5%

it c
1

+3V_SB SB_SPKR A19


25 SB_SPKR SPKR +3V_SB +LDO3
10K_0402_5% PAD T29 SUS_STAT# A27 C20 ICH_SUSCLK T30 PAD
R244 1 SUS_STAT# SUSCLK
2 SYS_RST# 4 ITP_DBRESET# 1 2 SYS_RST# A22 SYS_RST#

SYS
R500 0_0402_5% B24 SLP_S3#
SLP_S3# SLP_S3# 26

2
0_0402_5%

0_0402_5%
@ 10K_0402_5% PM_BMBUSY# AB18 D23 SLP_S4#
9 PM_BMBUSY# GPIO0 / BM_BUSY# SLP_S4# SLP_S4#

R574

R575
R231 1 2 SMBALERT# F22 SLP_S5#_S
10K_0402_5% SMBALERT# SLP_S5#
B23 GPIO11 / SMBALERT#
10K_0402_5% R271 1 2 LINKALERT# AA4 ICH_POK R186
PWROK ICH_POK 15,26
R238 1 2 SPI_MISO H_STP_PCI# AC20 1 2 10K_0402_5%

POWER MGT
13 H_STP_PCI#

1
GPIO18 / STPPCI#

GPIO
a
8.2K_0402_5% H_STP_CPU# AF21 AC22 DPRSLPVR @
13 H_STP_CPU# GPIO20 / STPCPU# GPIO16 / DPRSLPVR DPRSLPVR 9,37
10K_0402_5% R272 2 1 ICH_LOW_BAT#
R232 1 2 SPI_CS# 13 CLK_PCIE_LAN_EN A21 GPIO26 TP0 / BATLOW# C21 ICH_LOW_BAT#

5
1K_0402_5% U13
10K_0402_5% R251 1 2 ICH_PCIE_WAKE# SW_RSV2 B21 C23 PBTN_OUT# SLP_S4# 2

P
23 SW_RSV2 GPIO27 PWRBTN# PBTN_OUT# 26 B
R245 1 2 WL_ON E23 4
26 EC_SWI# GPIO28 Y SLP_S5# 26
10K_0402_5% C19 PLT_RST# SLP_S5#_S 1
LAN_RST# PLT_RST# 9,15,22,23,26 A

G
10K_0402_5% R273 1 2SMBALERT# 20,26 PCI_CLKRUN#
PCI_CLKRUN# AG18 GPIO32 / CLKRUN#

m
R242 1 2 SPI_MOSI Y4 EC_RSMRST# NC7SZ08P5X_NL_SC70-5
EC_RSMRST# 26

3
SW_RSV3 RSMRST# R187 10K_0402_5%
23 SW_RSV3 AC19 GPIO33 / AZ_DOCK_EN#
19 IDE_RESET# U2 GPIO34 / AZ_DOCK_RST# 1 2

ICH_PCIE_WAKE# F20 E20 EC_SCI#


23 ICH_PCIE_WAKE# WAKE# GPIO9 EC_SCI# 26

e
SERIRQ AH21 A20 R563
C 20,23,26 SERIRQ SERIRQ GPIO10 ACIN 26,32,34 +3V_SB C
EC_THERM# AF20 F19 1 2 DPRSLPVR 2 1
26 EC_THERM# THRM# GPIO12 GOLAN_ALPS# 23
E19 EC_LID_OUT# 0_0402_5% R190
GPIO13 EC_LID_OUT# 26
VGATE AD22 R4 1 2 @ 100K_0402_5%
13,15,26,37 VGATE VRMPWRGD GPIO14 GOLAN_ALPS 23

2
E22 T27 PAD 0_0402_5%
GPIO15

h
R3 WL_ON R583 R266
GPIO24 EC_FLASH#
13 CLK_PCIE_ICH_EN AC21 GPIO6 GPIO GPIO25 D20 EC_FLASH# 27 10K_0402_5%
23 PASSWORD# PASSWORD# AC18 AD21 @
GPIO7 GPIO35 / SATAREQ# SATACLKREQ# 13
EC_SMI# E21 AD20 VREDET1
26 EC_SMI#

1
GPIO8 GPIO38 VREDET2 PBTN_OUT#
AE20

c
GPIO39
ICH7_BGA652~D Need update symbol
Double BR ID, need take off +3VS

s
U34D

2
PCIE_RXN1 F26 V26 DMI_RXN0

-
22 PCIE_RXN1 PERn1 DMI0RXN DMI_RXN0 9
PCIE_RXP1 F25 V25 DMI_RXP0 R183 R182
22 PCIE_RXP1 PERp1 DMI0RXP DMI_RXP0 9
22 PCIE_TXN1 0.1U_0402_16V7K~N 1 2 C470 PCIE_C_TXN1 E28 U28 DMI_TXN0 10K_0402_5% 10K_0402_5%
PETn1 DMI0TXN DMI_TXN0 9

DIRECT MEDIA INTERFACE


22 PCIE_TXP1 0.1U_0402_16V7K~N 1 2 C471 PCIE_C_TXP1 E27 U27 DMI_TXP0 @
PETp1 DMI0TXP DMI_TXP0 9

2 1

2 1
PCIE_RXN2 H26 Y26 DMI_RXN1 VREDET1 VREDET2
23 PCIE_RXN2 DMI_RXN1 9

p
PCIE_RXP2 PERn2 DMI1RXN DMI_RXP1
23 PCIE_RXP2 H25 PERp2 DMI1RXP Y25 DMI_RXP1 9
23 PCIE_TXN2 0.1U_0402_16V7K~N 1 2 C463 PCIE_C_TXN2 G28 W28 DMI_TXN1 R197 R196
PETn2 DMI1TXN DMI_TXN1 9
23 PCIE_TXP2 0.1U_0402_16V7K~N 1 2 C466 PCIE_C_TXP2 G27 W27 DMI_TXP1 10K_0402_5% @ 10K_0402_5%
PETp2 DMI1TXP DMI_TXP1 9

PCI-EXPRESS
K26 AB26 DMI_RXN2
DMI_RXN2 9

1
PERn3 DMI2RXN

to
K25 AB25 DMI_RXP2
PERp3 DMI2RXP DMI_RXP2 9
J28 AA28 DMI_TXN2
PETn3 DMI2TXN DMI_TXN2 9
J27 AA27 DMI_TXP2
PETp3 DMI2TXP DMI_TXP2 9

GPIO38 GPIO39
M26 AD25 DMI_RXN3
B
M25
PERn4
PERp4
DMI3RXN
DMI3RXP AD24 DMI_RXP3
DMI_RXN3
DMI_RXP3 9
9 M/B ID B
DMI_TXN3
L28
L27
PETn4 DMI3TXN AC28
AC27 DMI_TXP3
DMI_TXN3 9 MP1 (Rev0.3) 0 0

p
PETp4 DMI3TXP DMI_TXP3 9
P26 AE28 CLK_PCIE_ICH# IRT (Rev1.0) 0 1
PERn5 DMI_CLKN CLK_PCIE_ICH# 13
CLK_PCIE_ICH
P25
N28
PERp5 DMI_CLKP AE27 CLK_PCIE_ICH 13 MP (Rev1.0) 1 0
PETn5 R254 24.9_0402_1%
N27 C25 Within 500 mils MP (Rev2.0) 1 1

l. a
PETp5 DMI_ZCOMP DMI_IRCOMP
DMI_IRCOMP D25 1 2 +1.5VS
T25 PERn6
T24 F1 USB20_N0
PERp6 USBP0N USB20_N0 23
R28 F2 USB20_P0
PETn6 USBP0P USB20_P0 23
R27 G4 USB20_N1
PETp6 USBP1N USB20_N1 27
G3 USB20_P1
USBP1P USB20_P1 27
R2 H1 USB20_N2
SPI_CLK USBP2N USB20_N2 28
PAD T21 SPI_CS# P6 H2 USB20_P2
SPI_CS# USBP2P USB20_P2 28
SPI

P1 J4 R495
SPI_ARB USBP3N USB_OC#6
USBP3P J3 4 5 +3V_SB
PAD T23 SPI_MOSI P5 K1 USB20_N4 USB_OC#7 3 6
SPI_MOSI USBP4N USB20_N4 28

w
PAD T22 SPI_MISO P2 K2 USB20_P4 USB_OC#5 2 7
SPI_MISO USBP4P USB20_P4 28
L4 USB20_N5 USB_OC#1 1 8
USBP5N USB20_N5 28
L5 USB20_P5
USBP5P USB20_P5 28
USB_OC#0 D3 M1 USB20_N6 10K_1206_8P4R_5%
USB_OC#0 OC0# USBP6N USB20_N6 28
USB_OC#1 C4 M2 USB20_P6
USB_OC#1
USB_OC#2 D5
OC1# USB USBP6P
N4 USB20_N3
USB20_P6 28
USB_OC#2 OC2# USBP7N USB20_N3 28
USB_OC#7 D4 N3 USB20_P3
OC3# USBP7P USB20_P3 28

w
USB_OC#4 E5 RP31
28 USB_OC#4 OC4#
USB_OC#5 C3 R485 22.6_0402_1% USB_OC#2 4 5 +3V_SB
28 USB_OC#5 OC5# / GPIO29
USB_OC#6 A2 D2 USBRBIAS 1 2 USB_OC#0 3 6
28 USB_OC#6 OC6# / GPIO30 USBRBIAS#
USB_OC#3 B3 D1 USB_OC#3 2 7
28 USB_OC#3 OC7# / GPIO31 USBRBIAS
Within 500 mils USB_OC#4 1 8
A ICH7_BGA652~D 10K_1206_8P4R_5% A

5
w 4
+VCCP
U34F U34E

m
A4 VSS[0] VSS[98] P28
ICH_V5REF_RUN G10 L11 0.1U_0402_16V4Z A23 R1
V5REF[1] Vcc1_05[1] VSS[1] VSS[99]
Vcc1_05[2] L12 B1 VSS[2] VSS[100] R11
AD17 V5REF[2] Vcc1_05[3] L14 1 B8 VSS[3] VSS[101] R12
L16 1 1 B11 R13

o
+1.5VS ICH_V5REF_SUS Vcc1_05[4] C272 C279 + C262 VSS[4] VSS[102]
F6 V5REF_Sus Vcc1_05[5] L17 B14 VSS[5] VSS[103] R14
Vcc1_05[6] L18 B17 VSS[6] VSS[104] R15
0.1U_0402_16V4Z AA22 M11 220U_D2_4VM B20 R16
Vcc1_5_B[1] Vcc1_05[7] 2 2 2 VSS[7] VSS[105]
1 AA23 Vcc1_5_B[2] Vcc1_05[8] M18 B26 VSS[8] VSS[106] R17
+5VS +3VS

c
220U_D2_4VM
1 1 1 AB22 Vcc1_5_B[3] Vcc1_05[9] P11 B28 VSS[9] VSS[107] R18
+ C248 C266 C291 AB23 P18 C2 T6
Vcc1_5_B[4] Vcc1_05[10] VSS[10] VSS[108]

C249
AC23 T11 1U_0603_10V4Z C6 T12

.
Vcc1_5_B[5] Vcc1_05[11] VSS[11] VSS[109]
1

AC24 Vcc1_5_B[6] Vcc1_05[12] T18 C27 VSS[12] VSS[110] T13


R188 D14 2 2 2 2
AC25 Vcc1_5_B[7] Vcc1_05[13] U11 D10 VSS[13] VSS[111] T14
AC26 Vcc1_5_B[8] Vcc1_05[14] U18 D13 VSS[14] VSS[112] T15
100_0402_5% CH751H-40_SC76 0.1U_0402_16V4Z 0.1U_0402_16V4Z AD26 V11 D18 T16
Vcc1_5_B[9] Vcc1_05[15] VSS[15] VSS[113]

s
AD27 V12 D21 T17
2

Vcc1_5_B[10] Vcc1_05[16] VSS[16] VSS[114]


AD28 Vcc1_5_B[11] Vcc1_05[17] V14 D24 VSS[17] VSS[115] U4
ICH_V5REF_RUN Place closely pin D26 V16 E1 U12
Vcc1_5_B[12] Vcc1_05[18] VSS[18] VSS[116]
1 D27 Vcc1_5_B[13] Vcc1_05[19] V17 E2 VSS[19] VSS[117] U13
C246 D28,T28,AD28. D28 V18 E4 U14
Vcc1_5_B[14] Vcc1_05[20] VSS[21] VSS[118]

it c
E24 Vcc1_5_B[15] E8 VSS[22] VSS[119] U15
0.1U_0402_16V4Z E25 U6 +3VS E15 U16
2 Vcc1_5_B[16] Vcc3_3 / VccHDA VSS[23] VSS[120]
E26 Vcc1_5_B[17] 1 F3 VSS[24] VSS[121] U17
F23 R7 +VCCP C264 F4 U24
Vcc1_5_B[18] VccSus3_3/VccSusHDA +3V_SB VSS[25] VSS[122]
F24 Vcc1_5_B[19] F5 VSS[26] VSS[123] U25
G22 AE23 C244 0.1U_0402_16V4Z F12 U26
Vcc1_5_B[20] V_CPU_IO[1] 2 VSS[27] VSS[124]
G23 Vcc1_5_B[21] V_CPU_IO[2] AE26 1 2 F27 VSS[28] VSS[125] V2
H22 Vcc1_5_B[22] V_CPU_IO[3] AH26 F28 VSS[29] VSS[126] V13
+5V +5VALW +3V_SB H23 0.1U_0402_16V4Z G1 V15
Vcc1_5_B[23] VSS[30] VSS[127]
J22 AA7 1 2 G2 V24

a
Vcc1_5_B[24] Vcc3_3[3] +3VS VSS[31] VSS[128]
J23 Vcc1_5_B[25] Vcc3_3[4] AB12 G5 VSS[32] VSS[129] V27
1

K22 AB20 1 C245 G6 V28


@ R524 R265 D15 Vcc1_5_B[26] Vcc3_3[5] C253 0.1U_0402_16V4Z VSS[33] VSS[130]
K23 Vcc1_5_B[27] Vcc3_3[6] AC16 G9 VSS[34] VSS[131] W6
L22 Vcc1_5_B[28] Vcc3_3[7] AD13 1 2 G14 VSS[35] VSS[132] W24
10_0402_5% 10_0402_5% CH751H-40_SC76 L23 AD18 0.1U_0402_16V4Z G18 W25
Vcc1_5_B[29] Vcc3_3[8] 2 C234 VSS[36] VSS[133]
M22 AG12 G21 W26
2

ICH_V5REF_SUS Vcc1_5_B[30] Vcc3_3[9] 4.7U_0805_10V4Z VSS[37] VSS[134]


M23 Vcc1_5_B[31] Vcc3_3[10] AG15 G24 VSS[38] VSS[135] Y3

m
N22 Vcc1_5_B[32] Vcc3_3[11] AG19 G25 VSS[39] VSS[136] Y24
1 N23 Vcc1_5_B[33] G26 VSS[40] VSS[137] Y27
C288 P22 A5 +3VS H3 Y28
Vcc1_5_B[34] Vcc3_3[12] VSS[41] VSS[138]

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z
P23 Vcc1_5_B[35] Vcc3_3[13] B13 H4 VSS[42] VSS[139] AA1
0.1U_0402_16V4Z R22 B16 1 1 1 H5 AA24
2 Vcc1_5_B[36] Vcc3_3[14] VSS[43] VSS[140]

e
R23 Vcc1_5_B[37] Vcc3_3[15] B7 H24 VSS[44] VSS[141] AA25

C290

C297

C285
R24 Vcc1_5_B[38] Vcc3_3[16] C10 H27 VSS[45] VSS[142] AA26
R25 Vcc1_5_B[39] Vcc3_3[17] D15 H28 VSS[46] VSS[143] AB4
2 2 2
R26 Vcc1_5_B[40] Vcc3_3[18] F9 J1 VSS[47] VSS[144] AB6
+3VS T22 G11 J2 AB11
Vcc1_5_B[41] Vcc3_3[19] VSS[48] VSS[145]

h
T23 Vcc1_5_B[42] Vcc3_3[20] G12 J5 VSS[49] VSS[146] AB14
T26 Vcc1_5_B[43] Vcc3_3[21] G16 J24 VSS[50] VSS[147] AB16
T27 Vcc1_5_B[44] J25 VSS[51] VSS[148] AB19
1 T28 Vcc1_5_B[45] VccRTC W5 +RTCVCC J26 VSS[52] VSS[149] AB21
C293 U22 K24 AB24
Vcc1_5_B[46] VSS[53] VSS[150]

0.1U_0402_16V4Z

0.1U_0402_16V4Z
U23 Vcc1_5_B[47] VccSus3_3[1] P7 1 2 +3V_SB K27 VSS[54] VSS[151] AB27
0.1U_0402_16V4Z R512

0.1U_0402_16V4Z

0.1U_0402_16V4Z
V22 Vcc1_5_B[48] 1 1 1 1 K28 VSS[55] VSS[152] AB28
2

C259

C261
V23 A24 0_0805_5% L13 AC2
Vcc1_5_B[49] VccSus3_3[2] VSS[56] VSS[153]

C274

C298
W22 Vcc1_5_B[50] VccSus3_3[3] C24 L15 VSS[57] VSS[154] AC5
W23 D19 L24 AC9

s
Vcc1_5_B[51] VccSus3_3[4] 2 2 2 2 VSS[58] VSS[155]
Y22 Vcc1_5_B[52] VccSus3_3[5] D22 L25 VSS[59] VSS[156] AC11
Place closely pin AG28 within 100mlis. Y23 Vcc1_5_B[53] VccSus3_3[6] G19 L26 VSS[60] VSS[157] AD1
M3 AD3

-
+1.5VS +1.5VS_DMIPLLR +1.5VS_DMIPLL VSS[61] VSS[158]
B27 Vcc3_3[1] VccSus3_3[7] K3 1 2 +3V_SB M4 VSS[62] VSS[159] AD4
R456 R452 K4 1 1 R513 M5 AD7
+1.5VS_DMIPLL VccSus3_3[8] C280 C277 0_0805_5% VSS[63] VSS[160]
1 2 1 2 AG28 VccDMIPLL VccSus3_3[9] K5 M12 VSS[64] VSS[161] AD8
0.01U_0402_16V7K

VccSus3_3[10] K6 M13 VSS[65] VSS[162] AD11


0.5_0805_1% 0_0805_5% 1 1 AB7 L1 0.1U_0402_16V4Z 0.1U_0402_16V4Z M14 AD15

p
+1.5VS Vcc1_5_A[1] VccSus3_3[11] 2 2 VSS[66] VSS[163]
C425 AC6 L2 M15 AD19
C433 Vcc1_5_A[2] VccSus3_3[12] VSS[67] VSS[164]
AC7 Vcc1_5_A[3] VccSus3_3[13] L3 M16 VSS[68] VSS[165] AD23
10U_0805_10V4Z~N 1 AD6 L6 M17 AE2
2 2 C238 Vcc1_5_A[4] VccSus3_3[14] VSS[69] VSS[166]
AE6 Vcc1_5_A[5] VccSus3_3[15] L7 M24 VSS[70] VSS[167] AE4
AF5 M6 M27 AE8

to
0.1U_0402_16V4Z Vcc1_5_A[6] VccSus3_3[16] VSS[71] VSS[168]
AF6 Vcc1_5_A[7] VccSus3_3[17] M7 M28 VSS[72] VSS[169] AE11
2
AG5 Vcc1_5_A[8] VccSus3_3[18] N7 N1 VSS[73] VSS[170] AE13
AH5 Vcc1_5_A[9] N2 VSS[74] VSS[171] AE18
Vcc1_5_A[19] AB17 +1.5VS N5 VSS[75] VSS[172] AE21
+1.5VS Place closely pin AG5. AD2 VccSATAPLL Vcc1_5_A[20] AC17 N6 VSS[76] VSS[173] AE24
0.1U_0402_16V4Z

N11 VSS[77] VSS[174] AE25


+3VS AH11 Vcc3_3[2] Vcc1_5_A[21] T7 N12 VSS[78] VSS[175] AF2
0.1U_0402_16V4Z

p
1 Vcc1_5_A[22] F17 N13 VSS[79] VSS[176] AF4
C247

1 +1.5VS AB10 Vcc1_5_A[10] Vcc1_5_A[23] G17 N14 VSS[80] VSS[177] AF8


AB9 Vcc1_5_A[11] N15 VSS[81] VSS[178] AF11
C236

1 AC10 Vcc1_5_A[12] Vcc1_5_A[24] AB8 1 2 N16 VSS[82] VSS[179] AF27


2 C241 AD10 Vcc1_5_A[13] Vcc1_5_A[25] AC8 N17 VSS[83] VSS[180] AF28
2 C286 0.1U_0402_16V4Z
AE10 N18 AG1

l. a
1U_0603_10V4Z Vcc1_5_A[14] ICH_K7 VSS[84] VSS[181]
AF10 Vcc1_5_A[15] VccSus1_05[1] K7 PAD T24 N24 VSS[85] VSS[182] AG3
2
AF9 Vcc1_5_A[16] N25 VSS[86] VSS[183] AG7
AG9 C28 ICH_C28 PAD T32 N26 AG11
Vcc1_5_A[17] VccSus1_05[2] ICH_G20 VSS[87] VSS[184]
AH9 Vcc1_5_A[18] VccSus1_05[3] G20 PAD T26 P3 VSS[88] VSS[185] AG14
P4 VSS[89] VSS[186] AG17
+3V_SB Place closely pin AG9. E3 VccSus3_3[19] Vcc1_5_A[26] A1 +1.5VS P12 VSS[90] VSS[187] AG20
1 Vcc1_5_A[27] H6 P13 VSS[91] VSS[188] AG25
C289 C1 H7 1 P14 AH1
+1.5VS VccUSBPLL Vcc1_5_A[28] VSS[92] VSS[189]
1 J6 C295 P15 AH3
0.1U_0402_16V4Z C292 ICH_AA2 Vcc1_5_A[29] VSS[93] VSS[190]
T18 PAD AA2 VccSus1_05/VccLAN1_05[1] Vcc1_5_A[30] J7 P16 VSS[94] VSS[191] AH7
2 ICH_Y7 0.1U_0402_16V4Z
Y7 P17 AH12

w
T19 PAD VccSus1_05/VccLAN1_05[2] 2 VSS[95] VSS[192]
0.1U_0402_16V4Z P24 AH23
2 VSS[96] VSS[193]
+3V_SB 1 2 V5 VccSus3_3/VccLAN3_3[1] P27 VSS[97] VSS[194] AH27
V1 VccSus3_3/VccLAN3_3[2]
R226 0_0805_5% W2 ICH7_BGA652~D
VccSus3_3/VccLAN3_3[3]
W7 VccSus3_3/VccLAN3_3[4]

w
1 ICH7_BGA652~D
C258
PJP14
@ JUMP_43X118 0.1U_0402_16V4Z
2
+3VALW 1 1 2 2 +3V_SB

w
PJP15
@ JUMP_43X118
+3V 1 1 2 2
5 4 3 2 1

m
ODD_ACT_LED#
29 ODD_ACT_LED#

o
+3VS
IDE_DD[0..15] 16
+5VS

1
R358 CDROM CONN

c
10K_0402_5% C169 47P_0402_50V8J 10U_0805_10V4Z 0.1U_0402_16V4Z
2 1 JODD1

.
D22 1 2 1 1 1 1

2
D 1 2 C148 D
3 3 4 4
IDE_DD8 C387 C392 C390
17 IDE_RESET# 1 2 5 5 6 6
IDE_DD7 7 8 IDE_DD9
IDE_DD6 7 8 IDE_DD10 2 2 2 2
9 10

s
RB751V_SOD323 IDE_DD5 9 10 IDE_DD11
11 11 12 12
IDE_DD4 13 14 IDE_DD12 1U_0603_10V4Z 1000P_0402_50V7K~N
IDE_DD3 13 14 IDE_DD13
15 15 16 16
IDE_DD2 17 18 IDE_DD14
IDE_DD1 17 18 IDE_DD15

it c
19 19 20 20
+5VS IDE_DD0 21 22 Close to ODD Conn
21 22 IDE_DDREQ 16
23 23 24 24 IDE_DIOR# 16
16 IDE_DIOW# 25 25 26 26

1
16 IDE_DIORDY 27 27 28 28 IDE_DDACK# 16
R356 29 30
16 IDE_IRQ 29 30
100K_0402_5% 31 32 PDIAG# 1 R112 2@ 10K_0402_5% +5VS
16 IDE_DA1 31 32
16 IDE_DA0 33 33 34 34 IDE_DA2 16
2 16 IDE_DCS1# 35 35 36 36 IDE_DCS3# 16
ODD_ACT_LED# 37 38
37 38 +5VS

a
+5VS
39 39 40 40 80mils
41 41 42 42
43 43 44 44
45 45 46 46
2 1 SD_CSEL 47 48
R349 470_0402_5% 47 48
49 49 50 50 1 2 +5VS
51 52 R347
GND GND 100K_0402_5%
53 GND GND 54

m
@
SUYIN_800059MR050S119ZL
CONN@
If CDROM is Slave

e
C C
then SD_CSEL= Floating
else SD_CSEL= Low

c h
-s +5VS

p
SATA HDD CONN 10U_0805_10V4Z 0.1U_0402_16V4Z
1
1 1 1 1

to
JSATA1 +
C423
C420 C430 C432 C427
S1 150U_D2_6.3VM
PSATA_IRX_DTX_P0 GND 2 2 2 2 2
16 PSATA_ITX_DRX_P0 S2 HTX+
PSATA_IRX_DTX_N0 S3
B 16 PSATA_ITX_DRX_N0 HTX- B
C460 3900P_0402_50V7K S4 0.1U_0402_16V4Z 1000P_0402_50V7K~N
GND
16 PSATA_IRX_DTX_N0_C 2 1 S5 HRX-
S6

p
HRX+
16 PSATA_IRX_DTX_P0_C 2 1 S7 GND
C458 3900P_0402_50V7K 27
NC +3VS
Pin swap NC 28
+3VS P1

l. a
VCC3.3
P2 VCC3.3
P3 10U_0805_10V4Z 0.1U_0402_16V4Z
VCC3.3
P4 GND
P5 GND GND 23 1 1 1 1
P6 GND GND 24 C452
P7 25 C444 C447 C441
+5VS VCC5 GND
P8 VCC5 GND 26
2 2 2 2
P9 VCC5
P10 GND
P11 0.1U_0402_16V4Z 1000P_0402_50V7K~N
RESERVED
P12 GND

w
P13 VCC12
P14 VCC12
Close to SATA HDD
P15 VCC12

SUYIN_127043FR022G226ZL
CONN@

w
A A

5
w 4
5 4 3 2 1

U33A
15 PCI_AD[0..31] CBS_CAD[0..31] 21
PCI_AD31 M2 B19 CBS_CAD31

m
PCI_AD30 AD31 CAD31/CDATA10 CBS_CAD30 +3V_PHY
M1 AD30 CAD30/CDATA9 C18
PCI_AD29 N5 D19 CBS_CAD29
PCI_AD28 AD29 CAD29/CDATA1 CBS_CAD28 U33B
N4 D18 [1]
PCI_AD27
PCI_AD26
N2
N1
AD28
AD27 R5C841 CAD28/CDATA8
CAD27/CDATA0 E19
E16
CBS_CAD27
CBS_CAD26 @ C465 [1]
D11 CPS MDIO00 B1
A2
R5C841

o
PCI_AD25 AD26 CAD26/CADR0 CBS_CAD25 R5C841XI R5C841XI MDIO01
P5 AD25 CAD25/CADR1 F18 2 1 A16 XI MDIO02 A3
PCI_AD24 P4 F15 CBS_CAD24 R5C841XO B16 B3
PCI_AD23 AD24 CAD24/CADR2 CBS_CAD23 22P_0402_50V8J C271 XO MDIO03
R4 AD23 CAD23/CADR3 G18 1 2 0.01U_0402_16V7K A14 FIL0 MDIO04 B4
PCI_AD22 R2 G15 CBS_CAD22 A5
AD22 CAD22/CADR4 MDIO05

2
PCI_AD21 CBS_CAD21 @ X1 IEEE1394_TPAP0

c
R1 AD21 CAD21/CADR5 H18 B12 TPAP0 MDIO06 B5
PCI_AD20 T2 H15 CBS_CAD20 IEEE1394_TPAN0 A12 D5 1 2
PCI_AD19 AD20 CAD20/CADR6 CBS_CAD19 TPAN0 MDIO07
T1 AD19 CAD19/CADR25 J18 MDIO08 A6

.
PCI_AD18 U2 J16 CBS_CAD18 24.576MHz_16P_3XG-24576-43E1 IEEE1394_TPBP0 B13 B6 R210 0_0402_5%

1
D PCI_AD17 AD18 CAD18/CADR7 CBS_CAD17 IEEE1394_TPBN0 TPBP0 MDIO09 D
U1 AD17 CAD17/CADR24 J15 A13 TPBN0 MDIO10 D6 @
PCI_AD16 V1 P16 CBS_CAD16 @ C464 E6
PCI_AD15 AD16 CAD16/CADR17 CBS_CAD15 R5C841XO MDIO11
T7 AD15 CAD15/IOWR# P19 2 1 [1] B10 TPAP1 MDIO12 A7
PCI_AD14 V7 R19 CBS_CAD14 A10 B7

s
AD14 CAD14/CADR9 R468 TPAN1 MDIO13
R5C811 & R5C841 PCI_AD13 W7 P18 CBS_CAD13 22P_0402_50V8J D7
PCI_AD12 AD13 CAD13/IORD# CBS_CAD12 MDIO14
PULL DOWN :Disable media card function R8 AD12 CAD12/CADR11 R18 1 2 B11 TPBP1 MDIO15 E7
PCI_AD11 T8 T19 CBS_CAD11 A11 A8
PCI_AD10 AD11 CAD11/OE# CBS_CAD10 TPBN1 MDIO16
V8 AD10 CAD10/CE2# T18 0_0402_5% MDIO17 B8
PCI_AD9 CBS_CAD9

it c
W8 AD9 CAD9/CADR10 U19 MDIO18 D8
PCI_AD8 R9 U18 CBS_CAD8 IEEE1394_TPBIAS0 D12 E8
R204 1 UDIO3 PCI_AD7 AD8 CAD8/CDATA15 CBS_CAD7 TPBIAS0 MDIO19
2 10K_0402_5% V9 AD7 CAD7/CDATA7 W17 D10 TPBIAS1
R201 1 2 10K_0402_5% UDIO4 PCI_AD6 W9 V17 CBS_CAD6
PCI_AD5 AD6 CAD6/CDATA13 CBS_CAD5
T11 AD5 CAD5/CDATA6 W16 D13 VREF
PCI_AD4 V11 V16 CBS_CAD4 B14
AD4 CAD4/CDATA12 REXT

0.01U_0402_16V7K
PCI_AD3 W11 W15 CBS_CAD3 [1]
PCI_AD2 AD3 CAD3/CDATA5 CBS_CAD2
T12 AD2 CAD2/CDATA11 V15

10K_0603_1%
PCI_AD1 V12 T15 CBS_CAD1 2 V14
AD1 CAD1/CDATA4 USBDP

2
C457
PCI_AD0 W12 R14 CBS_CAD0 W14
AD0 CAD0/CDATA3 USBDM

R474
a
21 VPPEN0 V13 VPPEN0
CBS_CC/BE3# 1
15,22 PCI_CBE#3 P2 C/BE3# CC/BE3#/REG# F16 CBS_CC/BE3# 21 21 VPPEN1 W13 VPPEN1
15 PCI_CBE#2 W2 K18 CBS_CC/BE2#
CBS_CC/BE2# 21

1
C/BE2# CC/BE2#/CADR12 CBS_CC/BE1#
15 PCI_CBE#1 W6 C/BE1# CC/BE1#/CADR8 P15 CBS_CC/BE1# 21 21 VCC5EN# R13 VCC5EN#
15 PCI_CBE#0 T9 V19 CBS_CC/BE0# T13
C/BE0# CC/BE0#/CE1# CBS_CC/BE0# 21 21 VCC3EN# VCC3EN#
R7 REGEN#

m
PCI_PAR V6 N15 CBS_CPAR
15 PCI_PAR PAR CPAR/CADR13 CBS_CPAR 21 Function Seclect

100K_0402_5%
[1] R5C841_CSP208~D

1
R216
PCI_FRAME# V3 K16 CBS_CFRAME# 1 2
15 PCI_FRAME# FRAME# CFRAME#/CADR23 CBS_CFRAME# 21
PCI_TRDY# W4 L16 R224 UDIO3 UDIO4 VPPEN0 SD MS
15 PCI_TRDY# TRDY# CTRDY#/CADR22 CBS_CTRDY# 21

e
PCI_IRDY# V4 K15 CBS_CIRDY# 100K_0402_5%
C 15 PCI_IRDY# IRDY# CIRDY#/CADR15 CBS_CIRDY# 21 C
PCI_STOP# V5 M16 CBS_CSTOP#
15 PCI_STOP# CBS_CSTOP# 21

2
PCI_DEVSEL# T5 STOP# CSTOP#/CADR20 CBS_CDEVSEL#
15,22 PCI_DEVSEL# DEVSEL# CDEVSEL#/CADR21 L18 CBS_CDEVSEL# 21 * 0 0 0 X X
PCI_AD21 1 2 CBS_IDSEL P1 N19 CBS_CBLOCK#
IDSEL RESERVED/CADR19 CBS_CBLOCK# 21
100_0402_5% R448 N18 CBS_CPERR#
CPERR#/CADR14 CBS_CPERR# 21

h
G16 CBS_CSERR# 0 0 1 X X Enable
CSERR#/WAIT# CBS_CSERR# 21
PCI_PERR# W5 G19 CBS_CREQ#
15 PCI_PERR# PERR# CREQ#/INPACK# CBS_CREQ# 21
PCI_SERR# T6 M15 CBS_CGNT#
15 PCI_SERR# SERR# CGNT#/WE# CBS_CGNT# 21
E18 CBS_CSTSCHNG 0 1 0 X Enable
CSTSCHG/BVD1(STSCHG#/RI#) CBS_CSTSCHNG 21
A18 CBS_CCLKRUN#
CBS_CCLKRUN# 21

c
CCLKRUN#/WP(IOIS16#) CBS_CCLK_INTERNAL 2
15 PCI_REQ0# M4 REQ# CCLK/CADR16 L19 1 CBS_CCLK 21
M5 R480 22_0402_5% 0 1 1 X Enable Enable
15 PCI_GNT0# GNT#
M18 CBS_CINT#
CINT#/RDY(IREQ#) CBS_CINT# 21
SHIELD GND K1 1 0 0 Enable X X

s
13 CLK_PCI_CB PCICLK CBS_CCD2# 21
15,23 PCI_RST# PCI_RST# L4 PCIRST# CBS_CCD1# 21
CBS_GRST# G2 H19 CBS_CRST#
GBRST# CRST#/RESET CBS_CRST# 21

2
0_0402_5%
R198 1 2 @ 0_0402_5% L5 1 2 1 0 1 Enable X Enable

-
+3V 17,26 PCI_CLKRUN# CLKRUN#

R481
C283 0.01U_0402_16V7K
R199 1 2 10K_0402_5% F19 CBS_CAUDIO CBS_CAUDIO 21 R234
CAUDIO/BVD2(SPKR#/LED) 0_0402_5%
J2 INTA#
1 1 0 Enable Enable X
1

K4

1
R441 INTB# CBS_CCD1C#
15 PCI_PIRQE# K2 T14

p
100K_0402_5% INTC# CCD1#/CD1# CBS_CCD2C#
15 PCI_PIRQF# CCD2#/CD2# D15 1 1 1 Enable Enable Enable

270P_0402_50V7K

270P_0402_50V7K
R16 CBS_CVS1
15 PCI_PIRQG# CVS1/VS1# CBS_CVS1 21
SERIRQ J4 H16 CBS_CVS2 2 2
17,23,26 SERIRQ CBS_CVS2 21
2

+3V UDIO0/SERIRQ# CVS2/VS2#

C467

C275
CBS_GRST# H1 UDIO1
1 H2 UDIO2

to
@ R203 1 2 10K_0402_5% UDIO3 H4 W18 CBS_RSVD/D14
UDIO3 RESERVED/CDATA14 CBS_RSVD/D14 21 1 1
C434 @ R202 1 2 10K_0402_5% UDIO4 H5 C19 CBS_RSVD/D2
UDIO4 RESERVED/CDATA2 CBS_RSVD/D2 21
1U_0603_10V4Z G1 N16 CBS_RSVD/A18
2 UDIO5 RESERVED/CADR18 CBS_RSVD/A18 21

B B
26 WLANPME# G4 RI_OUT#/PME#
PCM_SPK# F1
25 PCM_SPK# SPKROUT
F2

p
HWSPND#
F4 TEST
R450 2 1 10K_0402_5% R5C841_CSP208~D

+3V R446 1 2 100K_0402_5%

l. a
apply same length for set of TPA+,TPA-and TPB+,TPB-
IEEE1394_TPBIAS0
0.33U_0603_10V7K
56.2_0603_1%

56.2_0603_1%

0.01U_0402_16V7K

@ @ @ 1 @1
1

CLK_PCI_CB
R518

R520

C535

C531

@
[1]
1

2 2
10_0402_5%
R449

w
2

5
6
7
8

IEEE1394_TPAP0 4
GND1
GND2
GND3
GND4
2

IEEE1394_TPAN0 4
3 3
IEEE1394_TPBP0
CLK_PCI_CB_TERM

2 2
IEEE1394_TPBN0 1 1

w
SUYIN_020204FR004S506ZL
56.2_0603_1%

56.2_0603_1%

@ @
1

J139A1
R519

R517

CONN@
@
4.7P_0402_50V8C

A A

w
2

2
C435

Z3008
270P_0402_50V7K

5.1K_0603_1%

@ @
2

1
2
C547

R521

1
1

Placement Near Card Bus Controller


5 4
5 4 3 2 1

+3V
*as close as possible to VCC_3V pin +3V_PHY

m
L24 L26
CBS_CAD13 1 2 CBS_CAD13_L 1 2
+3V

10U_0805_10V4Z

0.01U_0402_16V7K

0.01U_0402_16V7K

0.01U_0402_16V7K

0.01U_0402_16V7K
@ BLM21A601SPT_0805

1000P_0402_50V7K~N

1000P_0402_50V7K~N
0.1U_0402_16V4Z

0.1U_0402_16V4Z
L25 BLM21A601SPT_0805

22U_1206_10V4Z
1 1 1 1 1 CBS_CAD15 1 2 CBS_CAD15_L

o
C287

C282

C254

C257

C284
@
BLM21A601SPT_0805 1 1 1 1 1

C487

C480

C486

C485
U33C R484

C476
F5 VCC_3V1 NC1 L2 1 2
2 2 2 2 2 0_0402_5%
G5 VCC_3V2 NC2 C1
R490 2 2 2 2 2

c
J19 D1
+3VS
K19
VCC_3V3
VCC_3V4 R5C841 NC3
NC4
NC5
E1
C2
1 2
0_0402_5%

.
NC6 D2
D *as close as possible to VCC_PCI pin 1.on top or bottom layer D
W3 VCC_PCI3V1 NC7 E2
R11 E4 2.no via hole [1]
VCC_PCI3V2 NC8
10U_0805_10V4Z

0.01U_0402_16V7K

0.01U_0402_16V7K

0.01U_0402_16V7K

R12 E12 3.90 Ohm compliant with USB platform


+3V VCC_PCI3V3 NC9

s
1 1 1 1
USB Signals for Epress Card
C242

C267

C268

C250

*as close as possible to VCC_MD3V pin A4 VCC_MD3V


10U_0805_10V4Z

2 2 2 2 0.01U_0402_16V7K

it c
1 1 R6 VCC_RIN1
C440

C251

E13 VCC_RIN2

2 2
L1 VCC_ROUT1
+3V E14 VCC_ROUT2

*as close as possible to VCC_RIN pin R219 1 2 0_0402_5%E10


AVCC_PHY1
10U_0805_10V4Z

0.1U_0402_16V4Z

0.01U_0402_16V7K

0.01U_0402_16V7K

R221 1 2 0_0402_5%E11
AVCC_PHY2

a
R236 1 2 0_0402_5%A17
AVCC_PHY3
2 1 1 1 +3V_PHY R239 1 2 0_0402_5%B17
AVCC_PHY4
C263

C269

C270

C256

[1]
1 2 2 2

A9 AGND1

m
B9 AGND2
D9 AGND3
D14 AGND4
0.01U_0402_16V7K

A15 AGND5
1U_0603_10V4Z

B15 AGND6

e
1 1
C243

C276

C C
J1 GND1
J5 GND2
2 2
K5 GND3

h
E9 GND4
R10 JPC1
GND5
T10 GND6
V10 GND7 1 1 35 35
W10 CBS_CAD0 2 36 CBS_CCD1#
CBS_CCD1# 20

c
GND8 CBS_CAD1 2 36 CBS_CAD2
L15 GND9 3 3 37 37
M19 CBS_CAD3 4 38 CBS_CAD4
GND10 CBS_CAD5 4 38 CBS_CAD6
5 5 39 39
R5C841_CSP208~D CBS_CAD7 6 40 CBS_RSVD/D14
6 40 CBS_RSVD/D14 20
CBS_CC/BE0# 7 41 CBS_CAD8

s
20 CBS_CC/BE0# 7 41
CBS_CAD9 8 42 CBS_CAD10
+3V U35 +CBS_VCC CBS_CAD11 8 42 CBS_CVS1
9 9 43 43 CBS_CVS1 20
CBS_CAD12 10 44 CBS_CAD13_L

-
CBS_CAD14 10 44 CBS_CAD15_L
11 VCC3IN VCCOUT 9 11 11 45 45
14 CBS_CC/BE1# 12 46 CBS_CAD16
+5V VCCOUT 20 CBS_CC/BE1# 12 46
0.1U_0402_16V4Z

10U_0805_10V4Z

12 CBS_CPAR 13 47 CBS_RSVD/A18
VCCOUT 20 CBS_CPAR 13 47 CBS_RSVD/A18 20
0.01U_0402_16V7K

1 CBS_CPERR# 14 48 CBS_CBLOCK#
20 CBS_CPERR# 14 48 CBS_CBLOCK# 20
C302

13 1 1 CBS_CGNT# 15 49 CBS_CSTOP#
20 CBS_CGNT# CBS_CSTOP# 20

p
VCC5IN 15 49
C484

15 CBS_CINT# 16 50 CBS_CDEVSEL#
VCC5IN 20 CBS_CINT# 16 50 CBS_CDEVSEL# 20
C479

+CBS_VPP +CBS_VCC 17 51 +CBS_VCC


2 17 51
0.1U_0402_16V4Z

+CBS_VPP 18 18 52 52 +CBS_VPP
2 2 CBS_CCLK_E CBS_CTRDY#
1 3 EN0 VPPOUT 8 20 CBS_CCLK 19 19 53 53 CBS_CTRDY# 20
C475

0.1U_0402_16V4Z

0.01U_0402_16V7K

4 CBS_CIRDY# 20 54 CBS_CFRAME#
EN1 20 CBS_CIRDY# 20 54 CBS_CFRAME# 20

to
1 1 CBS_CC/BE2# 21 55 CBS_CAD17
20 CBS_CC/BE2# 21 55

33_0402_5%
C483

C482

CBS_CAD18 22 56 CBS_CAD19
2 @ CBS_CAD20 22 56 CBS_CVS2
2 VCC3_EN 23 23 57 57 CBS_CVS2 20
1 CBS_CAD21 24 58 CBS_CRST#
VCC5_EN 2 2 24 58 CBS_CRST# 20
R472 CBS_CAD22 25 59 CBS_CSERR#
CBS_CSERR# 20 CBS_CAD[0..31] 20

2
B @ CBS_CAD23 25 59 CBS_CREQ# B
2 26 26 60 60 CBS_CREQ# 20
5 7 CBS_CAD24 27 61 CBS_CC/BE3# CBS_CAD31
20 VPPEN0 FLG NC 27 61 CBS_CC/BE3# 20
16 6 C455 CBS_CAD25 28 62 CBS_CAUDIO CBS_CAD30

p
20 VPPEN1 GND NC 28 62 CBS_CAUDIO 20
10 22P_0402_50V8J CBS_CAD26 29 63 CBS_CSTSCHNG CBS_CAD29
NC 1 29 63 CBS_CSTSCHNG 20
CBS_CAD27 30 64 CBS_CAD28 CBS_CAD28
20 VCC3EN# 30 64
CBS_CAD29 31 65 CBS_CAD30 CBS_CAD27
20 VCC5EN# 31 65
R5531V002-E2-FA_SSOP16~D CBS_RSVD/D2 32 66 CBS_CAD31 CBS_CAD26
20 CBS_RSVD/D2 32 66
CBS_CCLKRUN# 33 67 CBS_CCD2# CBS_CAD25
20 CBS_CCLKRUN# CBS_CCD2# 20

l. a
33 67 CBS_CAD24
34 34 68 68
CBS_CAD23
69 79 CBS_CAD22
GND GND CBS_CAD21
70 GND GND 80
71 81 CBS_CAD20
GND GND CBS_CRST# CBS_CAD19
72 GND GND 82
73 83 2 CBS_CAD18
GND GND CBS_CAD17
74 GND GND 84
75 85 C278 CBS_CAD16
GND GND CBS_CAD15
76 GND GND 86 0.01U_0402_16V7K
1 CBS_CAD14
77 GND GND 87

w
78 88 CBS_CAD13
GND GND CBS_CAD12
89 CBS_CAD11
NC CBS_CAD10
NC 90
CBS_CAD9
CBS_CAD8
CBS_CAD7

w
FOX_WZ21131-HR-9F_RB CBS_CAD6
CBS_CAD5
CONN@ CBS_CAD4
CBS_CAD3
CBS_CAD2
CBS_CAD1
A CBS_CAD0 A

5
w
5 4 3 2 1

m
Layout Notice : 3.3V filter. Place as close Layout Notice : 1.2V filter. Place as close
chip as possible. chip as possible.
Layout Notice : Place as close
Layout Notice : Filter place as close +3VS +1.2VLAN
chip as possible.

o
chip as possible.
+3VALW Q28 L16 +3VLAN

4.7U_0805_6.3V6K
4.7U_0805_10V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z
+2.5VLAN 6 FBM-L11-321611-260-LMT_1206

S
+3V_LAN 1

c
5 4 2 2 2 2 2 2 2 2 2 2 2 2 2 2
2 1 XTALVDD 2 SI3456BDV-T1-E3_TSOP6

C329

C327

C323

C330

C14

C338

C12

C7

C325

C324

C346

C337

C336
4.7U_0805_10V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z

0.1U_0402_16V4Z
L14 FBM-L11-160808-601LMT_0603
2 1

.
2 2 2 2

G
D C340 1 1 1 1 1 1 1 1 1 1 1 1 1 D

C342

C326

C13

C339

C9
0.1U_0402_16V4Z
1
30 EN_WOL 1 1 1 1

s
2 1 +LAN_AVDD
L13 FBM-L11-160808-601LMT_0603
2 2 2
C333 C319 C332

it c
0.1U_0402_16V4Z 0.1U_0402_16V4Z
1 1
0.1U_0402_16V4Z 1 close to each of the pins 38, 45, and 52 U1

41 LAN_TX0-
TRD0_N LAN_TX0- 23
28 40 LAN_TX0+
(CLKREQ#) and (ENERGY_DET) are 13 CLK_PCIE_LAN# PCIE_REFCLK_N TRD0_P LAN_TX0+ 23
2 1 +LAN_BIASVDD 42 LAN_RX1-
only supported in BCM5787M TRD1_N LAN_RX1- 23
L15 FBM-L11-160808-601LMT_0603
1 29 43 LAN_RX1+
13 CLK_PCIE_LAN PCIE_REFCLK_P TRD1_P LAN_RX1+ 23
C341 48 LAN_TX2-
TRD2_N LAN_TX2- 23
PCI_DEVSEL#
1 2 0_0402_5% 11 47 LAN_TX2+
15,20 PCI_DEVSEL# CLKREQ TRD2_P LAN_TX2+ 23

a
0.1U_0402_16V4Z @ R7 49 LAN_TX3-
2 TRD3_N LAN_TX3- 23
CLKREQC#
1 2 0_0402_5% 50 LAN_TX3+
13 CLKREQC# TRD3_P LAN_TX3+ 23
+1.2VLAN @ R401
26 LAN_LOW_PWR 3 LOW PWR
2 1 AVDDL
L1 FBM-L11-160808-601LMT_0603
2 2 +3VS R304 1 2 1K_0402_5% CBE#1 53 2
VMAIN_PRSNT LINKLED +3VLAN
SPD100LED 1 Place closed to L14 & K14
C21 C15 +3VLAN R305 1 2 1K_0402_5% 54 67
VAUX_PRSNT SPD1000LED

m
4.7U_0805_6.3V6K 0.1U_0402_16V4Z 66 C357 1 2 0.1U_0402_16V4Z
1 1 TRAFFICLED
C358 1 2 4.7U_0805_10V4Z
R306 1 2 @ 0_0402_5% CBE#3 59 65 SCLK
15,20 PCI_CBE#3 ENERGY_DET SCLK(EECLK)

3
2 1 GPHY_PLLVDD 63 5751_SI Q30
SI

e
L18 FBM-L11-160808-601LMT_0603
2 2 35 64 5751_SO
C GPHY_PLLVDD GPHY_PLLVDD SO(EEDATA) C
62 CS# REGCTL_PNP 1 MMJT9435T1G_SOT223
C343 C344 CS
17 PCIE_TXN1 32 PCIE_RXD_N
4.7U_0805_6.3V6K 0.1U_0402_16V4Z C17 +1.2VLAN
1 1 0.1U_0402_16V7K~N 0_0402_5%
17 PCIE_TXP1 31

2
4
PCIE_RXD_P

h
REGCTL12 14 REGCTL 1 2 REGCTL_PNP
17 PCIE_RXN1 2 1 PCIE_MRX_C_LTX_N0
25 PCIE_TXD_N REGCTL25 18 CTL25 R316 1 1
2 1 PCIE_PLLVDD RDAC 37 2 R317 1 C361 C359
L17 FBM-L11-160808-601LMT_0603
2 2 17 PCIE_RXP1 2 1 PCIE_MRX_C_LTX_P0
26 1.24K_0402_1%
PCIE_TXD_P 0.1U_0402_16V4Z 10U_0805_10V4Z

c
C347 C18 2 2
C345 0.1U_0402_16V4Z 0.1U_0402_16V7K~N 23 XTALVDD
4.7U_0805_6.3V6K 1 1 XTALVDD
9,15,17,23,26 PLT_RST# 10 PERST VDDIO 6 +3VLAN
15 +3VLAN
VDDIO
12 19

s
26 PCIE_PME# WAKE VDDIO
2 1 PCIE_VDD VDDIO 56 1 2
L12 FBM-L11-160808-601LMT_0603
1 2 61 C353
VDDIO 0.1U_0402_16V4Z
SMBus to support ASF

-
C331 C334 58 17 1 2
23 LAN_SMBCLK SMB_CLK VDDP +2.5VLAN
4.7U_0805_6.3V6K 0.1U_0402_16V4Z 68 C352
2 1 VDDP 4.7U_0805_10V4Z
23 LAN_SMBDATA 57 SMB_DATA
VDDC 5 +1.2VLAN

4
13 Q29

p
@ R310 VDDC MBT35200MT1G_TSOP6
No CIS Symbol VDDC 20
1 2 0_0402_5% 4 GPIO_0(SERIAL_DO) VDDC 34
1 2 GPIO1 @ R331 55 CTL25 3
SPROM_WP VDDC
@R313 0_0402_5%
1 2GPIO1 7 GPIO_1(SERIAL_DI) VDDC 60
4.7K_0402_5%

to
1 2 GPIO2 GPIO2 8 +LAN_BIASVDD
36

1
2
5
6
@ R314 @ R315 GPIO_2 BIASVDD
Layout Notice : Place as close PCIE_PLLVDD 30 PCIE_PLLVDD
4.7K_0402_5% 1 2 0_0402_5% 9 27
chip as possible. UART_MODE PCIE_VDD PCIE_VDD
PCIE_VDD 33
B +2.5VLAN 38 B
AVDD +LAN_AVDD
XTALI 21 45
R10 XTALI AVDD
52

p
200_0603_1% XTALO AVDD
22 XTALO
0.1U_0402_16V4Z

22U_1206_10V4Z

0.1U_0402_16V4Z

2 1 XTALO 39 +REGOUT25
AVDDL AVDDL +2.5VLAN
2 2 2 AVDDL 44
C335

C328

C322

XTALI 16 46
Y2 REG_GND AVDDL
51 Notice : 4.7u 6.3V capactor Thickness 1.25mm

GND

l. a
AVDDL
27P_0402_50V8J

27P_0402_50V8J

1 2 24 PCIE_GND
1 1 1
2 25MHZ_16P_XSL025000FK1H 2 Layout Notice : Filter place as close

69
C20

C16

chip as possible.
1 1
+3VLAN +3VLAN
Close to Q12 1K_0402_5% 1 2

1
w
+3VLAN C360 @
4.7K_0402_5%

@ R323 R322 R321 0.1U_0402_16V4Z


1
1
4.7K_0402_5%

1K_0402_5% @ 1K_0402_5%
2

@R311

@ R312

@
R9

2
0_0402_5% U20
U2 8 1
2
2

VCC A0

w
5751_SI 8 1 5751_SO SPROM_WP 7 2
1

SO SI SCLK SCLK WP A1
7 GND SCK 2 1 @ R330 2 0_0402_5% SPROM_CLK 6 SCL NC 3
0.1U_0402_16V4Z

0_0402_5% 6 3 +3VLAN 5751_SO 1 2 SPROM_CS 5 4


GPIO1 VCC RESET# CS# @ R328 0_0402_5% SDA GND
2 1 5 WP# CS# 4
R8 @ 2 @ AT24C256_SO8
C19

AT45DB011B-SU_SO8~N
A A

w
1

SCLK 1 2
R332 @ 4.7K_0402_5%
5751_SI 2 1
R318 4.7K_0402_5%
CS# 1 2
R300 @ 4.7K_0402_5%

R884,R864 stuff if U61 is installed

5 4
A B C D E

JDBD1 +1.5VS
2 1 V_DAC

m
+2.5VLAN
R319 FBM-L11-160808-601LMT_0603 1 2 2 1
13 CLK_DEBUG_PORT CLK_PCI_SIO
LPC_AD0 2
LPC_AD2 LPC_AD0 C265 C235 C462
1. ES2 by rework form 0805 to 0603 3 LPC_AD2
4 0.1U_0402_16V4Z 0.1U_0402_16V4Z 10U_1206_16V4Z
15,20 PCI_RST# PCIRST 1 1 2
2. Part name will modify in next phase 5

o
26 E51_TXD ES1_TXD/LPC_DRQ1
+3VALW 6 +3VALW
SERIRQ 7
T31
17,20,26 SERIRQ
LPC_AD3 8
E51_RXD/SERIRQ
LPC_AD3
For DEBUG
0.1U_0402_16V4Z RP1 LPC_AD1 9
C348 1 V_DAC C8 LPC_AD1

c
2 1 TCT1 MCT1 24 1 8 16,26 LPC_FRAME# 10 LPC_FRAME +3VS
LAN_TX3- 2 23 RJ45_TX3- 2 7 1000P_1206_2KV7K
22 LAN_TX3- TD1+ MX1+
LAN_TX3+ 3 22 RJ45_TX3+ 3 6 2 2 1
22 LAN_TX3+ TD1- MX1- @ ACES_85201-0805N

.
4 5 2 1
1 C349 1 V_DAC 1
2 0.1U_0402_16V4Z 4 TCT2 MCT2 21 C233 C281 C422
LAN_TX2- 5 20 RJ45_TX2- 75_1206_8P4R_5% 0.1U_0402_16V4Z 0.1U_0402_16V4Z 10U_1206_16V4Z
22 LAN_TX2- TD2+ MX2+ 1 1 2
LAN_TX2+ 6 19 RJ45_TX2+
22 LAN_TX2+ TD2- MX2-

s
C350 1 2 0.1U_0402_16V4Z V_DAC 7 18
LAN_RX1- TCT3 MCT3 RJ45_RX1-
22 LAN_RX1- 8 TD3+ MX3+ 17
LAN_RX1+ 9 16 RJ45_RX1+
22 LAN_RX1+ TD3- MX3-
C351 1 2 0.1U_0402_16V4Z V_DAC
Mini-Express Card +3VALW

it c
10 TCT4 MCT4 15
LAN_TX0- 11 14 RJ45_TX0- 2 1
22 LAN_TX0- TD4+ MX4+
LAN_TX0+ 12 13 RJ45_TX0+
22 LAN_TX0+ TD4- MX4- C255 C443
+3VALW 0.1U_0402_16V4Z 10U_1206_16V4Z
1 2
BOTH_GST5009-LF +1.5VS

Layout Note 1 2 +3VS


17 ICH_PCIE_WAKE#
R172 0_0402_5% JMINI1
24HST1041A-3 pls close to conn.

a
JLAN1 1 2
WLAN_ACT 1 2
12 Amber LED+ 1 2 MINI_PIN3 3 3 4 4
R189 0_0402_5% @ 5 6
5 6
11 Amber LED- 13 CLKREQD# 7 7 8 8 1 2 LPC_FRAME# 16,26
16 9 10 R4401 0_0402_5%
2 LPC_AD3
RJ45_TX3- SHLD4 9 10 R4431 0_0402_5% LPC_AD2
8 PR4- +3VLAN 13 CLK_PCIE_MCARD# 11 11 12 12 2
15 13 14 R4451 0_0402_5%
2 LPC_AD1
SHLD3 13 CLK_PCIE_MCARD 13 14

10K_0402_5%

10K_0402_5%
RJ45_TX3+ 7 15 16 R4511 0_0402_5%
2 LPC_AD0
PR4+ 15 16

m
1

1
PLT_RST# 1 2 17 18 R453 0_0402_5%
17 18 LPC_AD[0..3] 16,26
RJ45_RX1- 6 R206 0_0402_5% 19 20
PR2- 13 CLK_DEBUG_PORT 19 20 WLAN_OFF# 26

R28

R27
1 2 R458 0_0402_5% 21 22
21 22 PLT_RST# 9,15,17,22,26
RJ45_TX2- 5 R469 0_0402_5% PCIE_RXN2 1 2 PCIE_C_RXN2 23 24
PR3- 17 PCIE_RXN2 23 24
PCIE_RXP2 1 2 PCIE_C_RXP2 25 26
17 PCIE_RXP2

2
25 26

e
RJ45_TX2+ 4 Q38 @ @ @ R461 0_0402_5% 27 28
PR3+ 27 28

D
2 2N7002_SOT23 3 LAN_SDATA 2
13,17 ICH_SMBDATA 1 LAN_SMBDATA 22 29 29 30 30 ICH_SMBCLK 13,17
RJ45_RX1+ 3 17 PCIE_TXN2 PCIE_TXN2 31 32 ICH_SMBDATA 13,17
PR2+ PCIE_TXP2 31 32
17 PCIE_TXP2 33 33 34 34
RJ45_TX0- USB20_N0

G
2 35 36 USB20_N0 17

2
PR1- 35 36

h
14 37 38 USB20_P0
SHLD2 37 38 USB20_P0 17
RJ45_TX0+ 1 +3VS 39 40
PR1+ 39 40
SHLD1 13 41 41 42 42
10 43 44 WLAN_LINK WLAN_LINK 26
Green LED- 43 44

2
G
+3VALW 1 2 45 46 MINI_PIN46 1 2 WLAN_ACT

c
Q37 @ R2271 0_0402_5% 45 46 R233 0_0402_5%
9 Green LED+ 26 E51_TXD 2 47 47 48 48
2N7002_SOT23
3 1 LAN_SCLK R2351 0_0402_5%
2 49 50
13,17 ICH_SMBCLK LAN_SMBCLK 22 26,29 NUMLED# 49 50
TYCO_1566735-1 R2401 0_0402_5%
S

D
26,29 CAPSLED# 2 51 51 52 52
R243 0_0402_5%
53 54

s
GND1 GND2
1 2
1 2 0_0402_5%
R559 0_0402_5% R464 FOX_AS0B226-S52N-7F~N

-
1 2
R557 0_0402_5% Wireless_BTN +3VS

p
1 2
Killer switch
R556 0_0402_5% 1 2 WLAN_OFF#
+3VS @ R207 10K_0402_5%
SW1 WLAN_LINK
1 2

to
1 2 1BS003-1211L_3P R228 10K_0402_5%
R558 0_0402_5%
GOLAN_ALPS#
1

0 GOLAN
1

3 @ R294 3
100K_0402_5%
All 49.9 ohm + 0.1 uF 1 ALPS

p
2

termination components close +3VS


# WLAN detect by BIOS and program GOLAN_ALPS# to control WLAN LED
to BCM5751M U37
2

5
TC7SH08FU_SSOP5
R293 WLAN_OFF# WLAN_LINK#1 D26 CH751H-40_SC76

P
WLAN_OFF# 26

l. a
B
1.5M_0402_5% Y 4 2 1DIP_WLAN_LED D1 Pin3 Open PAST & MASK layer
2 +3VS
17 GOLAN_ALPS A

G
+3VS 1 2 PASSWORD#
1

R170 10K_0402_5% WLAN LED


+3VS 1 2 SW_RSV2 +3VS 3 +5VS

1
10K_0402_5%
@ R515 10K_0402_5% D17
+3VS 1 2 SW_RSV3 12-21-BHC-ZL1M2RY-2C GREEN
10K_0402_5%

@ R516 10K_0402_5% +3VS R511 @ +3VS R292


1

+3VS 2 1 1 2
14

14
2
R270 U39A U39C 200_0603_5%
14

1
D

w
WLAN_LINK 1 U39B DIP_WLAN_LED 1 2 9
P

P
I0 I0
3 1 2WLAN_LED 4 R509 0_0402_5% 8 2 Q26 R291
P
2

WLAN_ACT# O I0 O
OFF ON 2 I1
R510 0_0402_5%
O 6 2 1DIP_WLAN_LED WLAN_OFF# 1 2 10 I1
G 2N7002LT1G_SOT23
2 1 1 2
G

G
5 R506 0_0402_5% S

3
I1
1

SW2 D 74HCT08PW_TSSOP14 D27 CH751H-40_SC76 74HCT08PW_TSSOP14 390_0603_5%


7

7
ON

1 16 WLAN_ACT 2 Q23 74HCT08PW_TSSOP14 D16 HT-110UY_AMBER


16 CLR_CMOS 17 GOLAN_ALPS#
7

G 2N7002LT1G_SOT23 +3VS

w
26 SW_CONFIG1 2 15 S
3

14
3 14 U39D
26 SW_CONFIG2

1
D
12

P
17 GOLAN_ALPS# I0
17 PASSWORD# PASSWORD# 4 13 DIP_WLAN_LINK#
11 2 Q27
WLAN_LINK# O G 2N7002LT1G_SOT23
26 WLAN_LINK# 13 I1

2
4 FINGERPRINT# 4
5 12 S

w
26 FINGERPRINT#

3
74HCT08PW_TSSOP14 @ R528

7
26 SW_RSV1 SW_RSV1 6 11 10K_0402_5%

17 SW_RSV2 SW_RSV2 7 10

1
17 SW_RSV3 SW_RSV3 8 9

HPS608-E_16P

A B
A B C D E F G H

MDC CONN.

m
MDC_ACZ_SDOUT
Adjustable Output 16 MDC_ACZ_BITCLK MDC_ACZ_BITCLK
+5VS +VDDA
U27

2
10_0402_5%

10_0402_5%
4 5 2006-01-27 change connector
VIN VOUT

@ R200

@ R465
4.7U_0805_10V4Z

0.1U_0402_16V4Z

4.7U_0805_10V4Z

0.1U_0402_16V4Z
2 6 0_0603_5%
DELAY SENSE or ADJ R120 JMDC1 1 2 +3V

0.01U_0402_16V7K
30.1K_0402_1% R194

c
7 1 1

MDC_ACZ_SDOUT_MDCTERM 1
C160 C165 ERROR CNOISE
1 2
8 3 16 MDC_ACZ_SDOUT 3
GND1 RES0
4 W=20 mil

1
SD GND IAC_SDATA_OUT RES1

.
5 6 MDC_POWER

MDC_ACZ_BITCLK_TERM
1 2 GND2 3.3V 1

4.7U_0805_10V4Z

0.1U_0402_16V4Z
SI9182DH-AD-T1-E3_MSOP8~N R466 7 8
16 MDC_ACZ_SYNC IAC_SYNC GND3
1 2 AC97_SDIN1_MDC 9 10 1 1
16 ACZ_SDIN1 IAC_SDATA_IN GND4

1
33_0402_5% 11 12 MDC_ACZ_BITCLK
16 MDC_ACZ_RST# IAC_RESET# IAC_BITCLK

C240

C237
R119

s
C398

C401
10K_0603_1%
+5VS MDC_ACZ_SDOUT 2 2
1 2

GND
GND
GND
GND
GND
GND
C164
@ C449 10P_0402_50V8J

2
ACES_88018-124G

it c
1 2

13
14
15
16
17
18

10P_0402_50V8J
C453
R115 10K_0402_5% MDC_ACZ_SYNC 1 2
@ C450 10P_0402_50V8J
Connector for MDC Rev1.5 1 1
@
MDC_ACZ_RST# @ C239
1
@ C451
2
10P_0402_50V8J
check Azalia MDC Module 10P_0402_50V8J
2 2

a
HD Audio Codec For EMI +3VS

0_0603_5%
20mil 0.1U_0402_16V4Z 1 2

2
R125 +3VS

m
+AVDD_AC97 R117 R116
1 1 1
100K_0402_5%
C406 C201 C203 100K_0402_5% HP_JD
L20 1 2 0.1U_0402_16V4Z 40mil 10U_1206_16V4Z
+VDDA

1
FBM-L11-160808-800LMT_0603 2 2 2 D
1 1 1

e
C403 2
2 C404 C202 0.1U_0402_16V4Z G 2
10U_1206_16V4Z Q17
25

38
S

3
1

9
2 2 2 U5 2N7002LT1G_SOT23
0.1U_0402_16V4Z AVDD1 C409 1000P_0402_50V7K~N
AVDD2

DVDD1

DVDD2

1
D

h
C407 1000P_0402_50V7K~N 25 PLUG_IN 2
G
14 35 LINEL 1 2 AMP_LEFT 25 Q18 S

3
LINE2_L LINE_OUT_L R362 6.8K_0603_5% 2N7002LT1G_SOT23 2

c
15 36 LINER 1 2 AMP_RIGHT 25
LINE2_R LINE_OUT_R C411 1000P_0402_50V7K~N R363 6.8K_0603_5% C549
16 MIC2_L MONO_O 37 0.01U_0402_16V7K
C204 1000P_0402_50V7K~N 1
17 39 HP_LOUT 1 2

s
MIC2_R HP_OUT_L HP_LEFT 25
R129 0_0603_5%
23 41 HP_ROUT 10P_0402_25V8K
1 2 HP_RIGHT 25
LINE1_L HP_OUT_R @ 2 R365 1 1 R372
2 0_0603_5%

-
24 10_0402_5% @ C410
LINE1_R ACZ_BITCLK_CODEC
BIT_CLK 6 1 2 ACZ_BITCLK 16 D11
R366 0_0402_5% @
18 CD_L
8 AC97_SDIN0_CODEC
1 2 0_0402_5% 1 2
EAPD Control for Vista
SDATA_IN R361
20 ACZ_SDIN0 16

p
CD_R CODEC_GPIO2
GPIO2 2 2 1 RB751V_SOD323
19 CD_GND

2
@ R367 0_0402_5%
1 2 C_MIC1 21 R364
25 MIC1 MIC1_L
C170 1U_0603_10V6K 0_0402_5% ACZ_RST# 1 R149 2 2 1

to
1 2 C_MIC2 22 3 @
25 MIC2 MIC1_R GPIO3
C176 1U_0603_10V6K @ R147 0_0402_5%

1
HP_JD 1M_0402_5%
2 1 13 SENSE A LINE1_VREFO 29
R121 39.2K _0402_1%
1 2 12 30 +3VS +3VS
3 25 MIC_JD BEEP MIC2_VREFO 3
20K_0402_1% 1
R118 26
MIC1_VREFO_L 28 10mil +MIC1_VREFO_L

1
11 10mil

p
16 ACZ_RST# RESET#

5
27 AC97_VREF C214 U7

OE#
VREF 10U_0805_10V4Z 2
10 1 2 4 2

P
16 ACZ_SYNC SYNC I O B EAPD 25
26
MIC1_VREFO_R 32 10mil +MIC1_VREFO_R Y 4

G
5 C178 1
16 ACZ_SDOUT SDATA_OUT A

G
+VDDA 10U_0805_10V4Z U6

3
l. a
2 NC7SZ08P5X_NL_SC70-5
45 31

3
NC LINE2_VREFO
46 NC DCVOL 33 2 1
34 2 1 74LVC1G125GW_SOT3535 1
SENSE B R124 10K_0402_5%
2 2 252 EAPD 2 1 47 SPDIFI/EAPD GPIO0 43
2
10P_0402_25V8K

10P_0402_25V8K

10P_0402_25V8K
C402

C405

C408

@ 0_0402_5% 44 R148 0_0402_5% C217


R378 R377 GPIO1
48 SPDIFO 0.1U_0402_16V4Z
@ 0_0402_5% CODEC_GPIO2 2
JDREF 40 2 1
@ 1 @ 1 @ 1
4 DVSS1 AVSS1 26
1

7 42 R153 0_0402_5%
1

DVSS2 AVSS2 R373


ALC262-GR_LQFP48~N 20K_0402_1% @

w
2

DGND AGND Reserved for TEST


Reserved for EMI
1 2

w
C160.2 C157.2 R354 0_0805_5%
1 2
2 1 R174 0_0805_5%
1 2
R580 0_0402_5% R160 0_0805_5%
1 2
4 R173 0_0805_5% 4
GND AGND

w
GND AGND

A B C D
A B C D E

Speaker Connector

m
+5VS JSPK1
INTSPK_R1 1
W=40Mil INTSPK_R2 1
2 2
1 1 INTSPK_L1 3
INTSPK_L2 3
4

o
C393 C157 +5VS 4
5 G1
0.1U_0402_16V4Z 10U_0805_10V4Z 6
2 2 G2
U4

16
15
6
R110 1 2 10K_0402_5% MOLEX_53398-0471~N

c
VDD
PVDD1
PVDD2
@ R108 1 2 10K_0402_5%
MICROPHONE IN JACK

.
4 C153 1 R109 1 4
2 7 RIN+ GAIN0 2 2 10K_0402_5%
0.47U_0603_16V4Z 3K_0402_5% R123 FOX_JA6333L-B3S0-7F~N
3 @ R111 1 2 10K_0402_5% 3K_0402_5%
2 R122
1 5
GAIN1 +MIC1_VREFO_R
2 1

s
+MIC1_VREFO_L
C395 1 2 AMP_R 17 4 10
24 AMP_RIGHT RIN- 24 MIC_JD
0.47U_0603_16V4Z 18 SPK_R1 1 2 INTSPK_R1 9
ROUT+ R107 0_0603_5% MIC-2
24 MIC2 1 2 3 8
L5 CHB2012U170_0805 6 7
SPK_R2 INTSPK_R2 MIC-1

it c
ROUT- 14 1 2 24 MIC1 1 2 2
C154 1 2 9 R106 0_0603_5% L4 CHB2012U170_0805 1
0.47U_0603_16V4Z LIN+
1 1
4 SPK_L1 1 2 INTSPK_L1
LOUT+ R104 0_0603_5% C162 JMIC1
C396 1 2 AMP_L 5 220P_0402_50V7K C163
24 AMP_LEFT LIN- 2 2
0.47U_0603_16V4Z 8 SPK_L2 1 2 INTSPK_L2
LOUT- R105 0_0603_5%
220P_0402_50V7K

a
NC 12

10
19 SHUTDOWN
BYPASS HEADPHONE OUT JACK
2

GND1
GND2
GND3
GND4
C158

m
0.47U_0603_16V4Z
1
+3VS P3017THF B0 TSSOP 20P
20
13
11
1

e
1

3 FOX_JA6333L-B3S0-7F~N 3
R98 5
100K_0402_5%
PLUG_IN 4 10
24 PLUG_IN

h
9
2

HP_OUTR R154 1 2 47_0402_5%HP_R 1 2 HPR 3 8


D21 CH751H-40_SC76 L6 CHB2012U170_0805 6 7
2 1 R99 1 2 PLUG_IN GAIN0 GAIN1 GAIN HP_OUTL R155 1 2 47_0402_5%HP_L 1 2 HPL 2
L7 CHB2012U170_0805 1

c
2.7K_0402_5% 2 2
1

1
D
1

2 Q16 0 0 6dB C207 C206 JHP1


26 EC_MUTE 470P_0402_50V7K
G 2N7002LT1G_SOT23 R357 R152 R151 470P_0402_50V7K
S 10K_0402_5% @ 1K_0402_5% @ 1K_0402_5% 1 1

s
3

2
0 1 10dB
2

C548

-
0.01U_0402_16V7K +3VS
1
* 1 0 15.6dB

2
EAPD R192 +3VS
24 EAPD

p
+3VS
1 1 21.6dB 100K_0402_5%

5
Buzzer need to support ICH/PCM_SPK/Battery_low and WL_on/off U10 Reserve the 0 ohm resistor.

1
2

P
B for voltage filtering

to
4 HP_MUTE# R177
Y

1
D EAPD 0_0603_5%
1 A

G
+3VS PLUG_IN 2 Q33
G NC7SZ08P5X_NL_SC70-5 1 2

2
S C231 1U_0603_10V4Z

3
2 2N7002LT1G_SOT23 2
2
1

1
56_0603_1%

56_0603_1%

C481
R560

R555

19

10
p
0.1U_0402_16V4Z U8
1

PVDD

SVDD
HP_MUTE# 14 11 HP_OUTR
2

SHDNR# OUTR
18 9 HP_OUTL

l. a
SHDNL# OUTL
3

S
G
2 Q45
26 BUZR_OFF
SI2301BDS-T1-E3 1P SOT23
R169 6.8K_0603_5% 4
D NC-4
24 HP_RIGHT 1 2 HP_INR 1 2 HPINR 15
1 1

BUR1 C228 2.2U_0603_6.3V4Z INR


NC-6 6
BUZZER 1 + 24 HP_LEFT 1 2 HP_INL 1 2 HPINL 13 INL
D35 2 C227 2.2U_0603_6.3V4Z 8
- R162 6.8K_0603_5% NC-8
RB751V_SOD323 LET9040-03A_2P 12
NC-12

w
2

1 C1P NC-16 16
1

PGND

SGND
3 20

PVss

SVss
C229 C1N NC-20
1U_0603_10V4Z
2 MAX4411ETP+T_TQFN20~N

17
w
1
C222
1 ICH Beep CardBus Beep 1U_0603_10V4Z 1
EC Beep

w
3

2
S
1

D D Q43
G
2 2 1 PCM_SPK# 20
1 2 2 Q49 1 2 2 Q47 R505
17 SB_SPKR 26 BEEP
R503 G R502 G SI2301BDS-T1-E3 1P SOT23 0_0402_5%
0_0402_5% S 0_0402_5% S
3

D
1

2N7002LT1G_SOT23 2N7002LT1G_SOT23

A B
5 4 3 2 1

+3VALW L22MBK1608800YZF 0603


R491 +EC_AVCC 1 2

m
+3VALW +EC_AVCC
1 2 0.1U_0402_16V4Z 0.1U_0402_16V4Z 1000P_0402_50V7K~N 2 1
+LDO3 +3VALW 1 1 1 1 1 +LDO3 2 1 C438 +3VALW

10K_0402_5%
0_0805_5% @ R562 0_0603_5% C439
C461 C448 C426 C468 C469 0.1U_0402_16V4Z 1000P_0402_50V7K~N

2
@ 1 2 KBA0 @ R493 1
R561 2 10K_0402_5%

o
2 2 2 2 2

R566
R463 1 2 ECAGND
10K_0402_5% +LDO3 1 2 0.1U_0402_16V4Z 1000P_0402_50V7K~N L23 MBK1608800YZF 0603 KBA1 @ R494 1 2 10K_0402_5%

@ 0_0805_5% C428 KBA2 @ R486 1 2 10K_0402_5%

105
127
141
EC_PME# ECAGND

11
26
37

75
c
EC_PME# 15 16,23 LPC_AD[0..3] 1 2
U32 0.01U_0402_16V7K KBA3 @ R487 1 2 10K_0402_5%
CH751H-40_SC76 D24 EC_GA20 1 71

VCC/ EC VCC
VCC / EC VCC
VCC / EC VCC
VCC / EC VCC
VCC
VCC

EC_AVCC / AVCC
16 GATEA20 GA20/ GPIO00/GA20 BATTEMP/AD0/GPIO38 BATT_TEMP 38

.
1 2 KB_RST# 2 72 BATT_OVP 33 KBA4 @ R488 1 2 10K_0402_5%
D 20 WLANPME# 16 KB_RST# KBRST#/GPIO01/KBRST# BATT OVP/AD1/GPIO39 D
SERIRQ 3 73
17,20,23 SERIRQ SERIRQ ADP_I/AD2/GPIO3A ADP_I 33
1 2 5 74 AD_BID0 KBA5 @ R489 1 2 10K_0402_5%
22 PCIE_PME# 16,23 LPC_FRAME# LPC_FRAME# / LFRAME# AD BID0/AD3/GPIO3B
LPC_AD3 6
CH751H-40_SC76 D25 LPC_AD2 LPC AD3/LAD3 AD INtput or GPI
9

s
@ R564 47K_0402_5% LPC_AD1 LPC AD2/LAD2 Host PLT_RST#
10 LPC AD1/LAD1 INTERFACE 1 2 R471
+LDO3 1 2 LPC_AD0 12 @ 100K_0402_5%
CLK_PCI_EC LPC AD0/LAD0
13 CLK_PCI_EC 14 CLK_PCI_EC/PCICLK DAC_BRIG/DA0/GPIO3D 76 DAC_BRIG 14
R438 PLT_RST# 15 PWR 78
9,15,17,22,23 PLT_RST# PCIRST# EN DFAN1/DA1/GPIO3D EN_DFAN1 4
EC_RST#

it c
+3VALW 1 2 42 EC RST#/ ECRST# IREF2/DA2 79 IREF 33
EC_SCI# 24 80 1 2
17 EC_SCI# EC SCI#/SCI#/GPIO0E EN DFAN2/DA3/ GPIO3F ICH_POK 15,17
47K_0402_5% 44 R209 0_0402_5%
17,20 PCI_CLKRUN# PM_CLKRUN#/ CLKRUN# DA output or GPO
2
+5VS C424 FAN/PWM
RP30 KSI[0..7] 25 +3VS
0.1U_0402_16V4Z 27 KSI[0..7] INVT_PWM/GPIO0F/PWM1 INVT_PWM 14
1 8 KSI0 63 27 RP28
1 KSI0/GPIO30 BEEP#/GPIO10/PWM2 BEEP 25
TP_DATA 2 7 KSI1 64 30 SW_RSV1 23 SW_CONFIG1 1 8
TP_CLK KSO[0..15] KSI2 KSI1/GPIO31 OUT BEEP/GPIO12/PWM3 SW_CONFIG2 2
3 6 27 KSO[0..15] 65 KSI2/GPI032 ACOFF/GPIO18/PWM4 31 ACOFF 32,33 7
4 5 KSI3 66 32 FAN_SPEED1 FAN_SPEED1 4 SW_RSV1 3 6
KSI3/GPIO33 FAN SPEED1/GPIO14/FANFB1

a
KSI4 67 33 FINGERPRINT# FINGERPRINT# 23 FINGERPRINT#4 5
10K_0804_8P4R_5% KSI5 KSI4/GPIO34 FAN SPEED2/GPIO15/FANFB2
68 KSI5/GPI035
08/17: modify +5VALW KSI6 69 10K_0804_8P4R_5%
KSI7 KSI6/GPIO36
70 91 AMH/LI# 38
+LDO3+3VALW EC_SMB_DA1 R462 2 1 4.7K_0402_5%
KSI7/GPIO37 key Matrix PSCLK1
92
PSDAT1 EN_WOL# 30
KSO0 47 scan 93 EC_MUTE
KSO0/GPIO20 PSCLK2 EC_MUTE 25
0_0402_5%

0_0402_5%
@

EC_SMB_CK1 R459 2 1 4.7K_0402_5% KSO1 48 PS2 interface 94 BUZR_OFF


KSO1/GPIO21 PSDAT2 BUZR_OFF 25
1

KSO2 49 95 TP_CLK
KSO2/GPIO22 PSCLK3 TP_CLK 27

m
R576

R577

EC_SMB_DA2 R470 2 1 4.7K_0402_5% KSO3 50 96 TP_DATA


KSO3/GPIO23 PSDAT3 TP_DATA 27
ADB[0..7]
KSO4 51 KSO4/GPIO24 ADB[0..7] 27
EC_SMB_CK2 R467 2 1 4.7K_0402_5% KSO5 52 125 ADB0
KSO6 KSO5/GPIO25 ADB0/D0 ADB1
53 126
2

KSO7 KSO6/GPIO26 ADB1/D1 ADB2


2 54 KSO7/GPIO27 ADB2/D2 128

e
RP29 KSO8 55 Data 130 ADB3
C LID_SW# C456 KSO9 KSO8/GPIO28 BUS ADB3/ D3 ADB4 C
1 8 56 KSO9/GPIO29 ADB4/D4 131
FRD# 2 7 @1000P_0402_50V7K~N KSO10 57 132 ADB5
FSEL# 1 KSO11 KSO10/GPIO2A ADB5/D5 ADB6
3 6 58 KSO11/GPIO2B ADB6/D6 133
4 5 KSO12 59 134 ADB7 KBA[0..19]
KSO12/GPIO2C ADB7/D7 KBA[0..19] 27

h
KSO13 60 111 KBA0
10K_0804_8P4R_5% KSO14 KSO13/GPIO2D KBA0/A0 KBA1
61 KSO14/GPIO2E KBA1/A1 112
KSO15 62 113 KBA2
SW_CONFIG1 KSO15/GPIO2F KBA2/A2 KBA3
23 SW_CONFIG1 89 EC URXD/KSO16/GPIO48 KBA3/A3 114
SW_CONFIG2 90 115 KBA4
23 SW_CONFIG2

c
EC UTXD/KSO17/GPIO49 KBA4/A4 KBA5
M/B rev:0.1; 0.2; 0.3; 1.0 KBA5/A5 116
117 KBA6
Voltage:0.0; 0.4; 0.8; 1.0 EC_SMB_DA2 88 Address KBA6/A6
118 KBA7
4,10 EC_SMB_DA2 EC SMD2/ GPIO47/SDA2 KBA7/A7
EC_SMB_CK2 87 BUS 119 KBA8
4,10 EC_SMB_CK2 EC SMC2/GPIO46/SCL2 KBA8/A8
EC_SMB_DA1 86 SM BUS 120 KBA9

s
27,38 EC_SMB_DA1 EC SMD1/GPIO44/SDA1 KBA9/A9
EC_SMB_CK1 85 121 KBA10
27,38 EC_SMB_CK1 EC SMC1/GPIO44/SCL1 KBA10/A10
122 KBA11
+3VALW FOR Board ID KBA11/A11
123 KBA12

-
E51_TXD KBA12/A12 KBA13
34 PCM_SPK#/EMAIL_LED#/ GPIO16 KBA13/A13 124
35 110 KBA14
14,29 PWR_GREEN_LED# SB_SPKR/PWR_SUSP_LED#/ GPIO17 KBA14/A14
38 109 KBA15 CLK_PCI_EC
22 LAN_LOW_PWR PWRLED#/ GPIO19 KBA15/A15
1

2006-01-27 change Brd ID 40 108 KBA16 LC2A KH3


23,29 NUMLED# NUMLED#/ GPIO1A KBA16/A16

1
BATT_FULL_LED# 99 107 KBA17
14,29 BATT_FULL_LED#

p
100K_0402_5% BATT CHGI LED#/ E51CS# KBA17/A17 KBA18 R473
Ra R444
14,29 PWR_AMBER_LED# 101
100
BATT LOW LED#/ E51MR0 KBA18/A18 106
98 KBA19
23,29 CAPSLED# CAPS LED#/ E51TMR1 KBA19/A19
102 KBSEL0# SW_CONFIG1 @ 10_0402_5%
29 SCRLED#
2

AD_BID0 ARROW LED#/ E51 INT0 E-Mail_BTN


30,35 SYSON 104 84 E-Mail_BTN 29

2
SYSON/GPIO56/ E51 INT1 SELIO2#/ GPIO43 Internet_BTN
1 SELIO#/ GPIO50 97 Internet_BTN 29 1
1

to
4 135 KBSEL1# SW_CONFIG2 C459
17 EC_RSMRST# EC_RSMRST#/ GPIO02 FRD#/RD# FRD# 27
C437 7 136
0.1U_0402_16V4Z 14 BKOFF# BKOFF#/GPIO03 FWR#/WR# FWR# 27
R447 @ 15P_0402_50V8D
Rb 33K_0402_5% 2
17 SLP_S3# 8
16
PM SLP S3#/GPIO04 FSEL#/SELMEM# 144 FSEL# 27
FINGERPRINT# SW_RSV1 2
17 EC_LID_OUT# EC LID OUT#/GPIO06
17 SLP_S5# 17 41 EC_ON 29,34
2

B EC_SMI# PM SLP S05#/ GPIO07 EC ON/ GPIO1B B


17 EC_SMI# 18 EC SMI#/GPIO08 AC IN/ GPIO1C 43 ACIN 17,32,34
19 29 EC_THERM# SW_RSV2
17 EC_SWI# EC SWI#/GPIO09 ECTHERM#/GPIO11 EC_THERM# 17
LID_SW# 20 36

p
27 LID_SW# LID SW#/ GPIO0A ONOFF/GPIO18 ON_OFF 29
27,30,35,36 SUSP# 21 SUSP#/GPIO0B PCMRST#/GPIO1E 45 WLAN_LINK# 23
17 PBTN_OUT# 22 PBTN_OUT#/GPIO0C WL OFF#/GPIO1F 46 WLAN_OFF# 23
+3VALW EC_PME# 23 EC PME#/GPIO0D
ALI/MH#/GPIO40 81 GMCH_ENBKL 11,14
82 FSTCHG 33

l. a
FSTCHG/GPIO41 JTPM1
83 VR_ON 37
+LDO3 VR ON/ GPIO42
137 LPC_AD0 LPC_FRAME#
GPIO57/GPIO57 MSEN# 14 2 1
10K_0402_5% R457 CRY1 140 142 WLAN_LINK 23 LPC_AD1
XCLKO GPIO58/GPIO58 4 3 PLT_RST# 9,15,17,22,23
AGND

E-Mail_BTN 1 2 CRY2 138 143 LPC_AD2 SERIRQ


GND
GND
GND
GND
GND
GND

XCLKI GPIO59/GPIO59 VGATE 13,15,17,37 6 5


LPC_AD3 PCI_CLKRUN#
Internet_BTN 8 7
1 2 R475 R578 @ 10K_0402_5%
10 9
10K_0402_5% E-Mail_BTN 1 2
139
129
103
13
28
39

77

KB910L_LQFP144 CRY1 12 11
14 13 CLK_PCI_TPM 13
EC_MUTE 1 2 Internet_BTN 1 2 16 15

1
R579 @ 10K_0402_5% +3VS
10K_0402_5% R581 CRY2 18 17 R225
1 2 +3V 20 19

w
R483 @ 20M_0603_5% 12mA @ 10_0402_5%
ECAGND
JLPC1 2 1
FOR LPC SIO DEBUG PORT

2
1 1 R482 0_0603_5% NAIS_AXK5S20045J~N
1 +5VS
2 2 1 0.5A per each pin 1
3 +3VS C473
3 10P_0402_50V8J C472 C273
4 4

w
2 10P_0402_50V8J @22P_0402_25V8K
5 5
+3VALW Y1 2 2
6

IN

OUT
6 LPC_AD0
7 7
8 LPC_AD1 JECDB1
8 LPC_AD2
9 9 1 1
LPC_AD3
NC

NC
10 10 2 2
A LPC_FRAME# E51_TXD A
11 3

w
11 LPC_DRQ#0 R477 23 E51_TXD 3
12 LPC_DRQ#0 16 4
2

12 @ 10K_0402_5% 4
13 13 PLT_RST# 9,15,17,22,23
14 2 1 ACES_85205-0400
14 CLK_PCI_SIO_DBR
15 15 1 2 CLK_PCI_SIO_DB 13 CONN@
16 SERIRQ 32.768K 20PPM Q13MC30610003
16 R479
17 17
18 @ 22_0402_5%
18
19 19
20 20

ACES_85201-2005
CONN@

5 4
m
KSO8 C187 100P_0402_25V8K KSI7 C172 100P_0402_25V8K

KSI3 C197 100P_0402_25V8K KSI6 C177 100P_0402_25V8K

o
KSO9 C186 100P_0402_25V8K KSI5 C196 100P_0402_25V8K

KSI2 C180 100P_0402_25V8K KSO0 C195 100P_0402_25V8K

KSI1 C181 100P_0402_25V8K KSO1 C194 100P_0402_25V8K Felica Conn

c
KSO10 C185 100P_0402_25V8K KSO2 C193 100P_0402_25V8K

.
KSO11 C184 100P_0402_25V8K KSI4 C179 100P_0402_25V8K KSI[0..7]
KSI[0..7] 26 +5VS

+5VS_FP_FE
KSI0 C198 100P_0402_25V8K KSO3 C192 100P_0402_25V8K KSO[0..15] F3 1.1A_6VDC_FUSE @ JFE1
KSO[0..15] 26
1 2 +5VS_FP_FE

s
KSO12 C200 100P_0402_25V8K KSO4 C191 100P_0402_25V8K USB20_N1 1
17 USB20_N1 2
USB20_P1
17 USB20_P1 3
KSO13 C183 100P_0402_25V8K KSO5 C190 100P_0402_25V8K
TP1 LEC 4
5 G 8 1
KSO14 C199 100P_0402_25V8K KSO6 C189 100P_0402_25V8K

it c
6 G 7
C306
KSO15 C182 100P_0402_25V8K KSO7 C188 100P_0402_25V8K JST_06FHJ-SM1-GB-TB(LF)(SN)~N 10U_0805_10V4Z
CONN@ 2

INT_KBD CONN.

a
TO M/B
(Right)
JKB1 2006-01-27 change connector
KSO0 +5VS
KSO1 24
23 JTP1
KSO2
KSO3 22
21 1 1

m
KSO4 1 +3VALW 2
KSO5 20 2
19 G 25 3 3
KSO6 26 C146 TP_DATA 4
18 G 0.01U_0402_16V7K 26 TP_DATA 4
KSO7 TP_CLK 5
17 2 26 TP_CLK 5
KSO8 LID_SW# 6
16 26 LID_SW# 6

e
KSO9 1 1 7
KSO10 15 @ @ 7
14 8 8

C144
KSO11 9
13 GND

100P_0402_25V8K C145
KSO12 10
KSO13 12 2 2 GND
11

h
KSO14
KSO15 10 100P_0402_25V8K ACES_85201-0805N
KSI0 9
KSI1 8
KSI2 7

c
KSI3 6
KSI4 5
KSI5 4
KSI6 3
KSI7 2

s
1
(Left) ACES_85201-2405
CONN@

-
+5VALW +5VALW

1
C429 1 2 0.1U_0402_16V4Z R442

p
100K_0402_5%
1M Byte BIOS ROM

2
U30
8 1
+LDO3 VCC A0

to
KBA[0..19] +3VALW 7 2
26 KBA[0..19] WP A1
26,38 EC_SMB_CK1 6 SCL A2 3
ADB[0..7] 5 4
26 ADB[0..7] 26,38 EC_SMB_DA1 SDA GND
0_0402_5%

0_0402_5%
R570 @
1

AT24C16AN-10SU-2-7 SO 8P
R569

U36

p
Debug Tool
2

KBA0 21 31
A0 VCC0

1
KBA1 20 30 1 JP1
KBA2 A1 VCC1 C474 KBA14 R435
19 +3VALW
KBA3 18
A2 KBA13 1 2 FSEL# +LDO3 +3VALW

l. a
KBA4 A3 ADB0 0.1U_0402_16V4Z KBA12 3 4 FRD# 100K_0402_5%
17 A4 D0 25 5 6
2

0_0402_5%
KBA5 16 26 ADB1 KBA11 FWE#

2
A5 D1 7 8

1
0_0402_5%
KBA6 ADB2 KBA10 ADB7

R567 @
15 A6 D2 27 9 10

R568
KBA7 14 28 ADB3 KBA9 ADB6
KBA8 A7 D3 ADB4 KBA8 11 12 ADB5
8 32
KBA9 7
A8 D4
33 ADB5 KBA7 13 14 ADB4 +LDO3 +3VALW
KBA10 A9 D5 ADB6 KBA6 15 16 ADB3 C454
36 34

2
A10 D6 17 18

100K_0402_5%
KBA11 6 35 ADB7 @ R571 10K_0402_5% KBA5 ADB2
A11 D7 19 20

1
KBA12 5 2 1 KBA4 ADB1 1 2 R454
A12 +LDO3 21 22

R565
KBA13 4 KBA3 ADB0 100K_0402_5%
A13 23 24 SUSP# 26,30,35,36
KBA14 3 10 BIOS_RST# 1 2 +3VALW KBA2 KBA19 0.1U_0402_16V4Z
A14 RP# 25 26

2
w
KBA15 R492 10K_0402_5% KBA1 KBA18 @

G
2 A15 NC 11 27 28

5
KBA16 1 12 2 1 +3VALW KBA0 KBA17 U31

2
KBA17 A16 READY/BUSY# 10K_0402_5% @R514 BIOS_RST# 29 30 KBA16
40 29 2 1 3

P
A17 NC0 31 32 I0 EC_FLASH# 17
KBA18 13 38 KBA15 FWE# 4

S
KBA19 A18 NC1 33 34 O
37 A19 1 I1 1

G
C493@ @ SUYIN_127212FA034G200ZX Q36
FSEL# 22 TC7SH32FU(TE85L) SSOP 5P 2N7002LT1G_SOT23
26 FSEL#

3
CE#

w
FRD# 24 23 0.1U_0402_16V4Z
26 FRD# OE# GND0 2
FWE# 9 39
FWE# WE# GND1 FWR# 26
13 CLK_PCI_FWH 1 2
R261@
0_0402_5% SST39VF080-70-4C-EIE_TSOP40~N

w
+3VALW 1 2 FWE#
R260 @ 10K_0402_5%
A B C D E

m
+USB_AS

o
+USB_AS

W=40mils
+5VS

c
U21

.
1 GND OUT 8
1 1
2 IN OUT 7 1 R298 2
1 3 6 0_0402_5%
IN OUT

1
C363 4 5 USB_OC#4 @
EN# OC# USB_OC#4 17
R329 L11 JUSB1

s
0.1U_0402_16V4Z G548_MSOP8 470_0805_5% USB20_N4 3 4 1
2 17 USB20_N4 3 4 VCC
USB20_N4C 2
USB20_P4C D-
3

1 2
USB20_P4 D+
D 17 USB20_P4 2 2 1 1 4 GND

it c
30,36 SUSP 1 2
R333 2 SUSP WCM2012F2S-900T04_0805 5 GND1
0_0402_5% G 6 GND2
1

6
S Q31 1 R299 2 7

3
R334 2N7002LT1G_SOT23 0_0402_5% D18 GND3
8

CH1 CH4

Vp

CH2 CH3
100K_0402_5% @ GND4
NUP4301MR6T1_TSOP6
@ SUYIN_020173MR004G533ZR

Vn
2

3
a
m
+USB_BS
+5VS W=40mils

e
U3
2 2
1 GND OUT 8 FINGERPRINT
2 7 +USB_AS
IN OUT

1
1 3 IN OUT 6
C69 4 5 1 2USB_OC#6 R49 +5VS
EN# OC# USB_OC#6 17

h
R52 470_0805_5% F2 1.1A_6VDC_FUSE JFP1
0.1U_0402_16V4Z G548_MSOP8 0_0402_5% 1 2 +5VS_FP 1 1
2 USB20_N2
17 USB20_N2 2

2
470P_0402_50V7K USB20_P2 2
1 17 USB20_P2 3 3
1 1 4

c
4

1
D + C321 C320
30,36 SUSP 1 2 2 SUSP C315 JST_BM04B-SRSS~N
R58 G 150U_D2_6.3VM
0_0402_5% S Q12 2 2 2

3
2N7002LT1G_SOT23

s
1

R57
100K_0402_5% 470P_0402_50V7K

-
@
2

p
to
2006-01-27 change connector
3 +USB_CS +USB_BS 3

+USB_CS JUSB2

p
+5VS W=40mils 1 1
2 2
U22 3 3
1 GND OUT 8 4 4
2 7 USB20_N6 5
17 USB20_N6

l. a
IN OUT USB20_P6 5
1 3 IN OUT 6 17 USB20_P6 6 6
1

C364 4 5 1 2USB_OC#3 7
EN# OC# USB_OC#3 17 7
R523 R39 USB20_N5 8
17 USB20_N5 8
0.1U_0402_16V4Z G548_MSOP8 0_0402_5% 470_0805_5% USB20_P5 9
2 17 USB20_P5 9
10 10
1 2USB_OC#5 USB_OC#5 17 11
1 2

R522 USB20_N3 11
D 17 USB20_N3 12 12
0_0402_5% USB20_P3 13
17 USB20_P3 13
30,36 SUSP 1 2 2 SUSP 14 14
R338 G 15
0_0402_5% Q11 15
S 16
3

16

w
2N7002LT1G_SOT23
1

R337 ACES_87213-1600
100K_0402_5% @
@
2

w
4 4

A
w B
A B C D E

o m
. c
1 1

s
note:T1 minimum 15ms,T2 minimum 33ms/maximum 500ms,
SUSP# goes to low after SB_PWRGD goes to low for power

it c
down.
T1

VLDT_EN

NB_PWRGD
+LDO3

a
+3VALW
SB_PWRGD
Power Button T2

2
100K_0402_5%
R572 @ SUSP#

R11
100K_0402_5%
+1.8VS

1
m
D5
2 ON_OFF 26
PWR_ON-OFF_BTN# 1
3 51ON#
51ON# 32

e
2 DAN202U_SC70 2
+LDO3+3VALW

1
4.7K_0402_5%

2
@
2

h
C34 D19

1
R573

R13 1000P_0402_50V7K~N RLZ20A_LL34


4.7K_0402_5% 1

2
1

c
EC_ON 1 2 2 +5VS +5VALW
26,34 EC_ON +5VS
R12
33K_0402_5% JFN1
SCRLED#
Q3 +5VS 1 2 NUMLED#

s
3 3 4
DTC124EKAT146 NPN SOT23 CAPSLED#
5 6 IDE_ACT_LED#
7 8
2

-
C362 E-Mail_BTN 9 10
0.1U_0402_16V4Z Internet_BTN 11 12 PWR_ON-OFF_BTN#
13 14 PWR_GREEN_LED#
1 15 16 PWR_AMBER_LED#
17 18 BATT_FULL_LED#

p
19 20

5
U19 SUYIN_80030A-020G2T
SATA_LED# 1 CONN@
16 SATA_LED# B P IDE_ACT_LED#
Y 4
ODD_ACT_LED# 2
19 ODD_ACT_LED# A
G

to
TC7SH08FU_SSOP5 SCRLED#
26 SCRLED#
3

NUMLED#
23,26 NUMLED#
CAPSLED#
23,26 CAPSLED#
3 E-Mail_BTN @ C22 100P_0402_25V8K 3

E-Mail_BTN Internet_BTN @ C23 100P_0402_25V8K

p
26 E-Mail_BTN Internet_BTN
26 Internet_BTN SCRLED# @ C24 100P_0402_25V8K
PWR_GREEN_LED#
14,26 PWR_GREEN_LED#
NUMLED# @ C25 100P_0402_25V8K
PWR_AMBER_LED#
14,26 PWR_AMBER_LED#

l. a
CAPSLED# @ C32 100P_0402_25V8K
BATT_FULL_LED#
14,26 BATT_FULL_LED# IDE_ACT_LED# @ C26 100P_0402_25V8K

PWR_ON-OFF_BTN#
@ C27 100P_0402_25V8K

PWR_GREEN_LED#
@ C28 100P_0402_25V8K

PWR_AMBER_LED#
@ C31 100P_0402_25V8K

BATT_FULL_LED# @ C29 100P_0402_25V8K

w w 4

A
w B
A B C D E

m
+5VALW TO +5V +5VALW TO +5VS
+5V +5VALW +5VS

o
U12 +5VALW
8 D S 1
1 1 7 D S 2

2
+5VALW C492 C491 6 3
D S 1 1
C299 C300 R279

c
5 D G 4
U38 10U_1206_16V4Z 1U_0805_25V4Z 10K_0402_5%
2 2 SI4800DY-T1-E3 1N SO8 4.7U_0805_10V4Z 1U_0805_25V4Z
8 D S 1 1 2 2

.
7 2 R504

1
1 D S 0_0603_5% 100K_0603_5% C296 SUSP 1
6 D S 3 28,36 SUSP
5 4 5V_GATE 1 2 SUSON 1 2 4.7U_0805_10V4Z
D G B+_BIAS 2
R501
SI4800DY-T1-E3 1N SO8 R253

s
0_0603_5% 100K_0603_5%

1
5VS_GATE RUN_ON D
1 1 2 1 2 B+_BIAS
1

1
C490 D R259 Q25
26,27,35,36 SUSP# 2
C488 2 SYSON# G 2N7002LT1G_SOT23

1
10U_1206_16V4Z 0.1U_0603_25V7K~N D

it c
G S
2

3
2
2 @ S Q40 C294 SUSP
2

3
2N7002LT1G_SOT23 0.1U_0603_25V7K~N G R280

2
@ S Q22 10K_0402_5%

3
2N7002LT1G_SOT23

1
+3VALW TO +3V +3VALW TO +3VS

a
+3V +3VS
+5VALW
1 1 1 1
+3VALW C304 C301 +3VALW C418 C414

2
U11 10U_1206_16V4Z 1U_0805_25V4Z U29 10U_1206_16V4Z 1U_0805_25V4Z R478
2 2 2 2 10K_0402_5%
8 D S 1 8 D S 1

m
7 2 R287 7 2 R407
D S 10K_0603_1% 100K_0603_5% D S 10K_0603_1% 100K_0603_5%
6 3 6 3

1
D S 3V_GATE D S
5 D G 4 1 2 SUSON 1 2 B+_BIAS 5 D G 4 3VS_GATE 1 2 RUN_ON 1 2 B+_BIAS SYSON#
SYSON#
R286 R414
SI4800DY-T1-E3 1N SO8 SI4800DY-T1-E3 1N SO8

e
2 2
1 1 1 1

1
C303 C305 C421 C415 D
SYSON 2 Q39
26,35 SYSON
10U_1206_16V4Z 0.22U_0603_10V7K 10U_1206_16V4Z 0.22U_0603_10V7K G 2N7002LT1G_SOT23
2 2 2 2

h
S

3
2
R476
10K_0402_5%

1
-s
p
to
3 3

B+_BIAS

p
2
+0.9VS +1.8V +1.5VS +3V +3VS +5V +5VS R167
470K_0402_5%

l. a
1

1
R61 R55 R525 R508 R278 R507 R21 22 EN_WOL
470_0805_5% 470_0805_5% 470_0805_5% 470_0805_5% 470_0805_5% 470_0805_5% 470_0805_5%

1
D
Q19
2 EN_WOL# 26
2

2N7002_SOT23
G
S

3
1

D D D D D D D
2 SYSON# 2 SYSON# 2 SUSP 2 SYSON# 2 SUSP 2 SYSON# 2 SUSP
G G G G G G G

w
S Q14 S Q13 S Q44 S Q42 S Q24 S Q41 S Q8
3

2N7002LT1G_SOT23 2N7002LT1G_SOT23 2N7002LT1G_SOT23 2N7002LT1G_SOT23 2N7002LT1G_SOT23 2N7002LT1G_SOT23 2N7002LT1G_SOT23

w
4

A
w B
5 4 1

m
ADPIN VIN

o
PL1
PF1 FBMA-L18-453215-900LMA90T_1812
10A_65VDC_451010

c
1 2 1 2
PCN1

.
1 1 PC1 PR1

@
D 2200P_0402_50V7K~N @ 56K_0402_5% D

1000P_0402_50V7K~N
1000P_0402_50V7K~N
100P_0402_50V8J~N

100P_0402_50V8J~N
2 1 2 1 2

1
PC3

PC5
2

PC2
s
PC4
PR2

2
3 3 1M_0402_1%~N
1 2
VIN

it c
4 VS VIN
4

0.01U_0402_25V7K~N
1

1
SINGA_2WA-8291T041 PR4 PR5

1
PC6
PR3 10K_0402_5% 1K_0402_5%
82.5K_0402_1%~N 1 2
PR6 ACIN 27,35

2
1K_1206_5% PR7

2
8
1 2 22K_0402_1% PU1A

a
PQ1 N41 1 2 N40 3

P
TP0610K-T1-E3_SOT23 + PACIN
O 1 PACIN 34

19.6K_0402_1%~N
0.1U_0402_16V7K~N
PR8 N35 2 -

1
VIN PD1 1K_1206_5%
B+

1
PC7

PR9
2 1 1 2 3 1 LM393DR_SO8 PR10

4
PC8 PD2 10K_0402_5%
RLS4148_LLDS2 PR11 1000P_0402_50V7K~N RLZ4.3B_LL34

2
1K_1206_5%

2
m
VIN 1 2

470K_0402_5%

470K_0402_5%
1

1
PR12
PR14 PR15
2

PR13
PD3 1K_1206_5% 10K_0402_5%

2
1 2 2 1
RTCVREF

e
PD4
C RB751V_SOD323 PJP1 RLS4148_LLDS2 C
3.3V

2
@ JUMP_43X118
1 1

2 1 1 1 PR16
BATT+ 2 2

h
33_1206_5%
PQ2 VS

1
TP0610K-T1-E3_SOT23 PR17
2

1
470K_0402_5%

c
CHGRTCP 3 1 PQ3 PR18
VL B+
0.22U_1206_25V7K

DTC115EUA_SC70 2.2M_0402_5%

1 2
2 1
1

27,34 ACOFF 2
1

PR19 PQ4

s
PC9

100K_0402_5% PC10 DTC115EUA_SC70


0.1U_0603_25V7K~N
2

1
PR21 2

-
2

3
22K_0402_5% VS PR20
1 2 499K_0402_1%
30 51ON#

1
PR22

2
100K_0402_1%

8
35,39 MAINPWON PD5 PU1B
2 5

P
+
1 7 O

to

0.01U_0402_25V7K~N
34 ACON 3 - 6
1

1
RTCVREF

1000P_0402_50V7K~N

PC11
PR23 RB715F_SOT323 LM393DR_SO8 PR24

4
1

1
PU2 200_0805_5% 191K_0402_1%
3.3V

PC13
G920AT24U_SOT89 PC12 PR25

2
B 0.1U_0603_25V7K~N B
2

PRG++ 2

2
CHGRTC PR26 PR27 499K_0402_1%
1 2 1 2 3 2

p
OUT IN
1

560_0603_5% 560_0603_5%
4.7U_0805_6.3V6K~N
1

GND
PC15

PC14 RHU002N06_SOT323
1U_0805_25V4Z PR28 PQ5 PR29
2

1
1 34K_0402_1% D 47K_0402_5%
2

l. a
2 1 2 2 1
G PACIN 34

1
S

3
1
PQ6
PR30 DTC115EUA_SC70
66.5K_0402_1% 2 +5VALWP
PJP2 PJP3 @
@ JUMP_43X118 @ JUMP_43X118

2
+5VALWP 1 1 2 2 +5VALW 1 1 2 2 +1.5VS
+1.5VSP

3
w
PJP4 PJP5
@ JUMP_43X118 @ JUMP_43X118
1 1 2 2 +0.9VSP 1 1 2 2 +0.9VS

PJP6 PJP7

w
@ JUMP_43X118 @ JUMP_43X118
+3VALWP 1 1 2 2 +3VALW +VCCPP 1 1 2 2 +VCCP

PJP8 PJP9
@ JUMP_43X118 @ JUMP_43X118
A +1.8VP A
1 1 2 2 1 1 2 2

w
+1.8V

PJP10
@ JUMP_43X118 PJP11
1 1 @ JUMP_43X118
2 2
1 1 2 2 +2.5VS
+2.5VSP

5 4
A B E

m
Charger
P2
P3

o
PQ7
PQ8
AO4407_SO8 PR31
B+ PL2 CHG_B+ PQ9
AO4407_SO8 0.015_2512_1% AO4407_SO8
8 1 1 8 FBMA-L18-453215-900LMA90T_1812 1 8
VIN

c
7 2 2 7 4 1 1 2 2 7
6 3 3 6 3 6

2200P_0402_50V7K~N
0.1U_0603_25V7K~N
5 5 3 2 5

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N
1

1
1 PR190 1

4
1

PC16

PC17

PC18

PC19

PC20
0.1U_0603_25V7K~N
1 2

1
PR32

1
PC21
PQ10 200K_0402_1% 30K_0402_5%

s
1

DTA144EUA_SC70 3 27,33

2
PR33

ACOFF

PACIN
VIN

2
47K

1
47K_0402_5%
2 2 PQ45 PR188
47K
PC140

it c
150K_0402_5%
2

DTC115EUA_SC70
1 2

RLZ22B_LL34
PR187

3
ACOFF##
1

3
2
1
0.1U_0603_25V7K~N
1

PQ12 1 2

100K_0402_5%
@
AO4407_SO8

1
4 220K_0402_5%~N

PD17
2 PQ11

PR35
DTC115EUA_SC70 PU3
ADP_I

220K_0402_5%~N
a

1SS355_SOD323

1SS355_SOD323
1 -INC2 +INC2 24

22

2N7002-7-F_SOT23-3
PR36 ACOFF#
3

ACOFF#

PD15

PD16

PR192
2 1 2 23

5
6
7
8
OUTC2 GND

1
RHU002N06_SOT323

100K_0402_1% PC22

1
PQ14

0.022U_0402_16V7K~N
1

PQ47
3 22 CS 1 2 PR34

1
+INE2 CS 47K_0402_5% @
2

m
150K_0402_5%

G PC23 2 @
1

2
C

G
S 4 21 1 2 PD18
3

2
-INE2 VCC(o)
1
PR37

PR38 PQ46 2
PC24 PR40 0.1U_0603_25V7K MMBT3904_SOT23 B PQ13 1 2 1 3
1

0.1U_0603_25V7K~N
35.7K_0402_1% 1 2 1 2FB8 5 20 DH7 2 1 HG7 E

S
3

3
FB2 OUT

e
PC25 PR39 10K_0402_5% DTC115EUA_SC70
2

2 10K_0402_1% 1500P_0402_50V7K~N PC26 PR41 1SS355_SOD323 2


2

1
PC141
6 19 1 2 0_0603_5%~N @
0.1U_0402_16V7K~N VREF VH

LXCHRG
1

PC28 PR43 0.1U_0603_25V7K PC29

2
1

h
PQ15 RHU002N06_SOT323 PC27 1 2 1 2FB7 7 18 1 2 @
0.1U_0402_16V7K~N 1K_0402_5% FB1 VCC PL3 PR42
2
2

G 1000P_0402_50V7K~N 0.1U_0603_25V7K 10U_LF919AS-100M-P3_4.5A_20%


S 8 17 1 2 1 2 4 1 BATT+
3

PD8 -INE1 RT PR44

c
ACOFF# 1 2 27 IREF PR45 68K_0402_5%~N PD6 3 2

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N
EC31QS04
RLS4148_LLDS2
1 2 9 +INE1 -INE3 16
PD7 BATT+

1
0.02_2512_1%

PC30

PC31

PC32
143K_0402_1%~N PR47 PC33
PR48 2 1 10 15 FB91 2 1 2 EC31QS04

s
OUTC1 FB3
1

22K_0402_5% PR46 10K_0402_5% 47K_0402_5%~N

2
1

33 PACIN 1 2 PR49 1500P_0402_50V7K~N


100K_0402_1% PC34 11 14

-
OUTD CTL ACON 33
0.1U_0402_16V7K~N
2

CTL pin = 0 >


2

12 -INC1 +INC1 13 >Charger Shutdown.


33 ACON

p
+3VALWP MB3887PFV-ERE1_SSOP24

CS
1

PR50
1

to
47K_0402_5%

4.2V
2

2 PQ16 PR51 PR52


3 DTC115EUA_SC70 3
2 1 2 1
1

46.4K_0603_0.1% 113K_0603_0.1%

p
BATT+
3

2 PQ17 PQ18 PR53

1
27 FSTCHG
S

DTC115EUA_SC70 3 1 2N7002-7-F_SOT23-31 2
PR54

l. a
8
200K_0603_0.1% 340K_0402_1% PU4A
G

P
3

+
PR55 VS 1

2
0
1 2 VL - 2

G
1

1
0.01U_0402_25V7K~N
100K_0402_5% LM358ADR_SO8

4
PQ19 PR56
DTC115EUA_SC70 1 499K_0402_1%

PC35
PR57
2

PQ20 2 MH/LI# 39 PC37

2
B+ 1 2 3 TP0610K-T1-E3_SOT23
1 B+_BIAS
2

w
1 2
1
470K_0402_5%

0.1U_0805_25V7M~N

100_0805_5%~N PC36
1

PD9 0.1U_0402_16V7K~N
PR59
3

0.01U_0402_25V7K~N
2

+5VALW PU4B PR60


PR58

PC38
+ 5 2 1 1 2
P

VL
RLZ18B_LL34-2 7 PQ22
1

1
6 2N7002-7-F_SOT23-3 100K_0402_5%
2

- 634K_0402_1%D
G

w
27 BATT_OVP
1
1

1
220K_0402_5%

1SS355_SOD323

LM358ADR_SO8 2
4
2

PR61 G
PR62

86.6K_0402_1% S 2 MH/LI# 39

3
PD10
2

4 PQ21 4

w
1

D DTC115EUA_SC70

3
2 PQ23
0.1U_0603_25V7K~N

G 2N7002-7-F_SOT23-3
220K_0402_5%

S
3
2
1
PC39

PR63
2

A B
5 4 3 2 1

m
+3.3VALWP/+5VALWP

c o
B+++

3
PL4 PF2

.
D PD11 D
10A_65VDC_451010 DAP202U_SOT323
B+ 2 1 2 1 B+++
VL

1
2200P_0402_50V7K~N
FBMA-L18-453215-900LMA90T_1812
4.7U_1206_25V6K~N

4.7U_1206_25V6K~N
1

2200P_0402_50V7K~N
PC41

PC42

it c
PC40

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N
1

5
6
7
8
VS

PC45
B+++
2

2
+LDO5

PC43

PC44
PQ24

D
D
D
D
8
7
6
5

47_0402_5%
PQ25 SI4800BDY-T1-E3_SO8
PR65

2
1
SI4800BDY-T1-E3_SO8

D
D
D
D

PR64
1 2 PC46

G
S
S
S
0_1206_5%

0_1206_5%
0.1U_0402_16V7K~N

2
1
@ 0_0805_5% PR67

4
3
2
1
G
S
S
S

PR66
PR191
0_0805_5%

a
PR68 @

1
2
3
4
0_0603_5%~N

0.1U_0603_25V7K~N
2

2
1 2 VL

5
6
7
8
4.7U_1206_25V6K~N
2VREF_8734

D
D
D
D
330K_0402_1%

200K_0402_1%
4.7U_0805_6.3V6K~N

2
1 PC50

1U_0603_10V6K~N
m

PC48
8
7
6
5

PC47

PR69

PR70
PQ26

G
S
S
S
PQ27
D
D
D
D

2
1
SI4810BDY-T1-E3_SO8 SI4810BDY-T1-E3_SO8
DH_5V

4
3
2
1
PC49

1
e
2

2
G
S
S
S

C C
1

PC51

18

20

13

17
1
2
3
4

2
499K_0402_1%

499K_0402_1%
PL5 0.1U_0603_25V7K~N PR71 0_0603_5%~N PU5 LX_3V

4.7UH_SIL104R-4R7PF_5.7A_30%
PR72
4.7UH_SIL104R-4R7PF_5.7A_30% 2 1 2 1 BST_5V14 BST5 PR74

V+
LD05

TON

VCC
h

PR73
5 ILIM3 0_0603_5%~N
ILIM3
16
+5VALWP DH5

1
2

1
LX_5V 15

1
LX5

c
DL_5V 19 11 ILIM5 PC52 PL6
DL5 ILIM5 PR75
21 0.1U_0603_25V7K~N
FB5 OUT5 BST_3V
9 FB5 BST3 28 2 1 2 1
VS 1 26 DH_3V

2
N.C. DH3
@ 10.2K_0402_1%

0_0603_5%~N DL_3V

s
DL3 24
6 27 LX_3V
SHDN# LX3
2

4 ON5 OUT3 22
1

-
PR76

3 ON3
150U_V_6.3VM_R18

PD12 7 FB3
FB3
1 RLZ5.1B_LL34
27,33 ACIN
1 2 12 SKIP# PGOOD 2 +3VALWP
1

PRO#
+

@ 3.57K_0402_1%
LDO3
PC53 PR78 PR77 1 PR186 2 8

GND
p
2

REF

2
1 2 10K_0402_5%
100K_0402_5%

PR79
@ 0_0402_5%
1

1
2

150U_V_6.3VM_R18
47K_0402_5% 1

23

25

10
PR185 @ MAX8734AEEI+_QSOP28
2

0_0402_5%

PR80 PC54 +

0.22U_0603_16V7K~N
PR82

to
0_0402_5%
2

1
1
PR81

PC55
@ 1U_1206_25V7K 0_0402_5%

PC56
1 2
2VREF_8734
2

VL

2
2

2
1

B B

0_0402_5%
PR83
806K_0603_1%
1

LDO3P

p
1 2 +LDO3

1
PR85

1
PR84

4.7U_0805_6.3V6K~N
PC57
1 PR86 2
@ 0_0805_5%
2

2
LDO3P

l. a
PR87 @ 0_0805_5%
0_0402_5%
2 1
33,39 MAINPWON
2

PR88
100K_0402_5%
@
1

PC58
RHU002N06_SOT323

1
1

0.047U_0603_16V7K~N D
2
2

PQ28

w
G
S
3

@
RHU002N06_SOT323

RHU002N06_SOT323
1

D D
2 ACIN 2
EC_ON 27,30
PQ29

PQ30

w
G G
S S
3

A A

5
w 4
5 4 3 2 1

o m
. c
D D

it c s
B++++

PL7
FBMA-L11-322513-151LMA50T_1210 PF3
2 1 2 1 B+

7A_24VDC_429007.WRML

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N

4.7U_1206_25V6K~N
1

1
10_0805_5%
PC61

PC62

PC63
0.1U_0603_25V7K~N

0.1U_0603_25V7K~N
PC59

PC60

PR89

PC64
2

2
@ @

a
+5VALWP

2.2U_0805_10V6K
2.2U_0805_10V6K
1

2.2_0603_5%

1
PC65

PR90

PC66
0.1U_0603_25V7K~N
1

5
6
7
8
2
m
PC67
PD13

D
D
D
D
2
8
7
6
5
RB717F_SOT323-3 PQ31

1
PQ32 SI4800BDY-T1-E3_SO8

D
D
D
D
SI4800BDY-T1-E3_SO8

G
S
S
S
2

3
BST_1.8V-2

4
3
2
1
G
S
S
S

BST_1.5V-2
e
C C
1
2
3
4

14

28
PC68 PU6 PC69
+1.5VSP PL8 2 1 12 17 2 1

VIN

VCC
1.8UH_SIL104R-1R8PF_9.5A_30% SOFT1 SOFT2

h
1 2 0.01U_0402_25V7K~N 0.01U_0402_25V7K~N
1
2 1 1 2BST_1.5V-16 BOOT1 BOOT2 23 BST_1.8V-1
1 2 2 1
+ PR91 PR92
220U_D2_4VM_R15

8
7
6
5
PC72

PC70 0_0603_5%~N 0_0603_5%~N PC71 PL9


PQ33 0.1U_0603_25V7K~N 0.1U_0603_25V7K~N

c
D/K
D/K
D/K
D/K

2 DH_1.5V DH_1.8V 1.8UH_SIL104R-1R8PF_9.5A_30% +1.8VP


AO4704_SO8 5 UGATE1 UGATE2 24
S/A
S/A
S/A

LX_1.5V LX_1.8V
0.01U_0402_25V7K~N

4 PHASE1 PHASE2 25 1 2
G

1
1

5
6
7
8
PR94 PR95
1
2
3
4
1

s
+
PC73

1.15K_0402_1% 1.65K_0402_1% PQ34

220U_D2_4VM_R15
D/K
D/K
D/K
D/K

PC74
PR93 1 2 ISE_1.5V 7 22 ISE_1.8V 1 2 AO4704_SO8
2

0_0402_5% ISEN1 ISEN2

0.01U_0402_25V7K~N
1
2

S/A
S/A
S/A

10.2K_0402_1%
DL_1.5V 2 27 DL_1.8V

-
LGATE1 LGATE2
1

PC75
PR96
2

PR98
PR97 0_0402_5%

4
3
2
1
6.81K_0402_1%

2
3 26

2
PGND1 PGND2
2

2
VOUT_1.5V 9 20 VOUT_1.8V
VSE_1.5V VOUT1 VOUT2 VSE_1.8V
0.9V 10 VSEN1 VSEN2 19 0.9V
1 PR99 2 8 EN1 EN2 21 1 2
SUSP# 0_0402_5% 15 PG1 PG2/REF 16 SYSON

10K_0402_1%
PD14 PR100 10K_0402_5%

GND

DDR
1

1
10K_0402_1%

RB751V_SOD323 @ 11 18

to
OCSET1 OCSET2
1

PR101
1 2 PR103
1

1
PR102

PR104 ISL6227CAZ-T_SSOP28 @ 0_0402_5%


1

13
1

@ 0_0402_5% PC76 PR105 PR106

2
@ 0.1U_0402_16V7K~N 105K_0402_1% 75K_0402_1%
2

2
2

2
B B

1
p
PC77
@ 0.1U_0402_16V7K~N

l. a
A

w w
5
w 4
5 4 3 2 1

PF4
PL10
FBMA-L18-453215-900LMA90T_1812

o m
c
B+ 2 1 1 2

7A_24VDC_429007.WRML +5VS

.
10U_1206_25V6M~N
PHASE_VCCPP

10U_1206_25V6M~N
D D

2
PC78
UG_VCCPP

PC79
PR107 PR108

2
10K_0402_5% 1 2 1 2

s
PGD_IN

2
2.2_0603_5% PC80 0.1U_0603_25V7K

1
PR109 +5VS

5
6
7
8
0_0603_5%~N

1
BOOT_VCCPP PQ35

it c
1 2

D
D
D
D
PR110
@4.7_0603_5%

1
PC81

17

16

15

14

13

G
S
S
S
@ 0.01U_0603_50V7K PU7

2
1 PR1112 6269_VCC SI4800BDY-T1-E3_SO8

PGOOD

PHASE
GND

UG

BOOT
2

4
3
2
1
4.7_0603_5%
1 VIN PVCC 12 1 2 PC82

2
a
2.2U_0603_6.3V6K PR112
10K_0402_5%
6269_VCC 2 11 LG_VCCPP @ PL11
VCC LG 1UH_SIL1035-1R0PF_9A_20%

1
1 2 +VCCPP
PR113

1
1 2 3 FCCM PGND 10 1

5
6
7
8
PC83

m
2.2U_0603_6.3V6K 0_0402_5% PQ36 + PC84

D
D
D
D
2
PR189 PR114 SI4810BDY-T1-E3_SO8 220U_D2_4VM_R15
1 2 4 9 ISEN_VCCPP1 2
EN ISEN 2
32,33,34,40 SUSP#

COMP

G
S
S
S
0_0402_5% 11.5K_0402_1%

FSET
1

VO
FB

4
3
2
1
C PC85 C
2

8
ISL6269CRZ-T_QFN16

h
0.1U_0402_16V7K~N

1
c
1
22P_0402_50V8J
1

PR117
PR116 PC86

PC87
49.9K_0402_1% 0.01U_0402_25V7K~N

2
2

2
s 1
57.6K_0402_1%
PC88

-
6800P_0402_25V7K

2
PR118
1 2

2.26K_0402_1%

p 1
PR119
3K_0402_1% +1.8V

to 2

1
PJP12

1
@ JUMP_43X118
B B

2
p
2
PU8
1 VIN VCNTL 6 +3VALW

1
2 5

l. a
GND NC

1
PC89

1
10U_1206_25V6M~N 3 7 PC90

2
PU9 VREF NC 1U_0603_6.3V6M~N

2
+2.5VSP PR120 4 8
VOUT NC
+3VALW 2 PR121 1 1 IN OUT 5 1K_0402_1%
1

0_0402_5% 9

2
PC91 TP
4
4.7U_0805_6.3V6KBYP G2992F1U_SO8
2

3 2 PR122
SHDN GND PC92 PQ37 +0.9VSP

1
4.7U_0805_6.3V6K 0_0402_5% D
2N7002-7-F_SOT23-3
2

1
w
PR124 G914E_SOT23-5 1 2 2
1

1
2 1 24,34 SUSP G PR123 PC93
SUSP# 12K_0402_1% PC94 S PC95

2
1
0.01U_0402_25V7K~N 10U_1206_25V6M~N
2

2
2

PC96
PC97 @0.1U_0402_16V7K~N

2
1U_0603_6.3V6M~N
1

w
1K_0402_1% 0.1U_0402_16V7K~N

5
w 4
5 4 3 2 1

m
+5VS

CPU_VID6 7

CPU_VID5 7

7
CPU_VID2 7

CPU_VID1 7

CPU_VID0 7

2
32
PC98

CPU_VID4

CPU_VID3
PR125 +CPU_B+
PL12

VR_ON
2 1 1_0603_5%

c
FBMA-L18-453215-900LMA90T_1812 PF5
1 2 2 1 B+

1
5600P_0402_25V7K

10U_1206_25V6M~N

10U_1206_25V6M~N
.
1
@

10U_1206_25V6M~N

220U_25V_M~N
1U_0603_6.3V6M~N
D 8A_125V_451008MRL D

1
+

PC99
PC100

PC101

PC102
PR126 0_0402_5%

0.01U_0402_25V7K~N

0.01U_0402_25V7K~N
1

1
PC104

PC105
1U_0603_6.3V6M~N
21 DPRSLPVR 1 2

1
PC103

PC106
s

2
PR127 0_0402_5% @ 2

2
6,20 H_DPRSTP# 1 2

5
0_0402_5%

0_0402_5%

0_0402_5%

0_0402_5%

0_0402_5%

0_0402_5%

0_0402_5%
PR128 0_0402_5% PQ38

it c
1

1
17 CLK_EN# 1 2 SI7840DP-T1-E3_SO8

20_0402_5%1
PR130 0_0402_5%

PR129
+3VS 1 2 4

PR178

PR179

PR180

PR181

PR182

PR183

PR184
2

2
+3VS

1U_0603_10V6K~N
PC108

1.91K_0402_1%

1
PC107
PR131 0.22U_0603_10V7K P_0.36H_ETQP4LR36WFC_24A_20%

3
2
1
1
BOOT_CPU1 1 2 1 2 2 1

a
+CPU_CORE
2

PR132

4.7_1206_5%~N
PR133

5
6
7
8

5
6
7
8

1
10K_0402_1%
3.65K_1206_1%
2.2_0603_5% PL13

1
PR134

PR136
499_0402_1%

49

48

47

46

45

44

43

42

41

40

39

38

37

PR135
PR137
2

PQ39 1_0402_5%

3V3

VID1

VID0
GND

CLK_EN#

DPRSTP#

VID6

VID5

VID4

VID3

VID2
DPRSLPVR

VR_ON
1

680P_0603_50V8J
PQ40

1 2

2
1 36 4 4 PR138 @ 0_0402_5%

2
19,21,32 VGATE PGOOD BOOT1

PC109
@ 1 2
7 H_PSI# 2 35 UGATE_CPU1 VSUM PC110
PR139 0_0402_5% PSI# UGATE1
1 2

2
PGD_IN 1 2 3 34 PHASE_CPU1 VCC_PRM

3
2
1

3
2
1
PGD_IN PHASE1

e
PR140 147K_0402_1% ISEN1
C 1 2 4 33 0.22U_0603_16V7K C
RBIAS PGND1 IRF8113PBF_SO8 IRF8113PBF_SO8 @
VR_TT# 5 32 LGATE_CPU1 +CPU_B+
VR_TT# LGATE1

10U_1206_25V6M~N

10U_1206_25V6M~N

10U_1206_25V6M~N
PR141 @ 4.22K_0402_1% PH1

1
h
1 2 1 2 6 NTC PVCC 31

PC111

PC112

PC113
PQ41
@ 100K_0603_1%_TH11-4H104FT 7 30 LGATE_CPU2 SI7840DP-T1-E3_SO8

2
SOFT LGATE2
1 2 @

c
@ 0.015U_0402_16V7K PC114 8 29
0.068U_0603_50V7K~N
PC115 OCSET ISL6262CRZ-T_QFN48 PGND2
4
1 2 9 28 PHASE_CPU2
VW PHASE2
PR142 11.5K_0402_1% UGATE_CPU2 P_0.36H_ETQP4LR36WFC_24A_20%

s
10 COMP UGATE2 27
1 2 PR143 PC116

3
2
1
11 26 BOOT_CPU2
1 2 1 2 2 1
FB BOOT2

-
PC117 1 2 PL14

5
6
7
8

5
6
7
8

1
DROOP

1000P_0402_50V7K~N 12 25 2.2_0603_5% 0.22U_0603_10V7K


FB2 NC

1
VDIFF

VSUM

ISEN2

ISEN1
VSEN

10K_0402_1%
PR145 4.42K_0402_1% PQ43 PR144
GND

VDD
RTN

DFB

1
VIN

@ 4.7_1206_5%~N

3.65K_1206_1%

PR146
IRF8113PBF_SO8 PR148
VO

1 2

PR147
p
1 2 PU10 PQ42 1_0402_5%
13

14

15

16

17

18

19

20

21

22

23

24

1 2
4 4

2
PC118 47P_0402_50V8J~N

2
ISEN1 PC119 PR149 @ 0_0402_5%
ISEN2 @ 680P_0603_50V8J

to
1 2

2
PR151 82.5K_0402_1% PC120 0.022U_0402_16V7K~N 1 2 +5VS

3
2
1

3
2
1
1

1 2 2 1 VSUM PC121
1

PR150 1_0603_5% 1 2
PR152 PC122
B @ 0_0402_5% 1U_0603_6.3V6M~N 0.22U_0603_16V7K B
1 2
2

IRF8113PBF_SO8
2

PC123 390P_0402_50V7K VCC_PRM

p
ISEN2
PR154
3.4K_0402_1% PC124 470P_0402_50V7K
1 2 1 2 1 2 +CPU_B+
1

l. a
PR153 1 2 PC125 10_0603_5%~N
2

PR155 1.82K_0402_1% 0.1U_0603_25V7K


PC126 0.022U_0603_25V7K
7 VCCSENSE 1 2 1 2
VSUM
1

PR156 0_0402_5%
1

2.61K_0402_1%

PC127 PC128
@0.022U_0603_25V7K
PR158

+CPU_CORE
1 2 0.022U_0603_25V7K
2

w
PR157 20_0402_5% 1 2
7 VSSSENSE PR159 0_0402_5%
2
1

11K_0402_1%

PC129 180P_0402_50V8J
PR161

PR160 1 2
2

20_0402_5% 1 2 1 2 PH2

w
2

PR162 1K_0402_1% PR163 3.57K_0402_1% 10KB_0603_ERTJ1VR103J


PC130 0.068U_0603_50V7K~N
1

VCC_PRM 1 2
PC132 0.22U_0603_10V7K
A A
PC131

w
2 1 2 1
0.22U_0603_16V7K

5 4
5 4 3 2 1

o m
. c
D D

s
BATT+
BATT++
Battery Connect/OTP

it c
BATT+

PL15
FBMA-L18-453215-900LMA90T_1812
1 2 BATT++
+3VALWP
1
1

2
PC133
PC134 1000P_0402_50V7K~N
2

2
0.01U_0402_25V7K~N PF6
2

a
15A_65VDC_451015 PR164 Place clsoe to EC pin
47K_0402_5%~N

1
1 2 BATT_TEMP
BATT_TEMP 27

1
PR165
PJPB1 battery connector

2
PR166 1K_0402_5%
SUYIN_200275MR009G154ZL_RV 2 1 PC135
AMH/LI#
0.1U_0402_16V7K~N

1
m
SMART PJP13 1K_0402_5%

@
1 @ PR167
Battery: BATT+
BATT+ 2
3 MH/LI# 1K_0402_5%
ID MH/LI# 34
4 2 1
1.BAT+
B/I

e
TS 5 1 2 +3VALWP
C C
2.BAT+ SMD 6
7 PR168
SMC
3.ID 10 GND GND- 8 6.49K_0402_1%
11 9
4.B/I GND GND-

h
5.TS 1 2 EC_SMB_DA1 27,28
6.SMD PR169
7.SMC
100_0402_5%

c
8.GND
9.GND 1 2 EC_SMB_CK1 27,28

s
PR170
100_0402_5%
VL VS

-
33,35 MAINPWON

2
PC136

p
1
@ 0.1U_0402_16V7K~N PC137

2
PH3 0.1U_0603_25V7K~N
CPU

1
100K_0603_1%_TH11-4H104FT
VL

to 2
PR171
499K_0402_1%

2
1 2

1
PR172
PR173 499K_0402_1%
B 0_0402_5% B

RHU002N06_SOT323
PR174

1
8
200K_0402_1% PU11A

p 2

8
D PU11B
1 2 3

P
+

PQ44
1 2 5

P
O G +
VL 1 2 2 - O 7

G
PR175 S 6

3
-

G
100K_0402_1% LM393DR_SO8

4
l. a
LM393DR_SO8

4
PR176

1
20K_0402_1%
2
1

1
PC138 PR177
0.22U_0603_16V7K~N 100K_0402_1%
2

2
2
PC139
1000P_0402_50V7K~N

w w
5
w 4

Das könnte Ihnen auch gefallen