Sie sind auf Seite 1von 13

4040 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO.

10, OCTOBER 2018

CVD Technology for 2-D Materials


Pin-Chun Shen , Yuxuan Lin , Haozhe Wang , Ji-Hoon Park , Wei Sun Leong ,
Ang-Yu Lu , Tomás Palacios , and Jing Kong
(Invited Paper)
Abstract — The urgently growing demand for lowering scaling the thickness of a transistor channel down to the
the power consumption and increasing the performance atomic level has shown great potential to extend beyond
in electronic and optoelectronic systems has been driving Moore’s law [4]. Graphene has been reported to have a
the scientific community to explore new materials and
device architectures. In light of this, 2-D materials including high mobility up to 200 000 cm2 · V−1 · s−1 due to the
graphene, hexagonal boron nitride, and transition metal linear energy dispersion near the Fermi level, allowing elec-
dichalcogenides have the potential to revolutionize our trons to behave as massless fermions for ultrahigh-speed
semiconductor industry by scaling the devices down to the electronics [5]. Moreover, the strong light-matter interaction
atomic level. These materials benefit from several unique in the terahertz and mid-infrared regimes makes graphene
properties, endowed by their 2-D nature, such as surface
free of dangling bonds, ultimate scaling limit in vertical a promising platform for novel photonic and optoelectronic
dimension for almost perfect gate electrostatic control, applications [6]. In contrast to graphene, monolayer transition
and strong excitonic effects. However, to realize the full metal dichalcogenides (TMDs) exhibit intrinsic in-plane asym-
potential of these materials, it is required to develop a large- metry, which allows them to show semiconducting properties
scale synthesis method. For this, chemical vapor deposi- with sizable direct bandgaps. Their atomic thicknesses and
tion (CVD) has shown great promise to synthesize these
high-quality 2-D crystals with scalable-production capabil- large carrier effective masses can offer excellent electrostatic
ity. In this review, we will give a brief overview of the gate control, a reduced source-to-drain leakage current, and a
current state of the art in CVD growth of 2-D materials and higher on-current in the ballistic regime, potentially enabling
its prospects for next-generation device applications. First, ultrascaled devices, tunnel field-effect transistors (FETs), and
we will review several representative growth techniques ballistic transistors [4], [7], [8]. Besides the channel material
in which large area, high quality 2-D materials are demon-
strated. We will then describe the status of the develop- itself, gate dielectric environments and substrates also play
ment of electronics, optoelectronics, and sensors based important roles in influencing device performance, since car-
on CVD-grown 2-D materials. Finally, we will discuss the riers scattering could originate from surface roughness, trap
major challenges and future opportunities in this rapidly states at interface, and optical phonons. In this regard, hexag-
advancing field of research. onal boron nitride (h-BN) can be an ideal candidate due to the
Index Terms — 2-D materials, chemical vapour depo- atomic flatness and the dangling-bond-free surface, the large
sition, electronics, graphene, hexagonal boron nitride bandgap, and the relatively high energy of surface optical
(h-BN), optoelectronics, sensor devices, transition metal phonon modes [9]. Recently, 2-D h-BN has also been used
dichalcogenides (TMDs).
to modify the work function of metal contacts for reducing
the contact resistance in 2-D electronics [10].
I. I NTRODUCTION Given that 2-D materials promise a wide range of next-

E VER since the successful exfoliation of graphene in 2004,


2-D materials have attracted enormous attention due
to their unique properties and great potential in various
generation technologies, the capability of controllable, large-
scale synthesis of 2-D materials with high quality and high
yield is essential for their practical applications. Chemical
applications [1]–[3]. In particular, their inherent advantage of vapor deposition (CVD), a bottom-up approach, has sev-
eral advantages such as relative simplicity and diversity of
Manuscript received April 23, 2018; revised June 30, 2018 and
August 9, 2018; accepted August 17, 2018. Date of publication August 30, precursors and a fast growth rate, offering a solution for
2018; date of current version September 20, 2018. The authors acknowl- practical realization of wafer-scale synthesis of 2-D atomic
edge support from the NSF Center for Energy Efficient Electronics layers on various substrates. Many reports have demonstrated
Science (E3S, NSF Grant No. ECCS-0939514), the STC Center for
Integrated Quantum Materials (NSF Grant No. DMR-1231319), AFOSR high-quality 2-D materials and their heterostructures with scal-
FATE MURI (Grant No. FA9550-15-1-0514), NSF DMR/ECCS-1509197, able sizes, controllable thickness, and excellent physical and
support from King Abdullah University of Science and Technology under chemical properties through CVD techniques, paving the way
Contract (OSR- 2015-CRG4-2634), NASA Langley Research Center
(Grant No. NNX14AH11A), and Massachusetts Institute of Technology to unlock the full potential of 2-D materials.
Institute for Soldier Nanotechnologies (Grant No. W911NF-13-0001, The aim of CVD growth is to synthesize low-defect
T.O.3). The review of this paper was arranged by Editor J. T. Teherani. density, large-area 2-D materials with quality comparable
(Corresponding author: Pin-Chun Shen.)
The authors are with the Department of Electrical Engineering and to their exfoliated counterparts and with precise control of
Computer Science, Massachusetts Institute of Technology, Cambridge, layer numbers, domain size, and morphology. In general,
MA 02139 USA (e-mail: pcshen@mit.edu; jingkong@mit.edu). a CVD process involves excitation of precursors to desired
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. vaporous phase, transport of reacting gaseous species, and
Digital Object Identifier 10.1109/TED.2018.2866390 heterogeneous surface reaction on the substrates, producing

0018-9383 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
SHEN et al.: CVD TECHNOLOGY FOR 2-D MATERIALS 4041

than 1% with respect to the graphene lattice. Even though


growth process is still considered as epitaxial, the growth
behavior on different crystal facets is different. For example,
the nucleation sites of graphene on Cu (100) show random
orientations [26]. For Cu (111), graphene islands nucleate
with the same crystalline orientation and finally merge into
a continuous film [27], [28].
Fig. 1. Schematic of the growth mechanism of graphene via (a) pre-
cipitation and (b) surface-mediated reaction. (Reprinted with permission Similar to graphene, h-BN has honeycomb lattice structure
from [14], 2015, Royal Society of Chemistry.) with alternatively arranged boron atoms and nitrogen atoms.
The growth mechanism of CVD h-BN is similar with graphene
growth. Metal substrates such as Cu and Pt with negligible
solid phase materials [11]. Specific CVD techniques such solubility of nitrogen lead to a surface-mediated growth mech-
as low-pressure CVD [12] and plasma-enhanced CVD anism and are favorable for monolayer h-BN growth at high
(PECVD) [13] have also been employed to improve the homo- temperature under LP [31]. On the other hand, a substrate such
geneity and lower the growth temperature of 2-D materials, as Fe foil that possesses high solubility for boron and nitrogen
respectively. In this review, we provide a brief overview usually mediates a precipitation growth mechanism and pro-
of the current state-of-the-art technologies for CVD growth duces multilayer h-BN. Kim et al. [32] demonstrated that the
of 2-D materials including graphene, h-BN, and various large-area multilayer h-BN films with thickness of 5–15 nm
TMDs. Several key parameters for CVD growth of graphene, can be grown using Fe foil and borazine as the substrate and
h-BN, and TMDs are discussed. We also describe poten- the precursor, respectively [32]. For this precipitation growth
tial electronic, optoelectronic, and sensing applications of mechanism, the control of the cooling rate is crucial to the
large-area 2-D materials followed by an outlook for the oppor- thickness of the as-grown h-BN films [32], [33].
tunities of the system-level integrations of multifunctional
2-D materials. Finally, we briefly comment on the challenges
of bringing 2-D materials and devices to practical applications. B. Effects of Precursor and Pressure
For graphene growth, methane is used most often, as its
II. G RAPHENE AND H EXAGONAL B ORON N ITRIDE pyrolysis temperature is relatively high which allows the
A typical approach for the CVD growth of graphene or growth of higher quality material (at higher temperatures).
h-BN is to use the specific precursors either carried by or However, a high growth temperature (1000 °C) limits the
mixed with inert gases such as argon or hydrogen, to deposit economic efficiency of CVD approach. Thus, other car-
these materials on substrates at high temperatures. Many bon source such as benzene and toluene were also uti-
factors such as metal substrate, precursor, growth temperature, lized to synthesize graphene in low temperature range
and pressure are crucial for growths. (300 °C–400 °C) [34], [35]. In the case of h-BN, the qual-
ity of h-BN highly depends on the precursor and its flow
rate. Solid ammonia borane (BH3 NH3 ) and liquid borazine
A. Effect of Substrate (B3 H6 N3 ) are the most commonly used precursors because of
The substrate greatly affects the growth of graphene and the 1:1 stoichiometry of boron and nitrogen. Fig. 2(a) shows
h-BN. The transition metals were first investigated as cat- a schematic of the precursor and the CVD system used for
alytic substrates. Many transition metals such as copper (Cu), growing single-layer h-BN. BH3 NH3 is a stable solid and has a
nickel (Ni), platinum (Pt), and iron (Fe) have been con- low vapor pressure at room temperature. A pyrolysis process is
firmed as suitable growth substrates [15]–[18]. In the case required to decompose BH3 NH3 into hydrogen, B3 H6 N3 , and
of graphene, the growth mechanisms depend on the carbon aminoborane (BH2 NH2 ) [36]. As a liquid precursor, B3 H6 N3
solubility in the metal. As shown in Fig. 1, for low carbon can be delivered to the growth chamber with carrier gas
solubility metals such as Cu, under typical growth conditions, through a bubbler, though it is a flammable liquid and react
carbon atoms tend to directly form single layer graphene on easily with water thus requires more efforts in handling.
the surface [14], [15], [19]. For high carbon solubility metals The growth pressure also shows a significant effect on the
such as Ni, carbon atoms can dissolve inside the substrate, and thickness of h-BN because it affects the concentration of reac-
then precipitate to the surface forming a graphite film [20]. tants and the rate of mass transport. Song et al. [37] reported
Thus, metals with low carbon solubility are more suitable for that large-area h-BN films with thicknesses of 2–5 layers can
monolayer graphene growth, while metals with high carbon be grown even on Cu foils under atmospheric pressure (AP).
solubility can be used for multilayer graphene growth. The Also, Jang et al. [38] demonstrated that h-BN films with
purity and the roughness of the metal substrate affect the various thicknesses can be grown by controlling the partial
quality of graphene [21]–[23]. Other than single elemental pressure of the precursor and the growth time. Typically, a low
metals, alloy substrates with specific carbon solubility are partial pressure of B3 H6 N3 can result in monolayer h-BN,
utilized to suppress precipitation and to attain monolayer whereas multilayer h-BN with a thickness up to ∼100 nm
graphene at higher growth rate [24], [25]. Besides, crystalline can be grown at a high partial pressure of B3 H6 N3 . Wafer-
orientation of metal substrate has substantial influence on scale growth of h-BN on Cu with high structural and electrical
graphene quality. Cu (111) has a lattice mismatch larger uniformity and various thicknesses ranging from 1 to 20
4042 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO. 10, OCTOBER 2018

Fig. 3. Recent progress in wafer-scale graphene and h-BN growth.


Reprinted with permission from [33], [43]–[49].

2-D electronic and optoelectronic devices, synthesis of


large-area and single crystalline h-BN by CVD is highly
demanded. To enlarge the domain size of h-BN grains and
to reduce the grain boundary density or even seamlessly
stitch different domains, one can introduce single crystalline
substrates to allow an epitaxial CVD growth of monolayer
h-BN [43]. By using the epitaxial growth of large-area mono-
layer h-BN on a Cu (111) thin film deposited on a c-plane sap-
phire, highly oriented triangular h-BN grains were achieved,
which finally merged into a continuous film over entire Cu
surface. Synthesis of multilayer h-BN films through epitaxial
CVD growth has also been demonstrated on a 2-in sapphire
substrate. The small difference between the thermal expansion
coefficients of sapphire and h-BN results in a wrinkle-free
Fig. 2. (a) Schematic of the CVD system used for h-BN growth growth [44]. Fig. 3 shows the recent progress in wafer-scale
with ammonia borane precursor (reprinted with permission from [29], growth of graphene and h-BN.
2013, American Chemical Society). (b) Photographs. AFM images and
Raman spectra of a large-area and uniform monolayer (under LP) and
multilayer h-BN film (under AP). (Reprinted with permission from [30], III. 2-D T RANSITION M ETAL D ICHALCOGENIDES
2017, American Chemical Society.)
In the past decade, many strategies have been
monolayers has been reported using a hybrid LP and APCVD demonstrated for large-scale CVD growth of various
system, as shown in Fig. 2(b) [30]. TMDs [50]–[58]. Several key factors such as precursor types
and concentrations [59], [60], flow rate of carrier gas, seeding
C. Wafer-Scale Growth and growth promoters [61]–[63], and nanoscale morphologies
of substrates [64], [65] show a significant influence on
Wafer-scale growth is essential for future applications the resulting TMDs in terms of morphology, domain size,
of 2-D materials. Bae et al. [39] developed a roll-to-roll uniformity, and electrical performance. In this section, such
process to obtain a 30-in large polycrystalline graphene film several crucial parameters are reviewed.
grown on Cu substrate. In 2017, Xu et al. [40] reported a
roll-to-roll method to grow meter-size single crystal graphene
after recrystallizing industrial Cu to Cu (111) foil. The most A. Effects of Precursor and Seed
preferred method for large-area h-BN growth is by using In 2012, Liu et al. [66] adopted a two-step process of dip
polycrystalline Cu foil. Wu et al. [40] reported that wafer- coating/sulfurization to grow large-area MoS2 films on SiO2 /Si
scale monolayer h-BN was grown on a polycrystalline Cu foil and sapphire substrates using ammonium thiomolybdate
with the size of over 7 in by rolling the Cu foil substrate into [(NH4 )2 MoS4 ] solution. A high-temperature annealing process
a cylindrical shape under LP. A large-size monolayer h-BN (500 °C–1000 °C) was employed to trigger the thermolysis of
film of over 25 in was also achieved by winding the Cu foil (NH4 )2 MoS4 , resulting in bilayer and trilayer MoS2 sheets.
substrate into a mainspring shape supported by a multiprong The presence of oxygen during the conversion process shows
quartz fork [42]. a significant impact on the quality of the as-grown MoS2 .
Polycrystalline h-BN grown on polycrystalline Cu foils The MoS2 sheet grown on a chemically inert substrate sap-
has random crystalline orientations and exhibits a high phire generally exhibited higher crystalline quality (stronger
density of grain boundary defects. To realize high-performance Raman and photoluminescence intensities) than that grown
SHEN et al.: CVD TECHNOLOGY FOR 2-D MATERIALS 4043

Fig. 4. Brief overview of the progress made in CVD growth of TMDs, including single crystals, monolayer and multilayer continuous films, vertical
and in-plane heterostructures, superlattcies, and Janus monolayers. All reprinted with permissions.

on SiO2 /Si. The authors suggested that the oxygen from crystalline TMD single crystals, control the shapes or edges of
SiO2 could affect the thermal decomposition of (NH4 )2 MoS4 the as-grown TMDs, and produce diverse TMD heterostruc-
during the high-temperature process, and thus degrade the tures. Taken MoS2 as an example, it is proposed that the
quality of the as-grown MoS2 . It was also found that the reaction is simply completed by two steps [70]
electron mobility of the as-grown MoS2 films was improved by MoO3 + x/2S → MoO3−x + x/2SOx (1)
two orders of magnitude (from 0.02 to 4.7 cm2 · V−1 · s−1 )
after annealed in the presence of sulfur vapor. A similar MoO3−x + (7 − x)/2S → (3 − x)/2MoS2 . (2)
improvement in MoS2 electron mobility by sulfur treatment During the reactions, the variations of the local precursor
was also observed from exfoliated samples [67]. concentrations along the growth substrates remarkably influ-
Besides the solution-based precursor, there is another two- ence the shapes and the edge terminations of the as-grown
step method for large-area TMD growth, in which a thin TMD grains [60], [71], [72]. It has been suggested that three-
film of solid metals or metal oxides is first deposited on point-star shaped MoS2 is favorably synthesized in a low-
the target substrates, and then a sulfurization/selenization/ temperature or sulfur-rich condition due to the significant
tellurization process is employed to obtain the desired TMD mismatch between the growing rates of Mo-zigzag termi-
thin films [52], [56]–[58], [68], [69]. Zhou et al. [52], [58] nation and S-zigzag termination (rate of the Mo edge is
revealed that the phases of the as-grown MoTe2 highly depends three times faster than that of the S edge). As a result,
on the chemical composition of the Mo sources. In their the edges of the three-point-star domain are proposed to
growth, the tellurization of MoO3 precursor in a sufficient be S-zigzag terminated. In contrast, a Mo-rich or high-
tellurium atmosphere resulted in semiconducting 2H-MoTe2 temperature environment would produce truncated triangles,
with p-type conduction and a field effect hole mobility of in which the edges are composed of both Mo- and S- zigzag
1 cm2 · V−1 · s−1 , but a metallic 1T’ phase was obtained when terminations. Dendritic-like grains can be observed in a non-
Mo was used as the source. The abovementioned two-step equilibrium growth condition when a high carrier gas flow rate
method is simple and scalable, but the MoS2 thin films is employed, leading to a facilitated mass transfer process and
grown by this approach usually suffer from a low electron an increased growth rate. Metal halide MoCl5 has also been
mobility (0.004–0.8 cm2 · V−1 · s−1 ) [56], [57]. The lack of used as a precursor to grow large-area monolayer and few-
controllability of the thicknesses and uniformity over a large layer MoS2 films [73], [74]. The chemical reaction between
area also limits the quality of this synthesis method. MoCl5 and S was suspected to be a one-step process during
On the other hand, vaporous-phase reactions of TMD which no intermediate byproducts such as MoO2 and MoOS2
synthesis either by thermal excitations of metal oxide were formed [59]. The as-grown MoS2 films showed good
powders (e.g., MoO3 or WO3 ) and chalcogen elements continuity and uniformity. However, the reported electron
(e.g., S or Se) or metal-organic and organic compound sources mobility is only 0.003 − 0.03 · cm2 · V−1 · s−1 [73].
[e.g., molybdenum hexacarbonyl Mo(CO)6 and diethyl sul- In addition to precursors, seeding promoters play a
phide (C4 H10 S)] has been widely adopted to prepare highly critical role in laterally enlarging the growth area of TMDs.
4044 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO. 10, OCTOBER 2018

Lee et al. [16] reported that by introducing graphene-like highly sensitive to the lattice symmetry of the substrates.
molecules such as graphene oxide, perylene-3,4,9,10- Triangular MoS2 single crystals with sharp edges are usually
tetracarboxylic acid tetrapotassium salt (PTAS), and found on SiO2 /Si or silicon nitride (Si3 N4 ) [82] substrates,
perylene-3,4,9,10-tetracarboxylic dianhydride coated on but a SrTiO3 substrate with quartic symmetry can produce
growth substrates, large-area MoS2 layers can be grown at a dendritic MoS2 flakes with threefold symmetry [83], [84],
relatively low temperature under ambient conditions [61]. The as a result of the anisotropic diffusion of precursors on the
density (or concentration) of the seeding promoters exhibits substrates. Furthermore, MoS2 crystals with six-fold symmetry
a significant effect on the MoS2 nucleation density. A high were grown by using silicon carbide (0001) as the substrates
seed density usually results in a high nucleation density where the lattice is naturally six-fold symmetric [85].
on the growth substrate, where MoS2 flakes can merge to To realize high-quality van der Waals (vdW) epitaxy
form a continuous film. The presence of seeding promoters of TMDs, an atomically flat and chemically inert surface
possibly increases the surface adhesive force of MoS2 and with hexagonally arranged in-plane lattice is required. Var-
lowers the free energy barrier of the nucleation. [62]. These ious substrates such as mica [64], sapphire [57], [65], [86],
two factors together facilitate the large-area layered growth h-BN [87], [88], and graphene [74], [89], have been used for
of MoS2 and decrease the required growth temperature. The large-area vdW epitaxy of TMDs. Ji et al. [64] reported that
seeding-promoter-assisted growth technique also enables the centimeter-scale uniformly aligned MoS2 monolayer flakes
direct growth of monolayer MoS2 on diverse substrates such with similar domain sizes can be grown on mica [64]. Accord-
as Au, h-BN, and graphene. In addition to spin coating ing to their statistics, a larger average domain size corre-
the seeding promotor on the growth substrate before the sponds to a lower surface nucleation density, suggesting that
synthesis, it was found that other types of seeding promotors the nucleation density, rather than the spreading ability of
can be used, and methods such as thermal evaporation or Mo-S species on mica, controls the size of MoS2 flakes.
diffusion [75], [76] onto the growth substrate during the Dumcenco et al. [65] reported that as high as 91.5% of the
synthesis from upstream also result in facilitated MoS2 single-crystal MoS2 domain on the c-plane sapphire are well
growth. PTAS seeding promoter has also been used for other aligned with dominant edge orientations of 0° and ±60°,
TMD growth including WS2 and MoSe2 [53], [77], [78]. significantly reducing the density of grain boundaries in the
Rather than using solid powders as precursors, film. The weak vdW interaction between MoS2 and sapphire
metal-organic CVD (MOCVD) relies on gaseous sources. allows MoS2 nuclei a certain extent of rotation and translation
Typically, the metal-organic and organic compound sources with respect to the sapphire surface in the initial growth
are first heated to vaporous phase and then released into a stage until they find the most stable configuration with the
reaction chamber with well-controlled ratios through mass substrate. The field-effect mobility of the as-grown MoS2 film
flow controllers. The molecules are decomposed in the reached ∼25 cm2 ·V−1 s−1 and was independent of the channel
chamber by thermal energy and the desired atoms can be length (4–80 µm). In addition to rigid insulating substrates,
deposited onto the target substrates atom by atom through Shi et al. [90] revealed that the monolayer MoS2 growth is
surface diffusion. Therefore, the MOCVD method allows sensitive to the crystallography of Au foils. At relatively
precise controls of the concentrations of both metal and high temperatures, large-domain single-crystal MoS2 grains
chalcogen precursors during the growth, providing atomic (∼115 µm) are more preferentially grown on Au (100) and
scale high-quality deposition. 4-in wafer-scale, monolayer Au (110) facets than on Au (111). This facet-dependent
MoS2 and WS2 films on SiO2 /Si substrates have been growth behavior originates from the binding energy differences
demonstrated by Kang et al. [79]. The MOCVD-grown TMD between the substrate facets and MoS2 . These works demon-
films exhibited excellent morphological homogeneities in strated the good controllability of the domain size and the
terms of domain sizes and thicknesses. The MoS2 transistors orientation through vdW epitaxy and the substrate-facet effect,
showed high electron mobility of 30 cm2· V−1 · s−1 at room which is a significant step toward scalable growth of low-
temperature and 114 cm2 V−1 s−1 at 90 K. While this work grain-boundary density, large-domain TMD films. Techniques
has demonstrated wafer-scale growth of high-quality TMD for identifying the TMD crystal orientations and resolving
films, more efforts are still needed to enlarge the grain size the grain boundaries through second-order nonlinear optical
of the films for high-performance device applications. Several interactions have also been developed [76].
factors including H2 gas, halide salts (e.g., NaCl), water, Direct CVD growth of 2-D materials on flexible polymer
growth temperatures, and precursor ratios show significant substrates is essential for scalable fabrication of 2-D flexible
impact on the morphology, the reproducibility, and the devices. However, no polymer substrate would be ther-
coverage of the MOCVD growth [79]–[81]. mally stable at the typical CVD growth temperatures
(600 °C–900 °C). Recently, progress has been made to
overcome this challenge by using the PECVD [13] or
B. Effect of Substrate wisely selecting a precursor with a low decomposition
Substrates also play a decisive role in the TMD growth. temperature [71]. The presence of accelerated energetic elec-
In general, the epitaxy of TMD atomic layers is highly trons, excited molecules and atoms, and other highly active
sensitive to the nanoscale morphology, terminating atoms, species in the PECVD [91] lowers the reaction energy barrier
and lattice constant and symmetry of the growth substrates. and hence decreases the required temperature for growth
It has been revealed that the shapes of MoS2 flakes are of the target materials. Ahn et al. [13] demonstrated that
SHEN et al.: CVD TECHNOLOGY FOR 2-D MATERIALS 4045

large-area multilayered MoS2 (3–4 nm in thickness) thin low reaction activity of Te [54]. Large TMD crystals including
films can be grown on polyimide substrates at as low as WS2 (200 µm), WSe2 (140 µm), MoTe2 (1 mm), and WTe2
150 °C–300 °C using a Mo-deposition/sulfurization PECVD (350 µm) have been achieved based on this halide-salt-assisted
approach [13]. The as-grown MoS2 showed average mobilities growth method [63], [97].
of 2–3.71 cm2 · V−1 · s−1 , which is higher than those of the
MoS2 thin films grown by conventional CVD processes using D. Concurrent Synthesis
a similar two-step approach [56], [57], likely due to a higher
crystalline quality and no transfer processes involved. Mono- Recently, Sun et al. [99] demonstrated a new configuration
layer MoS2 single crystals (>100 µm) grown on polyimide of CVD method that allows concurrent synthesis of various
substrates at a low temperature of 450 °C has also been monolayer TMDs at once in the same single-zone furnace.
achieved by using (NH4 )2 MoO4 as the precursor [71]. MoO3 and WO3 were first spread onto two separate groups of
In addition to the effects on shape and morphology, recent growth substrates and were vertically put in the CVD chamber.
study has revealed that the underlying substrates can induce In this approach, both the vertically placed substrates and the
unintentional doping and strain in the as-grown TMDs [92]. rich sulfur environment play key roles. Molecular dynamics
Theoretical investigation has also shown the formation energy simulation revealed that because there is one order of mag-
of sulfur vacancies could highly depend on the types (tensile nitude larger partial pressure of sulfur flowing on the top of
or compressive) and the strength of the strain imposed on those vertical substrates, MoO3 and WO3 precursors are well
the TMDs [93], [94]. For example, sulfur vacancies become confined in space between two adjacent standing substrates,
energetically less favorable when a tensile strain is intro- which guarantees that no alloy (MoxW1−x S2 ) will be formed.
duced into the MoS2 lattice. This finding paves the way to Their monolayer MoS2 and WS2 transistors exhibited electron
grow low-defect-density TMDs for high-performance appli- mobilities of 64 and 21 cm2 V−1 · s−1 , respectively, which is
cations. Moreover, substrate-driven band structure modulation comparable to the values reported by MOCVD [79].
of and brightening of dark excitons in WSe2 through strain-
engineered growth has also been reported [95]. E. Layer-Controlled and Patterned Growth
Since TMDs have rich layer-dependent properties, the large-
C. Large-Scale Growth of TMD Single Crystals area preparation of multilayer TMD crystals or films with
An approach to obtain grain-boundary free TMD atomic controllable thicknesses has also been intensively studied [40],
layers is through large-scale growth of single-crystal TMD [52], [58], [100]. It has been shown that by treating the
grains. Chen et al. [96] demonstrated that by introducing an SiO2 /Si substrates with oxygen plasma for different periods,
optimal amount of oxygen during the growth, large triangular scalable MoS2 films with controlled thicknesses (monolayer,
monolayer MoS2 with the side length of up to 350 µm can bilayer, and trilayer) can be synthesized [100]. The as-grown
be grown on a c-plane sapphire, with a lateral growth rate multilayer films exhibited uniform thicknesses with field effect
of ∼12µm min−1 . The presence of oxygen in the growth mobility values of 3.6, 8.2, and 15.6 cm2 · V−1 · s−1 for
environment plays three critical roles. the mono-, bi-, and trilayer MoS2 transistors, respectively.
1) Preventing the sulfurization of the MoO3 source so that The plasma treatment lowers the surface energy of the SiO2
a continuous evaporation of MoO3 during growth is substrate by forming Si-O or Si-OH dangling bonds on
guaranteed. the surface, making the surface hydrophilic and providing
2) Behaving as an etching reagent that removes unsteady a higher surface reactivity. It was proposed by the authors
nuclei either on the MoS2 surface or on the substrate, that this facilitates the heterogeneous nucleation and layer
making the edges the only reactive sites to laterally growth rates of MoS2 . In fact, patterned growth of TMDs
enlarge the domain size. and their heterostructures can be also realized by employing
3) Chemically etching the MoS2 edges and then converting oxygen-plasma technique [101], [102]. This method would
Mo and S atoms to MoO3 and SO2 gas molecules. enable direct growth of TMDs on other high-k dielectrics with
During the oxygen-assisted growth, the growth and etch rates controllable thicknesses for electronic applications.
are competing with each other. An optimal balance between
growth and etching through carefully tuning the growth time
is required to obtain the large domains of single crystals. The F. 2-D Heterostructures
FET based on the as-grown MoS2 exhibited n-type conduction The 2-D heterostructures with various combinations and
with electron mobility of as high as 90 cm2· V−1 · s−1 and energy band alignments are the building blocks toward a
ION /IOFF ratio of >107. wide range of high-performance 2-D technologies such as
Halide salts (e.g., NaCl and KCl) also play a critical 1-D contacts [103], tunneling FETs (TFETs) [104], [105],
role in synthesizing large-area single crystals. The introduced and p-n-junction [106], [107] for next-generation electron-
halide salts can react with Mo or W precursors, forming ics and optoelectronics. Although 2-D heterostructures
active gaseous oxyhalide species (e.g., MoOCl4 and WOCl4 ). can be achieved by physically pick-up-and-transfer met-
The presence of the oxyhalide species greatly facilitate the hod [103], [108], CVD allows the direct growth of lattice
reaction and lowers the growth temperature [63], [97], [98]. aligned TMDs layers for both vertical heterojuctions with
The usage of these catalytic salts is especially important for clean interfaces [89], [87], [109] and seamlessly 1-D lateral
growing large-scale transition-metal tellurides because of the heterostructures with atomically sharp interfaces [110], [111].
4046 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO. 10, OCTOBER 2018

candidate for next-generation flexible electronics [1], [115].


FETs based on 2-D semiconductors, such as MoS2 , WSe2 ,
and MoTe2 showed good mobilities and high ION /IOFF cur-
rent ratios [116]–[118], with which single-stage and multi-
stage logic circuits based on NMOS and CMOS technologies
[16], [46], [119], [120], as well as radio frequency analog
circuits [121]–[123] were implemented. Second, the atom-
ically thin nature and the lack of surface dangling bonds
in 2-D- semiconductors are ideal for ultrashort and ultra-
thin body (UTB) transistors to reach the ultimate limit for
scaling [1], [124]. Multiple reports showed that many short-
Fig. 5. Summary of band gaps (Eg in eV) of various 2-D materials channel effects, such as drain-induced barrier lowering, direct
and corresponding electronic functional components and optical spectra source–drain tunneling, and surface roughness scattering of
associated with each band gap range. UTB could be greatly reduced in TMD based short channel
FETs [1], [124]–[126]. Third, the perfect interfaces in
2-D heterostructures, as well as the relatively large band gap
By using the PTAS-diffusion-assisted method, Ling et al. [75]
and effective mass of semiconducting TMDs make a perfect
demonstrated that a variety of large-area 2-D heterostuctures
match for TFETs in low-power applications [127], [128].
(e.g., MoS2 /WS2 , MoS2 /graphene, and MoS2 /h-BN) can be
Nevertheless, due to the immatureness of the synthesis, and
synthesized regardless of the lattice mismatch between the
process technology, it has been very challenging to improve
materials. The as-grown heterostuctures exhibit an in-plane
the yield and scale up the complexity of 2-D semiconductor-
“parallel stitched” configuration at the junction [75]. Complex
based circuits. In order to address this issue, Ling et al. [75]
multiheterostructures (e.g., WS2 -MoSe2 -WSe2 ) and superlat-
and Yu et al. [119], [129] proposed an end-to-end design flow
tices (e.g., WS2 -WSe2 -WS2 -WSe2 -WS2 ) have also been real-
that allows rapid optimization of material synthesis, device
ized through edge-epitaxy growth [112], [113]. The as-grown
technology and circuit layout/design to ensure maximum sys-
monolayers share the same crystal orientation and seamlessly
tem yields. With high quality CVD MoS2 , optimized process
bond at the interfaces. Atomically sharp interfaces existing
technology, and specifically designed logic gate geometry,
between each monolayer domain has been confirmed through
it is estimated that the complexity of the logic circuits made
high-angle annular dark-field scanning transmission electron
with MoS2 transistors could be as high as 104 with >95%
microscope [113]. Recently, Janus monolayer MoSSe, a new
yield. As a system level demonstration, Wachter et al. [130]
TMD structure with out-of-plane asymmetry in which the top-
demonstrated a 1-bit microprocessor consisting of 115 tran-
layer S atoms are fully replaced with Se atoms, has also been
sistors CVD MoS2 FETs with around 80% fully functional
demonstrated [114].
algorithmic logic unit and implemented simple instruction sets.
Graphene-based photodetectors in the infrared range have
IV. D EVICE A PPLICATIONS W ITH CVD 2-D M ATERIALS shown great promise in next generation image sensor [131]
The strong covalent bond in plane and the weak vdW and optical communication [132], [133] applications, mainly
interactions in between planes of 2-D materials give rise to because of the discoveries of multiple novel photodetection
a high degree of mechanical stability and flexibility. The mechanisms [6], and its facile integration with other electronic
bandgap of 2-D materials spans from 0 eV in the case or photonic systems. For instance, Goossens et al. [131] fab-
of monolayer graphene, all the way to around 6 eV in ricated graphene-colloidal quantum dots photogating detector
the case of h-BN (Fig. 5). Such a diversified functional- arrays directly onto a CMOS readout integrated circuit chip
ity and their unique electronic and optoelectronic properties and demonstrated a monolithically integrated 388 pixel by
make 2-D materials highly suitable for a wide range of 288 pixel graphene-CMOS image sensor chip in visible,
applications, from high performance transistors, to extremely near IR and short-wave IR ranges. Lin et al. [133] integrated
sensitive photodetectors and sensors. In addition, the lack graphene with chalcogenide glass-based photonic components
of dangling bonds in 2-D materials have not only enabled and demonstrated fully functional photonic devices such
many complex heterostructure devices with almost perfect as on-chip polarizers, thermos-optic switches, and mid-IR
interfaces, but also made it easy to be integrated to any photodetectors and modulators. In addition, the sizes of
other materials or technologies. In this section, we focus on many macroscopic optoelectronic applications are typically
potential electronic, optoelectronic and sensing applications on the order of millimeters to centimeters, which would
based on 2-D materials and discuss about the opportunities and not be possible if no large-scale synthesis method has
challenges of the system-level integrations of multifunctional been developed. Researchers have attempted to incorpo-
2-D materials and other conventional technologies boosted by rate CVD graphene-based transparent electrodes into solar
CVD synthesis technologies. cells [134], [135], organic LEDs [134], [136]. TMDs have
The 2-D semiconductors such as MoS2 and WSe2 offer also shown great potential in many macroscopic applications
promising opportunities to advance the state-of-the-art elec- such as solar cells [137], [138] and LEDs [139]. For example,
tronics, for multiple reasons. First, the good crystallinity and due to the high absorption coefficients of 2-D semiconducting
mechanical flexibility make CVD 2-D semiconductors a good TMDs, it was found that graphene/TMD heterostructures and
SHEN et al.: CVD TECHNOLOGY FOR 2-D MATERIALS 4047

TMD/metal stacks, when properly designed, could absorb residues on the graphene surface which acts as charge scatter-
almost 100% of the solar spectrum even when the TMD ing centers. Furthermore, although Cu or Ni as catalytic sub-
absorbing layers are less than 20 nm [137], and the power strates for CVD grown graphene can be effectively removed
density of up to 2.5 MW/kg could be achieved, which cor- by the common etchants such as iron nitrate, iron chloride and
responds to 1–3 orders of magnitude higher than the state of ammonium persulfate, the transferred graphene samples were
the arts. contaminated by metal particles from the aqueous etchants.
Graphene and other 2-D materials are fundamentally advan- These metal particles could not be easily washed by clean
tageous for gas, chemical and biological sensing, because water which has been confirmed by time-of-flight secondary
of the large specific surface areas and strong, but relatively ion mass spectrometry [153] and Raman spectroscopy [154].
reversible surface activities enabled by their low dimen- All these defects and contaminants trigger charged impurity
sionality and weak vdW interlayer interactions. In addi- scattering and degrade carrier transport in graphene limiting
tion to the exposed electron gas on 2-D crystals that could the performance of graphene devices. Nevertheless, the trans-
attract and interact directly with many analytes [140], [141], fer process is unavoidable for most of the foreseen applica-
defects and impurities such as sulfur vacancies in MoS2 , tions. The situation becomes more challenging if the targeted
and B, S or Al substitutes in graphene could be more substrates are hydrophobic or rough. The use of liquid with
sensitive to certain molecules [142], [143]. The physically small surface tension [155] and polymer with large elastic
adsorbed or chemically bonded analytes would then mod- modulus [156], [157] have been proposed to overcome the
ify the electrical [143], [144], optical [141], [145], [146], or prior and latter issues, respectively, but thus far, no sin-
electrochemical [144], [147] properties of the sensing mate- gle process can concurrently solve all issues. Hence, more
rial, leading to a signal transducing process. Although the research efforts are needed to develop a reliable approach to
charge-transfer-based sensing mechanism is very universal, transfer the one-atom-thick graphene film to arbitrary sub-
there have also been tremendous efforts to address some cru- strates with minimum carrier scattering centers (e.g., surface
cial issues, such as selectivity [144], [147], reliability [148], contamination and nanosized wrinkles.). The same problems
and scalability [149], to push the 2-D material based sensing happened on hBN films synthesized on transition metals.
systems toward real applications. Instead of metal etchants, hydrofluoric acid [158] or
diluted alkaline solution [56] is used to detach TMD films
V. C HALLENGES from the insulating growth substrates (i.e., SiO2 or sap-
The key technological issues to essentially realize the afore- phire). This transfer approach is not perfect and results
mentioned vision include reliable transfer processes preserving in many issues such as TMD material degradation, poly-
intrinsic properties of 2-D materials, ohmic contacts, and mer and etchant residuals [159], [160], limiting the per-
controllable doping for modification of polarity and conduc- formance of TMDs. Thanks to the weak van der Waals
tivity. Since 2-D materials are atomically thin, any surface interactions between TMDs and the growth substrate, TMDs
contamination or interface impurities may lead to a dramatic have been transferred using etching-free direct delamina-
change of their physical properties, such as unintentional tion methods [69], [108], [160]–[162]. One of these strate-
doping [150], [151], interface charge scatterings [106], and gies is to induce sufficient force to delaminate MoS2 film
degraded contact resistance [152]. by ultrasonication-generated microbubbles at the interface
between MoS2 and the substrates [160]. On the other hand,
TMDs can also be directly delaminated via capillary force in
A. Transfer water due to the hydrophobicity of a polystyrene [163] or a
Large-area 2-D materials including graphene, h-BN, and polydimethylsiloxane (PDMS) [164] supporting layer.
TMDs have been successfully synthesized through CVD To reuse expensive catalytic substrates such as Pt, Au, and
methods on either transition metals (e.g., Cu, Ni, Pt, and Ir, electrochemical delamination method has been considered
Au) or insulating substrates including SiO2 and sapphire. as a nondestructive approach to separate graphene/h-BN from
To achieve high-performance devices for various applications the metal growth substrates, without dissolving it. The electro-
including biosensors, FETs and flexible electronics, it is chemical delamination method is also called “bubble transfer,”
important to develop a reliable technique to transfer large-area which generates hydrogen bubbles between graphene and the
2-D materials with minimal damage and contamination and metal substrates to weaken the adhesion at the interface in
onto arbitrary substrates. In this section, we will discuss the dilute alkaline solutions [165], but this process can induce
current challenges in transferring large-area 2-D materials in mechanical damage [166] to monolayer 2-D materials which
two main categories: wet and dry transfer approaches. need to be addressed in the future.
1) Wet Transfer: Considering yield and cost, Cu-catalyzed 2) Dry Transfer: Electronic applications, including solar
CVD is among the most promising approaches to obtain cells, light-emitting diodes, touch screen technology, photode-
large-area graphene for industrial applications. The major tector devices, and membranes, generally require large-area
stumbling block in using CVD graphene grown on Cu is 2-D materials to be transferred onto hydrophobic flexible or
that multiple defects randomly generated in graphene during soft substrates, and the above-mentioned wet transfer methods
the polymer-assisted transfer process. The defect can be as cannot serve the purpose. In Year 2013, Song et al. [167]
serious as centimeter-scale cracks or as tiny as nanometer- developed a dry transfer technique that allows CVD graphene
tall wrinkles. The transfer process also leaves many polymer to be transferred with high fidelity at predefined location
4048 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO. 10, OCTOBER 2018

on most of the surfaces, including hydrophobic and frag- R EFERENCES


ile polymer thin films, with the use of a “self-releasing”
polymer interlayer between a PDMS elastomer stamp and [1] G. Fiori et al., “Electronics based on two-dimensional materials,”
Nature Nanotechnol., vol. 9, no. 10, pp. 768–779, 2014.
graphene. In the same year, Martins et al. [168] reported a [2] K. F. Mak and J. Shan, “Photonics and optoelectronics of 2D semi-
lamination method that can directly transfer graphene onto conductor transition metal dichalcogenides,” Nature Photon., vol. 10,
various flexible substrates, including polytetrafluoroethylene no. 4, pp. 216–226, Mar. 2016.
[3] F. Xia, H. Wang, D. Xiao, M. Dubey, and A. Ramasubramaniam, “Two-
filter membranes, polyvinyl chloride, polycarbonate, paraffin, dimensional material nanophotonics,” Nature Photon., vol. 8, no. 12,
paper, and even clothes. Most reported dry transfer techniques pp. 899–907, Nov. 2014.
rely on heat (100 °C–180 °C) to ensure proper adhesion [4] S. B. Desai et al., “MoS2 transistors with 1-nanometer gate lengths,”
between the 2-D film and destination surface [135], [169]. Science, vol. 354, no. 6308, pp. 99–102, Oct. 2016.
[5] S. V. Morozov et al., “Giant intrinsic carrier mobilities in graphene
In the event of heat- or moisture-sensitive substrates, a and its bilayer,” Phys. Rev. Lett., vol. 100, p. 016602, Jan. 2008.
room-temperature dry-transfer technique is recommended for [6] F. H. L. Koppens, T. Mueller, P. Avouris, A. C. Ferrari,
stacking 2-D materials on them [135]. Besides that, to build M. S. Vitiello, and M. Polini, “Photodetectors based on graphene, other
two-dimensional materials and hybrid systems,” Nature Nanotechnol.,
functional blocks solely made of 2-D materials, it is important vol. 9, no. 10, pp. 780–793, Oct. 2014.
to vertically stack different 2-D materials at desired location, [7] A. Nourbakhsh et al., “MoS2 field-effect transistor with sub-10 nm
and which has recently been demonstrated with the fabrication channel length,” Nano Lett., vol. 16, no. 12, pp. 7798–7806, Dec. 2016.
of centimetre-scale van der Waals heterostructures of TMD [8] J. Chang, L. F. Register, and S. K. Banerjee, “Comparison of ballistic
transport characteristics of monolayer transition metal dichalcogenides
films, using a programmed vacuum stack approach [108]. (TMDs) MX2 (M = Mo, W; X = S, Se, Te) n-MOSFETs,” in
Proc. Int. Conf. Simulation Semiconductor Process. Devices (SISPAD),
Sep. 2013, pp. 408–411.
B. Doping [9] C. R. Dean et al., “Boron nitride substrates for high-quality graphene
electronics,” Nature Nanotechnol., vol. 5, no. 10, pp. 722–726, 2010.
Controllable chemical doping is another crucial aspect
[10] X. Cui et al., “Low-temperature ohmic contact to monolayer MoS2 by
of process technologies. Because of the 2-D nature and van der Waals Bonded Co/h-BN electrodes,” Nano Lett., vol. 17, no. 8,
the resulting high surface-to-volume ratio, many surface pp. 4781–4786, Jul. 2017.
treatment methods are very effective to achieve decent [11] A. Stoffel, A. Kovács, W. Kronast, and B. Müller, “LPCVD against
PECVD for micromechanical applications,” J. Micromech. Microeng.,
amounts of doping, most of which are physisorption. Such vol. 6, no. 1, pp. 1–13, Mar. 1996.
physisorbed dopants could be introduced through gaseous [12] S. Khan, J. Ali, Harsh, M. Husain, and M. Zulfequar, “Synthesis
or liquid phase treatment [170], [171], molecular surface of graphene by low pressure chemical vapor deposition (LPCVD)
method,” in Recent Trends in Materials and Devices (Springer Proceed-
adsorption [172], dipole or residual charge from surround- ings in Physics). Cham, Switzerland: Springer, Oct. 2016, pp. 119–123.
ing dielectrics [173]–[177]. However, dopants introduced by [13] C. Ahn et al., “Low-temperature synthesis of large-scale molybdenum
physisorption are usually unstable, since no chemical bonding disulfide thin films directly on a plastic substrate using plasma-
enhanced chemical vapor deposition,” Adv. Mater., vol. 27, no. 35,
is formed. Therefore, more efforts should be made to achieve pp. 5223–5229, Sep. 2015.
effective doping through synthetic strategies such as chemical [14] W. Fang, A. L. Hsu, Y. Song, and J. Kong, “A review of large-area
functionalization or substitution, for instance, chlorination of bilayer graphene synthesis by chemical vapor deposition,” Nanoscale,
vol. 7, no. 48, pp. 20335–20351, Dec. 2015.
graphene induced through plasma treatment [178] or pho-
[15] X. Li et al., “Large-area synthesis of high-quality and uniform graphene
tochemical reaction [179], which give rise to an efficient, films on copper foils,” Science, vol. 324, no. 5932, pp. 1312–1314,
nondestructive, and air-stable p-type doping. In terms of 2009.
MoS2 , oxygen passivation on the naturally existing sulfur [16] L. Gao et al., “Repeated growth and bubbling transfer of graphene with
millimetre-size single-crystal grains using platinum,” Nature Commun.,
vacancies was both predicted theoretically and observed exper- vol. 3, p. 699, Feb. 2012.
imentally to introduce p-type doping [180]; Nb and P were [17] A. Reina et al., “Large area, few-layer graphene films on arbitrary
found to be effective p-type substitution dopants in MoS2 substrates by chemical vapor deposition,” Nano Lett., vol. 9, no. 1,
pp. 30–35, Jan. 2009.
that could be introduced during synthesis or through plasma [18] Y. Xue et al., “Synthesis of large-area, few-layer graphene on iron
treatment [181], [182]. foil by chemical vapor deposition,” Nano Res., vol. 4, no. 12,
pp. 1208–1214, Sep. 2011.
[19] K. S. Novoselov, V. I. Fal’ko, L. Colombo, P. R. Gellert, M. G. Schwab,
VI. C ONCLUSION and K. Kim, “A roadmap for graphene,” Nature, vol. 490, no. 7419,
Over the past few years, substantial progress in scalable pp. 192–200, Oct. 2012.
[20] K. S. Kim et al., “Large-scale pattern growth of graphene films
CVD growth and applications of atomically thin 2-D materials for stretchable transparent electrodes,” Nature, vol. 457, no. 7230,
has been made. Before bringing them into real products, pp. 706–710, Feb. 2009.
there are still several important challenges ahead. A better [21] R. S. Edwards and K. S. Coleman, “Graphene film growth on poly-
crystalline metals,” Accounts Chem. Res., vol. 46, no. 1, pp. 23–30,
comprehension of growth mechanisms is primarily necessary. Jan. 2013.
In addition, a deep understanding of defect physics and sur- [22] M. H. Griep, E. Sandoz-Rosado, T. M. Tumlin, and E. Wetzel,
face chemistry of the 2-D materials and developing effective “Enhanced graphene mechanical properties through ultrasmooth cop-
per growth substrates,” Nano Lett., vol. 16, no. 3, pp. 1657–1662,
methods to characterize the nanoscale defects in 2-D crystals Mar. 2016.
play critical roles in engineering the natures of 2-D materials [23] Z. Yan et al., “Toward the synthesis of wafer-scale single-crystal
and exploring new atomically layered materials. If the growth graphene on copper foils,” ACS Nano, vol. 6, no. 10, pp. 9110–9117,
of large-area and high-quality 2-D materials with high control- Oct. 2012.
[24] T. Wu et al., “Fast growth of inch-sized single-crystalline graphene
lability and reliability can be developed, 2-D materials could from a controlled single nucleus on Cu–Ni alloys,” Nature Mater.,
enable a new era for the semiconductor industry. vol. 15, no. 1, pp. 43–47, Nov. 2015.
SHEN et al.: CVD TECHNOLOGY FOR 2-D MATERIALS 4049

[25] B. Dai et al., “Rational design of a binary metal alloy for chemical [50] B. Liu, M. Fathi, L. Chen, A. Abbas, Y. Ma, and C. Zhou, “Chemical
vapour deposition growth of uniform single-layer graphene,” Nature vapor deposition growth of monolayer WSe2 with tunable device
Commun., vol. 2, Nov. 2011, Art. no. 522. characteristics and growth mechanism study,” ACS Nano, vol. 9, no. 6,
[26] H. I. Rasool et al., “Atomic-scale characterization of graphene grown pp. 6119–6127, Jun. 2015.
on copper (100) single crystals,” J. Amer. Chem. Soc., vol. 133, no. 32, [51] Y. Zhao, H. Lee, W. Choi, W. Fei, and C. J. Lee, “Large-area synthesis
pp. 12536–12543, Aug. 2011. of monolayer MoSe2 films on SiO2 /Si substrates by atmospheric
[27] S. Gottardi et al., “Comparing graphene growth on Cu(111) versus pressure chemical vapor deposition,” RSC Adv., vol. 7, no. 45,
oxidized Cu(111),” Nano Lett., vol. 15, no. 2, pp. 917–922, Feb. 2015. pp. 27969–27973, May 2017.
[28] L. Tao et al., “Uniform wafer-Scale chemical vapor deposition [52] L. Zhou et al., “Large-area synthesis of high-quality uniform few-layer
of graphene on evaporated Cu (111) film with quality compara- MoTe2 ,” J. Amer. Chem. Soc., vol. 137, no. 37, pp. 11892–11895,
ble to exfoliated monolayer,” J. Phys. Chem. C, vol. 116, no. 45, Sep. 2015.
pp. 24068–24074, 2012. [53] K. M. McCreary, A. T. Hanbicki, G. G. Jernigan, J. C. Culbertson,
[29] G. Kim, A.-R. Jang, H. Y. Jeong, Z. Lee, D. J. Kang, and H. S. Shin, and B. T. Jonker, “Synthesis of large-area WS2 monolayers with
“Growth of high-crystalline, single-layer hexagonal boron nitride on exceptional photoluminescence,” Sci. Rep., vol. 6, no. 1, Jan. 2016,
recyclable platinum foil,” Nano Lett., vol. 13, no. 4, pp. 1834–1839, Art. no. 19159.
Apr. 2013. [54] J. Zhou et al., “Large-area and high-quality 2D transition metal
[30] W.-H. Lin et al., “Atomic-scale structural and chemical characterization telluride,” Adv. Mater., vol. 29, no. 3, p. 1603471, Jan. 2017.
of hexagonal boron nitride layers synthesized at the wafer-Scale [55] X. Wang et al., “Chemical vapor deposition growth of crystalline mono-
with monolayer thickness control,” Chem. Mater., vol. 29, no. 11, layer MoSe2 ,” ACS Nano, vol. 8, no. 5, pp. 5125–5131, May 2014.
pp. 4700–4707, Mar. 2017. [56] Y.-C. Lin et al., “Wafer-scale MoS2 thin layers prepared by MoO3
[31] K. K. Kim et al., “Synthesis of monolayer hexagonal boron nitride sulfurization,” Nanoscale, vol. 4, no. 20, pp. 6637–6641, Oct. 2012.
on Cu foil using chemical vapor deposition,” Nano Lett., vol. 12, [57] Y. Zhan, Z. Liu, S. Najmaei, P. M. Ajayan, and J. Lou, “Large-area
pp. 161–166, Jan. 2012. vapor-phase growth and characterization of MoS2 atomic layers on a
[32] S. M. Kim et al., “Synthesis of large-area multilayer hexagonal SiO2 substrate,” Small, vol. 8, no. 7, pp. 966–971, Feb. 2012.
boron nitride for high material performance,” Nature Commun., vol. 6, [58] L. Zhou et al., “Synthesis of high-quality large-area homogenous 1T’
Oct. 2015, Art. no. 8662. MoTe2 from chemical vapor deposition,” Adv. Mater., vol. 28, no. 43,
[33] J.-H. Park et al., “Large-area monolayer hexagonal boron nitride on Pt pp. 9526–9531, Nov. 2016.
foil,” ACS Nano, vol. 8, no. 8, pp. 8520–8528, Aug. 2014. [59] S. Ganorkar, J. Kim, Y.-H. Kim, and S.-I. Kim, “Effect of precursor on
[34] B. Zhang et al., “Low-temperature chemical vapor deposition growth growth and morphology of MoS2 monolayer and multilayer,” J. Phys.
of graphene from toluene on electropolished copper foils,” ACS Nano, Chem. Solids, vol. 87, pp. 32–37, Jul. 2015.
vol. 6, no. 3, pp. 2471–2476, Mar. 2012. [60] S. Wang et al., “Shape evolution of monolayer MoS2 crystals
[35] Z. Li et al., “Low-temperature growth of graphene by chemical vapor grown by chemical vapor deposition,” Chem. Mater., vol. 26, no. 22,
deposition using solid and liquid carbon sources,” ACS Nano, vol. 5, pp. 6371–6379, Nov. 2014.
no. 4, pp. 3385–3390, Apr. 2011. [61] Y.-H. Lee et al., “Synthesis of large-area MoS2 atomic layers
[36] J. Han, J.-Y. Lee, H. Kwon, and J.-S. Yeo, “Synthesis of wafer-scale with chemical vapor deposition,” Adv. Mater., vol. 24, no. 17,
hexagonal boron nitride monolayers free of aminoborane nanoparticles pp. 2320–2325, May 2012.
by chemical vapor deposition,” Nanotechnology, vol. 25, no. 14,
[62] X. Ling et al., “Role of the seeding promoter in MoS2 growth by
p. 145604, Apr. 2014.
chemical vapor deposition,” Nano Lett., vol. 14, no. 2, pp. 464–472,
[37] L. Song et al., “Large scale growth and characterization of
Feb. 2014.
atomic hexagonal boron nitride layers,” Nano Lett., vol. 10, no. 8,
[63] S. Li et al., “Halide-assisted atmospheric pressure growth of large
pp. 3209–3215, 2010.
WSe2 and WS2 monolayer crystals,” Appl. Mater. Today, vol. 1, no. 1,
[38] S. K. Jang, J. Youn, Y. J. Song, and S. Lee, “Synthesis and charac-
pp. 60–66, Sep. 2015.
terization of hexagonal boron nitride as a gate dielectric,” Sci. Rep.,
[64] Q. Ji et al., “Epitaxial monolayer MoS2 on mica with novel photolu-
vol. 6, Jul. 2016, Art. no. 30449.
minescence,” Nano Lett., vol. 13, no. 8, pp. 3870–3877, Aug. 2013.
[39] S. Bae et al., “Roll-to-roll production of 30-inch graphene films
for transparent electrodes,” Nature Nanotechnol., vol. 5, no. 8, [65] D. Dumcenco et al., “Large-area epitaxial monolayer MoS2 ,” ACS
pp. 574–578, Jun. 2010. Nano, vol. 9, no. 4, pp. 4611–4620, Apr. 2015.
[40] X. Xu et al., “Ultrafast epitaxial growth of metre-sized single- [66] K.-K. Liu et al., “Growth of large-area and highly crystalline MoS2
crystal graphene on industrial Cu foil,” Sci Bull., vol. 62, no. 15, thin layers on insulating substrates,” Nano Lett., vol. 12, no. 3,
pp. 1074–1080, Aug. 2017. pp. 1538–1544, Mar. 2012.
[41] C. Wu et al., “Seven-inch large-size synthesis of monolayer hexagonal [67] W. S. Leong, Y. Li, X. Luo, C. T. Nai, S. Y. Quek, and
BN film by low-pressure CVD,” Phys. Status Solidi, vol. 253, no. 5, J. T. L. Thong, “Tuning the threshold voltage of MoS2 field-
pp. 829–833, Dec. 2015. effect transistors via surface treatment,” Nanoscale, vol. 7, no. 24,
[42] C. Wu et al., “Large-roll growth of 25-inch hexagonal BN monolayer pp. 10823–10831, Jun. 2015.
film for self-release buffer layer of free-standing GaN wafer,” Sci. Rep., [68] D. Kong et al., “Synthesis of MoS2 and MoSe2 films with vertically
vol. 6, Oct. 2016, Art. no. 34766. aligned layers,” Nano Lett., vol. 13, no. 3, pp. 1341–1347, Mar. 2013.
[43] Y. Uchida, T. Iwaizako, S. Mizuno, M. Tsuji, and H. Ago, “Epitaxial [69] Y.-C. Lin et al., “Direct synthesis of van der Waals solids,” ACS Nano,
chemical vapour deposition growth of monolayer hexagonal boron vol. 8, no. 4, pp. 3715–3723, Apr. 2014.
nitride on a Cu(111)/sapphire substrate,” Phys. Chem. Chem. Phys., [70] B. Li et al., “Growth of large area few-layer or monolayer MoS2
vol. 19, no. 12, pp. 8230–8235, Mar. 2017. from controllable MoO3 nanowire nuclei,” RSC Adv., vol. 4, no. 50,
[44] A.-R. Jang et al., “Wafer-scale and wrinkle-free epitaxial growth of pp. 26407–26412, Apr. 2014.
single-orientated multilayer hexagonal boron nitride on sapphire,” Nano [71] Y. Gong et al., “Direct growth of MoS2 single crystals on polyimide
Lett., vol. 16, no. 5, pp. 3360–3366, May 2016. substrates,” 2D Mater., vol. 4, no. 2, p. 021028, May 2017.
[45] J.-H. Lee et al., “Wafer-scale growth of single-crystal monolayer [72] D. Cao, T. Shen, P. Liang, X. Chen, and H. Shu, “Role of chemical
graphene on reusable hydrogen-terminated germanium,” Science, potential in flake shape and edge properties of monolayer MoS2 ,”
vol. 344, no. 6181, pp. 286–289, 2014. J. Phys. Chem. C, vol. 119, no. 8, pp. 4294–4301, Feb. 2015.
[46] V. L. Nguyen et al., “Seamless stitching of graphene domains on [73] Y. Yu, C. Li, Y. Liu, L. Su, Y. Zhang, and L. Cao, “Controlled
polished copper (111) foil,” Adv. Mater., vol. 27, no. 8, pp. 1376–1382, scalable synthesis of uniform, high-quality monolayer and few-layer
Feb. 2015. MoS2 films,” Sci. Rep., vol. 3, no. 1, May 2013, Art. no. 1866.
[47] V. L. Nguyen et al., “Wafer-scale single-crystalline AB-stacked bilayer [74] K. M. McCreary et al., “Large-area synthesis of continuous and
graphene,” Adv. Mater., vol. 28, no. 37, pp. 8177–8183, Oct. 2016. uniform MoS2 monolayer films on graphene,” Adv. Funct. Mater.,
[48] B. Deng et al., “Wrinkle-free single-crystal graphene wafer grown vol. 24, no. 41, pp. 6449–6454, Aug. 2014.
on strain-engineered substrates,” ACS Nano, vol. 11, no. 12, [75] X. Ling et al., “Parallel stitching of 2D materials,” Adv. Mater., vol. 28,
pp. 12337–12345, Dec. 2017. no. 12, pp. 2322–2329, Jan. 2016.
[49] X. Song et al., “Chemical vapor deposition growth of large-scale [76] Y. Gao, A. J. Goodman, P.-C. Shen, J. Kong, and W. A. Tisdale,
hexagonal boron nitride with controllable orientation,” Nano Res., “Phase-modulated degenerate parametric amplification microscopy,”
vol. 8, no. 10, pp. 3164–3176, May 2015. Nano Lett., vol. 18, no. 8, pp. 5001–5006, Jun. 2018.
4050 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO. 10, OCTOBER 2018

[77] Y.-H. Lee et al., “Synthesis and transfer of single-layer transition [102] H.-J. Kim, H. Kim, S. Yang, and J.-Y. Kwon, “Grains in selectively
metal disulfides on diverse surfaces,” Nano Lett., vol. 13, no. 4, grown MoS2 thin films,” Small, vol. 13, no. 46, p. 1702256, 2017.
pp. 1852–1857, 2013. [103] L. Wang et al., “One-dimensional electrical contact to a two-
[78] M. I. B. Utama, X. Lu, Y. Yuan, and Q. Xiong, “Detrimental influence dimensional material,” Science, vol. 342, no. 6158, pp. 614–617, 2013.
of catalyst seeding on the device properties of CVD-grown 2D layered [104] T. Roy et al., “Dual-gated MoS2 /WSe2 van der Waals tunnel diodes
materials: A case study on MoSe2 ,” Appl. Phys. Lett., vol. 105, no. 25, and transistors,” ACS Nano, vol. 9, no. 2, pp. 2071–2079, Jan. 2015.
p. 253102, Dec. 2014. [105] T. Roy, M. Tosun, M. Hettick, G. H. Ahn, C. Hu, and A. Javey, “2D–2D
[79] K. Kang et al., “High-mobility three-atom-thick semiconducting tunneling field-effect transistors using WSe2 /SnSe2 heterostructures,”
films with wafer-scale homogeneity,” Nature, vol. 520, no. 7549, Appl. Phys. Lett., vol. 108, no. 8, p. 083111, Feb. 2016.
pp. 656–660, 2015. [106] A. Pospischil, M. M. Furchi, and T. Mueller, “Solar-energy conver-
[80] S. H. Choi et al., “Water-assisted synthesis of molybdenum disulfide sion and light emission in an atomic monolayer p–n diode,” Nature
film with single organic liquid precursor,” Sci. Rep., vol. 7, no. 1, Nanotechnol., vol. 9, no. 4, pp. 257–261, Mar. 2014.
May 2017, Art. no. 1983. [107] J. S. Ross et al., “Interlayer exciton optoelectronics in a 2D het-
[81] S. M. Eichfeld, V. O. Colon, Y. Nie, K. Cho, and J. A. Robinson, erostructure p–n Junction,” Nano Lett., vol. 17, no. 2, pp. 638–643,
“Controlling nucleation of monolayer WSe2 during metal-organic Dec. 2017.
chemical vapor deposition growth,” 2D Mater., vol. 3, no. 2, p. 025015,
[108] K. Kang et al., “Layer-by-layer assembly of two-dimensional mate-
Apr. 2016.
rials into wafer-scale heterostructures,” Nature, vol. 550, no. 7675,
[82] A. Sanne et al., “Top-gated chemical vapor deposited MoS2 field-effect
pp. 229–233, Oct. 2017.
transistors on Si3 N4 substrates,” Appl. Phys. Lett., vol. 106, no. 6,
[109] M.-Y. Li, C.-H. Chen, Y. Shi, and L.-J. Li, “Heterostructures based
p. 062101, Feb. 2015.
on two-dimensional layered materials and their potential applications,”
[83] Y. Zhang et al., “Dendritic, transferable, strictly monolayer MoS2
flakes synthesized on SrTiO3 single crystals for efficient electro- Mater. Today, vol. 19, no. 6, pp. 322–335, Aug. 2016.
catalytic applications,” ACS Nano, vol. 8, no. 8, pp. 8617–8624, [110] X.-Q. Zhang, C.-H. Lin, Y.-W. Tseng, K.-H. Huang, and Y.-H. Lee,
Aug. 2014. “Synthesis of lateral heterostructures of semiconducting atomic layers,”
[84] Y. Zhang et al., “Monolayer MoS2 dendrites on a symmetry-disparate Nano Lett., vol. 15, no. 1, pp. 410–415, 2015.
SrTiO3 (001) substrate: Formation mechanism and interface interac- [111] H. Qi et al., “Production methods of van der Waals heterostructures
tion,” Adv. Funct. Mater., vol. 26, no. 19, pp. 3299–3305, Mar. 2016. based on transition metal dichalcogenides,” Crystals, vol. 8, no. 1,
[85] D. Wu et al., “Effect of substrate symmetry on the dendrite morphology p. 35, Jan. 2018.
of MoS2 film synthesized by CVD,” Sci. Rep., vol. 7, no. 1, Nov. 2017, [112] S. Xie et al., “Coherent, atomically thin transition-metal dichalcogenide
Art. no. 15166. superlattices with engineered strain,” Science, vol. 359, no. 6380,
[86] Q. Ji et al., “Unravelling orientation distribution and merging behavior pp. 1131–1136, Mar. 2018.
of monolayer MoS2 domains on sapphire,” Nano Lett., vol. 15, no. 1, [113] Z. Zhang, P. Chen, X. Duan, K. Zang, J. Luo, and X. Duan, “Robust
pp. 198–205, Jan. 2015. epitaxial growth of two-dimensional heterostructures, multiheterostruc-
[87] S. Wang, X. Wang, and J. H. Warner, “All chemical vapor deposition tures, and superlattices,” Science, vol. 357, no. 6353, pp. 788–792,
growth of MoS2 :h-BN vertical van der Waals heterostructures,” ACS Aug. 2017.
Nano, vol. 9, no. 5, pp. 5246–5254, May 2015. [114] A.-Y. Lu et al., “Janus monolayers of transition metal dichalcogenides,”
[88] M. Okada et al., “Direct chemical vapor deposition growth of WS2 Nature Nanotechnol., vol. 12, no. 8, pp. 744–749, Aug. 2017.
atomic layers on hexagonal boron nitride,” ACS Nano, vol. 8, no. 8, [115] D. Akinwande, “Two-dimensional atomic sheets for heterogeneous
pp. 8273–8277, Aug. 2014. flexible high-frequency and low-power nanoelectronics,” Proc.
[89] Y. Shi et al., “Van der Waals epitaxy of MoS2 layers using graphene SPIE, vol. 9083, p. 90831N, Jun. 2014. [Online]. Available:
as growth templates,” Nano Lett., vol. 12, no. 6, pp. 2784–2791, https://www.spiedigitallibrary.org/conference-proceedings-of-
May 2012. spie/9083/90831N/Two-dimensional-atomic-sheets-for-heterogeneous-
[90] J. Shi et al., “Substrate facet effect on the growth of monolayer MoS2 flexible-high-frequency-and/10.1117/12.2049897.full?SSO=1
on Au foils,” ACS Nano, vol. 9, no. 4, pp. 4017–4025, Apr. 2015. [116] Y.-F. Lin et al., “Ambipolar MoTe2 transistors and their applications in
[91] Z. Bo, Y. Yang, J. Chen, K. Yu, J. Yan, and K. Cen, “Plasma-enhanced logic circuits,” Adv. Mater., vol. 26, no. 20, pp. 3263–3269, May 2014.
chemical vapor deposition synthesis of vertically oriented graphene [117] B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti, and A. Kis,
nanosheets,” Nanoscale, vol. 5, no. 12, pp. 5180–5204, Jun. 2013. “Single-layer MoS2 transistors,” Nature Nanotechnol., vol. 6, no. 3,
[92] W. H. Chae, J. D. Cain, E. D. Hanson, A. A. Murthy, and V. P. Dravid, pp. 147–150, Jan. 2011.
“Substrate-induced strain and charge doping in CVD-grown monolayer [118] H.-J. Chuang et al., “High mobility WSe2 p- and n-type field-effect
MoS2 ,” Appl. Phys. Lett., vol. 111, no. 14, p. 143106, Oct. 2017. transistors contacted by highly doped graphene for low-resistance
[93] M. Choi, “Strain-enhanced p doping in monolayer MoS2 ,” Phys. Rev. contacts,” Nano Lett., vol. 14, no. 6, pp. 3594–3601, Jun. 2014.
Appl., vol. 9, no. 2, p. 024009, Feb. 2018. [119] L. Yu et al., “Enhancement-mode single-layer CVD MoS2 FET
[94] M. G. Sensoy, D. Vinichenko, W. Chen, C. M. Friend, and E. Kaxiras, technology for digital electronics,” in IEDM Tech. Dig., Dec. 2015,
“Strain effects on the behavior of isolated and paired sulfur vacancy pp. 32.3.1–32.3.4.
defects in monolayer MoS2 ,” Phys. Rev. B, Condens. Matter, vol. 95, [120] M. Tosun et al., “High-gain inverters based on WSe2 complementary
no. 1, p. 014106, Jan. 2017. field-effect transistors,” ACS Nano, vol. 8, no. 5, pp. 4948–4953,
[95] G. H. Ahn et al., “Strain-engineered growth of two-dimensional mate- May 2014.
rials,” Nat. Commun., vol. 8, no. 1, Sep. 2017, Art. no. 608.
[121] A. Sanne et al., “Radio frequency transistors and circuits based on
[96] W. Chen et al., “Oxygen-assisted chemical vapor deposition growth of
CVD MoS2 ,” Nano Lett., vol. 15, no. 8, pp. 5039–5045, Aug. 2015.
large single-crystal and high-quality monolayer MoS2 ,” J. Amer. Chem.
Soc., vol. 137, no. 50, pp. 15632–15635, Dec. 2015. [122] S. Park et al., “High-frequency prospects of 2D nanomaterials for
[97] K. Chen et al., “A simple method for synthesis of high-quality flexible nanoelectronics from baseband to sub-THz devices,” in IEDM
millimeter-scale 1T’ transition-metal telluride and near-field nanoop- Tech. Dig., Dec. 2015, pp. 32.1–32.4.
tical properties,” Adv. Mater., vol. 29, no. 38, p. 1700704, Aug. 2017. [123] A. Sanne et al., “Embedded gate CVD MoS2 microwave FETs,” npj
[98] Z. Wang et al., “NaCl-assisted one-step growth of MoS2 –WS2 in- 2D Mater. Appl., vol. 1, no. 1, p. 26, Aug. 2017.
plane heterostructures,” Nanotechnology, vol. 28, no. 32, p. 325602, [124] W. Cao, J. Kang, D. Sarkar, W. Liu, and K. Banerjee, “Perfor-
Jul. 2017. mance evaluation and design considerations of 2D semiconductor
[99] L. Sun et al., “Concurrent synthesis of high-performance monolayer based FETs for sub-10 nm VLSI,” in IEDM Tech. Dig., Dec. 2014,
transition metal disulfides,” Adv. Funct. Mater., vol. 27, no. 15, pp. 30.5.1–30.5.4.
p. 1605896, Mar. 2017. [125] A. Nourbakhsh et al., “Serially connected monolayer MoS2 FETs
[100] J. Jeon et al., “Layer-controlled CVD growth of large-area two- with channel patterned by a 7.5 nm resolution directed self-
dimensional MoS2 films,” Nanoscale, vol. 7, no. 5, pp. 1688–1695, assembly lithography,” in Proc. IEEE Symp. VLSI Technol., Jun. 2016,
Feb. 2015. pp. 1–2.
[101] X. Chen, Y. J. Park, T. Das, H. Jang, J.-B. Lee, and J.-H. Ahn, [126] L. Yang, R. T. P. Lee, S. S. P. Rao, W. Tsai, and P. D. Ye, “10 nm
“Lithography-free plasma-induced patterned growth of MoS2 and nominal channel length MoS2 FETs with EOT 2.5 nm and 0.52 mA/µm
its heterojunction with graphene,” Nanoscale, vol. 8, no. 33, drain current,” in Proc. 73rd Annu. Device Res. Conf. (DRC), Jun. 2015,
pp. 15181–15188, Jul. 2016. pp. 237–238.
SHEN et al.: CVD TECHNOLOGY FOR 2-D MATERIALS 4051

[127] A. Nourbakhsh, A. Zubair, M. S. Dresselhaus, and T. Palacios, [152] A. Hsu, H. Wang, K. K. Kim, J. Kong, and T. Palacios,
“Transport properties of a MoS2 /WSe2 heterojunction transistor and “Impact of graphene interface quality on contact resistance and RF
its potential for application,” Nano Lett., vol. 16, no. 2, pp. 1359–1366, device performance,” IEEE Electron Device Lett., vol. 32, no. 8,
Feb. 2016. pp. 1008–1010, Aug. 2011.
[128] K.-T. Lam, G. Seol, and J. Guo, “Performance evaluation of MoS2 - [153] G. Lupina et al., “Residual metallic contamination of transferred
WTe2 vertical tunneling transistor using real-space quantum simulator,” chemical vapor deposited graphene,” ACS Nano, vol. 9, no. 5,
in IEDM Tech. Dig., Dec. 2014, pp. 30.3.1–30.3.4. pp. 4776–4785, 2015.
[129] L. Yu et al., “High-yield large area MoS2 technology: Material, [154] X. Liang et al., “Toward clean and crackless transfer of graphene,”
device and circuits co-optimization,” in IEDM Tech. Dig., Dec. 2016, ACS Nano, vol. 5, no. 11, pp. 9144–9153, 2011.
pp. 5.7.1–5.7.4. [155] S. Lai, J. Jeon, Y.-J. Song, and S. Lee, “Water-penetration-assisted
[130] S. Wachter, D. K. Polyushkin, O. Bethge, and T. Mueller, “A micro- mechanical transfer of large-scale molybdenum disulfide onto arbitrary
processor based on a two-dimensional semiconductor,” Nature substrates,” RSC Adv., vol. 6, no. 62, pp. 57497–57501, 2016.
Commun., vol. 8, Apr. 2017, Art. no. 14948. [156] J.-Y. Hong et al., “A rational strategy for graphene transfer on substrates
[131] S. Goossens et al., “Broadband image sensor array based on graphene– with rough features,” Adv. Mater., vol. 28, no. 12, pp. 2382–2392, 2016.
CMOS integration,” Nature Photon., vol. 11, no. 6, pp. 366–371, [157] H. Wang et al., “Low-temperature copper bonding strategy with
May 2017. graphene interlayer,” ACS Nano, vol. 12, no. 3, pp. 2395–2402, 2018.
[132] X. Gan et al., “Chip-integrated ultrafast graphene photodetector with [158] A. L. Elías et al., “Controlled synthesis and transfer of large-area WS2
high responsivity,” Nature Photon., vol. 7, no. 11, pp. 883–887, 2013. sheets: From single layer to few layers,” ACS Nano, vol. 7, no. 6,
[133] H. Lin et al., “Chalcogenide glass-on-graphene photonics,” Nature pp. 5235–5242, 2013.
Photon., vol. 11, no. 12, pp. 798–805, Oct. 2017. [159] Y. Zhang et al., “Controlled growth of high-quality monolayer WS2
[134] Y. Song, W. Fang, R. Brenes, and J. Kong, “Challenges and opportu- layers on sapphire and imaging its grain boundary,” ACS Nano, vol. 7,
nities for graphene as transparent conductors in optoelectronics,” Nano no. 10, pp. 8963–8971, 2013.
Today, vol. 10, no. 6, pp. 681–700, Dec. 2015. [160] D. Ma et al., “A universal etching-free transfer of MoS2 films
[135] Y. Song, S. Chang, S. Gradecak, and J. Kong, “Visibly- for applications in photodetectors,” Nano Res., vol. 8, no. 11,
transparent organic solar cells on flexible substrates with all- pp. 3662–3672, 2015.
graphene electrodes,” Adv. Energy Mater., vol. 6, no. 20, p. 1600847, [161] A. Gurarslan et al., “Surface-energy-assisted perfect transfer of
Oct. 2016. centimeter-scale monolayer and few-layer MoS2 films onto arbitrary
[136] J.-W. Shin et al., “Display process compatible accurate graphene substrates,” ACS Nano, vol. 8, no. 11, pp. 11522–11528, 2014.
patterning for OLED applications,” 2D Mater., vol. 5, no. 1, p. 014003, [162] L. Zhang et al., “Damage-free and rapid transfer of CVD-grown two-
Dec. 2017. dimensional transition metal dichalcogenides by dissolving sacrificial
[137] D. Jariwala, A. R. Davoyan, G. Tagliabue, M. C. Sherrott, J. Wong, and water-soluble layers,” Nanoscale, vol. 9, no. 48, pp. 19124–19130,
H. A. Atwater, “Near-unity absorption in van der Waals semiconductors 2017.
for ultrathin optoelectronics,” Nano Lett., vol. 16, no. 9, pp. 5482–5487, [163] H. Yu et al., “Wafer-scale growth and transfer of highly-oriented
Aug. 2016. monolayer MoS2 continuous films,” ACS Nano, vol. 11, no. 12,
pp. 12001–12007, 2017.
[138] M. Bernardi, M. Palummo, and J. C. Grossman, “Extraordinary
sunlight absorption and one nanometer thick photovoltaics using [164] Z.-Q. Xu et al., “Synthesis and transfer of large-area monolayer WS2
two-dimensional monolayer materials,” Nano Lett., vol. 13, no. 8, crystals: Moving toward the recyclable use of sapphire substrates,” ACS
pp. 3664–3670, Aug. 2013. Nano, vol. 9, no. 6, pp. 6178–6187, 2015.
[165] Y. Wang et al., “Electrochemical delamination of CVD-grown graphene
[139] F. Withers et al., “Light-emitting diodes by band-structure engineering
film: Toward the recyclable use of copper catalyst,” ACS Nano, vol. 5,
in van der Waals heterostructures,” Nature Mater., vol. 14, pp. 301–306,
no. 12, pp. 9927–9933, 2011.
Feb. 2015.
[166] C. T. Cherian, F. Giustiniano, I. Martin-Fernandez, H. Andersen,
[140] Y. Hao et al., “The role of surface oxygen in the growth of large single-
J. Balakrishnan, and B. Özyilmaz, “‘Bubble-free’ electrochemical
crystal graphene on copper,” Science, vol. 342, no. 6159, pp. 720–723,
delamination of CVD graphene films,” Small, vol. 11, no. 2,
Nov. 2013.
pp. 189–194, 2014.
[141] S. Tongay et al., “Broad-range modulation of light emission in two-
[167] J. Song et al., “A general method for transferring graphene onto soft
dimensional semiconductors by molecular physisorption gating,” Nano
surfaces,” Nature Nanotechnol., vol. 8, pp. 356–362, Apr. 2013.
Lett., vol. 13, no. 6, pp. 2831–2836, Jun. 2013.
[168] L. G. P. Martins, Y. Song, T. Zeng, M. S. Dresselhaus, J. Kong, and
[142] J. Dai, J. Yuan, and P. Giannozzi, “Gas adsorption on graphene doped P. T. Araujo, “Direct transfer of graphene onto flexible substrates,”
with B, N, Al, and S: A theoretical study,” Appl. Phys. Lett., vol. 95, Proc. Nat. Acad. Sci. USA, vol. 110, no. 44, pp. 17762–17767,
p. 232105, Dec. 2009. Oct. 2013.
[143] S. Yang, C. Jiang, and S.-H. Wei, “Gas sensing in 2D materials,” Appl. [169] W. S. Leong, X. Luo, Y. Li, K. H. Khoo, S. Y. Quek, and J. T. L. Thong,
Phys. Rev., vol. 4, p. 021304, Apr. 2017. “Low resistance metal contacts to MoS2 devices with nickel-etched-
[144] Y. Liu, X. Dong, and P. Chen, “Biological and chemical sensors based graphene electrodes,” ACS Nano, vol. 9, no. 1, pp. 869–877, 2015.
on graphene materials,” Chem. Soc. Rev., vol. 41, no. 6, pp. 2283–2307, [170] Y. Shi, K. K. Kim, A. Reina, M. Hofmann, L.-J. Li, and J. Kong, “Work
Dec. 2011. function engineering of graphene electrode via chemical doping,” ACS
[145] Y. Lin et al., “Dielectric screening of excitons and trions in single-layer Nano, vol. 4, no. 5, pp. 2689–2694, 2010.
MoS2 ,” Nano Lett., vol. 14, no. 10, pp. 5569–5576, Sep. 2014. [171] P. Zhao et al., “Air stable p-doping of WSe2 by covalent functional-
[146] N. Mao, Y. Chen, D. Liu, J. Zhang, and L. Xie, “Solvatochromic effect ization,” ACS Nano, vol. 8, no. 10, pp. 10808–10814, 2014.
on the photoluminescence of MoS2 monolayers,” Small, vol. 9, no. 8, [172] S. Mouri, Y. Miyauchi, and K. Matsuda, “Tunable photoluminescence
pp. 1312–1315, Apr. 2013. of monolayer MoS2 via chemical doping,” Nano Lett., vol. 13, no. 12,
[147] M. Pumera and A. H. Loo, “Layered transition-metal dichalcogenides pp. 5944–5948, 2013.
(MoS2 and WS2 ) for sensing and biosensing,” Trends Analyt. Chem., [173] C. J. McClellan, E. Yalon, K. K. H. Smithe, S. V. Suryavanshi, and
vol. 61, pp. 49–53, Oct. 2014. E. Pop, “Effective n-type doping of monolayer MoS2 by AlO x ,” in
[148] R. Stine, J. T. Robinson, P. E. Sheehan, and C. R. Tamanaha, “Real-time Proc. 75th Annu. Device Res. Conf. (DRC), Jun. 2017, pp. 1–2.
DNA detection using reduced graphene oxide field effect transistors,” [174] A. Rai et al., “Air stable doping and intrinsic mobility enhance-
Adv. Mater., vol. 22, no. 46, pp. 5297–5300, Dec. 2010. ment in monolayer molybdenum disulfide by amorphous titanium
[149] C. Mackin and T. Palacios, “Large-scale sensor systems based on suboxide encapsulation,” Nano Lett., vol. 15, no. 7, pp. 4329–4336,
graphene electrolyte-gated field-effect transistors,” Analyst, vol. 141, 2015.
no. 9, pp. 2704–2711, 2016. [175] A. Rai et al., “Interfacial-oxygen-vacancy mediated doping of MoS2
[150] J. Martin et al., “Observation of electron–hole puddles in graphene by high-k dielectrics,” in Proc. 73rd Annu. Device Res. Conf. (DRC),
using a scanning single-electron transistor,” Nature Phys., vol. 4, no. 2, Jun. 2015, pp. 189–190.
pp. 144–148, 2007. [176] A. Valsaraj, J. Chang, A. Rai, L. F. Register, and S. K. Banerjee,
[151] R. Addou et al., “Impurities and electronic property variations “Theoretical and experimental investigation of vacancy-based doping
of natural MoS2 crystal surfaces,” ACS Nano, vol. 9, no. 9, of monolayer MoS2 on oxide,” 2D Mater., vol. 2, no. 4, p. 045009,
pp. 9124–9133, 2015. 2015.
4052 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 65, NO. 10, OCTOBER 2018

[177] A. Alharbi and D. Shahrjerdi, “Contact engineering of monolayer CVD Ji-Hoon Park received the Ph.D. degree in
MoS2 transistors,” in Proc. 75th Annu. Device Res. Conf. (DRC), physics from Sungkyunkwan University, Suwon,
Jun. 2017, pp. 1–2. South Korea, in 2014.
[178] X. Zhang et al., “Impact of chlorine functionalization on high-mobility He is currently a Post-Doctoral Research
chemical vapor deposition grown graphene,” ACS Nano, vol. 7, no. 8, Fellow with the Research Laboratory of Elec-
pp. 7262–7270, 2013. tronics, Massachusetts Institute of Technology,
[179] B. Li et al., “Photochemical chlorination of graphene,” ACS Nano, Cambridge, MA, USA. His current research
vol. 5, no. 7, pp. 5957–5961, 2011. interests include synthesis and characterization
[180] H. Shu, Y. Li, X. Niu, and J. Wang, “Greatly enhanced optical absorp- of 2-D materials by chemical vapor deposition
tion of a defective MoS2 monolayer through oxygen passivation,” ACS and metal-organic chemical vapor deposition.
Appl. Mater. Interfaces, vol. 8, no. 20, pp. 13150–13156, 2016.
[181] M. R. Laskar et al., “p-type doping of MoS2 thin films using Nb,”
Appl. Phys. Lett., vol. 104, no. 9, p. 092104, 2014.
[182] A. Nipane, D. Karmakar, N. Kaushik, S. Karande, and S. Lodha,
“Few-layer MoS2 p-type devices enabled by selective doping using
low energy phosphorus implantation,” ACS Nano, vol. 10, no. 2, Wei Sun Leong received the B.Eng. (∗ 1 Hons.)
pp. 2128–2137, 2016. degree in electrical engineering from Univer-
sity of Technology Malaysia, Malaysia, in 2011,
and the Ph.D. degree in electrical and com-
puter engineering from the National University of
Singapore, Singapore, in 2015.
She is currently a Post-Doctoral Associate
(with Prof. Jing Kong) with the Department
of Electrical Engineering and Computer Sci-
ence, Massachusetts Institute of Technology,
Pin-Chun Shen received the B.S. degree in Cambridge, MA, USA. Her current research inter-
chemical engineering and the M.S. degree in ests include the interface of nanofabrication, device physics, and materi-
photonics and optoelectronics from National Tai- als science to understand and engineer 2-D materials-based electronic
wan University, Taipei, Taiwan, and the M.S. devices.
degree in electrical engineering and computer
science from the Massachusetts Institute of
Technology, Cambridge, MA, USA, in 2017,
where he is currently pursuing the Ph.D. degree
in electrical engineering and computer science
with Prof. J. Kong. Ang-Yu Lu received the B.S. and M.S. degrees
in engineering and system science from National
Tsing Hua University, Hsinchu, Taiwan. He is cur-
rently pursuing the Ph.D. degree with the Depart-
ment of Electrical Engineering and Computer
Science, Massachusetts Institute of Technology,
Cambridge, MA, USA.
His current research interests include chemical
vapor deposition synthesis and characterization
of graphene, hexagonal boron nitride, and Janus
Yuxuan Lin received the B.S. degree in micro- transition metal dichalcogenide monolayers.
electronics from Tsinghua University, Beijing,
China. He is currently pursuing the Ph.D. degree
with the Department of Electrical Engineering
and Computer Science, Massachusetts Institute
of Technology, Cambridge, MA, USA, under the Tomás Palacios received the Ph.D. degree from
supervision of Prof. Tomás Palacios and Prof. the University of California, Santa Barbara, CA,
Mildred Dresselhaus. USA, in 2006.
His current research interests include synthe- He is currently a Principal Investigator with
sis, characterizations, and optoelectronic appli- the Department of Electrical Engineering and
cations of 2-D materials. Computer Science, Massachusetts Institute of
Technology (MIT), Cambridge, MA, USA. His
current research interests include demonstrat-
ing new electronic devices and applications for
materials such as graphene and gallium nitride.
He is the Founder and the Director of the MIT/
Microsystems Technology Laboratory Center for Graphene Devices and
2-D Systems.

Haozhe Wang received the B.S. degree from


Shanghai Jiao Tong University, Shanghai, China,
in 2012, and the M.S. degrees (two dual-master
program between U.S. and China) from North- Jing Kong received the B.S. degree in chemistry
western University, Evanston, IL, USA, in 2014, from Peking University, Beijing, China, in 1997,
the Georgia Institute of Technology, Atlanta, GA, and the Ph.D. degree in chemistry from Stanford
USA, in 2015, and Shanghai Jiao Tong Univer- University, Stanford, CA, USA, in 2002.
sity, in 2015. He is currently pursuing the Ph.D. She is currently the Associate Director of
degree with Prof. Jing Kong with the Depart- Microsystems Technology Laboratory and a Prin-
ment of Electrical Engineering and Computer cipal Investigator with the Research Laboratory
Science, Massachusetts Institute of Technology, of Electronics with the Massachusetts Institute of
Cambridge, MA, USA. Technology (MIT), Cambridge, MA, USA.
His current research interests include graphene and boron nitride
growths and their applications.

Das könnte Ihnen auch gefallen