Sie sind auf Seite 1von 11

è`eô`Ñ`ŸG »`≤`£æ`ª`dG º`μ`ëà`ª`dG

10
Programmable Logic
Controller (PLC)

1 / 10
¢Sô````¡```Ø```dG

áëØ°U áëØ°U
14 PLC è`eô`Ñ`ª`dG »``≤``£`æ`ª`dG º`μ`ë`à`ª`dG ¯ 4 Automation system á``à`ª`JC’G ΩÉ``¶`f äÉ«`°SÉ`°SCG ¯
14 ¯
Telemecanique PLCs range
5 PLC è`eô`Ñ`ª`dG »``≤``£`æ`ª`dG º`μ`ë`à`ª`dG ¯
16 ¯
Twido compact & Twido modular
5 PLC``dG∞```jô``©``J ¯
17 Twido I/O modules ¯
5 »`````°SÉ``°SC’GÖ````«``cô``à`dG ¯
18 Twido PLC accessories ¯
6 CPUè````dÉ``©``ª`dG ¯
19 Twido PLC communication modules ¯
6 Ωó````î``à``°ù``ª`dÉ`Há``°UÉ``î`dGIô``cGò`dG ¯
7 á`∏`°ü`à`eô`«`¨`dGäGQÉ`°TEÓdêGô`NE’G/∫É`NOE’GäGó`Mh ¯
9 Busá`````«``fhô``à``μ`dE’G¿É```Ñ``°†``≤`dG ¯
9 Power supplyQÉ`````«``à`dÉ`Há```jò``¨``à``dGIó```Mh ¯

9 π````«````¨``°û`à`dG ¢SÉ```°SCG ¯
9 äÉ``````ª``«``∏``©``à``dGπ````μ``°T ¯
9 äÉ``````ª``«``∏``©``à``dGá``````é``dÉ``©``e ¯
10 PLC``dGIQhO ¯
10 á``````é``dÉ``©``ª``dGá``````Ñ``«``cô``J ¯
11 äÉ````Ñ``LGƒ``dGIOó```©``à``eá``````Ñ``«``cô``à``dG ¯
12 á``````jQhó``dGäÉ````Ñ``LGƒ``dG ¯
12 Interrupt™``````£``≤``dGÖ````LGh ¯
12 äÉ````Ñ``LGƒ``dGIQGOEG ¯
13 IOó`````©``à``ª`dGá``````é``dÉ``©``ª``dGäGPá``````Ñ``«``cô``à``dG ¯
13 IOó`````©``à``ª`dGäÉ````````¨````∏``dGäGPá``````Ñ``«``cô``à``dG ¯

3 / 10 2 / 10
PLC è````eô`Ñ`ª`dG »``≤`£`æ`ª``dG º``μ`ë`à``ª``dG -2 Automation System áà“C’G Ωɶf äÉ``«°SÉ°SCG -1
kÉ`ª`∏YäÉ`fÉ«`ÑdGá`÷É©Ÿá`«fhÎ`μdE’Gá÷É©ŸGäGóMh PLC`dGΩó`îà°ùj ô°UÉæ©dG≈∏YèeÈ`ŸG»`≤`£`æ`ª`dGº`μ`ë`à`ª`dG±Gô`°TEÉHΩÉ`¶fiCGiƒ`à`ëj
á«Hô`¡μdGIôFGódGº°SôH±ô©j’á«æ≤àdG√ò¡Há«æÑŸGäGó©ŸGπ«¨`°ûJ¿CÉH :á`````«`dÉ``à`dGá````«``°SÉ``°SC’G
Iô`FGOÖ`°ù`M∑Ó`°SCÉH¬JÉfƒ`μeπ°ü`àJ≈àdGº`μëàdGôFGhO≈açóëjɪc .Ωóîà°ùŸÉHá°UÉÿGá«∏ª©dGhCG≥«Ñ£àdG ¯
Ió`MhIô`cGP≈a¬`∏`«ª`–º`àjè`eÉfôHÖ`°ùMπª`©jπHá`æ«`©eá`«`Hô`¡`c .𫨰ûàdGQGQRCGhCGí«JÉØŸGπãe∫ÉNOE’GIõ¡LCG ¯
»`°SÉ°SC’G¿ƒ`μŸGèeÈŸG»≤£æŸGºμëàŸGÈà©jh.ájõcôŸGá`é`dÉ©ŸG Protective Interface»`æ`«`H»``bGƒ`cπ`ª``©`J»`à`dG∫É`NOE’GäGó``Mh ¯
.á````````«``fhô``à`μ`dE’Gá``à`ª`JC’Gº`¶`æ`d .äGQÉ`````°TEG∫ƒ``ë``ª`ch
∫É°üJE’GΩÉ`¶f≈∏YiƒàëjiòdG PLCèeô`Ñ`ª`dG»`≤`£`æŸGº`μ`ë`à`ª`dG ¯
∂``jO´Gô`à`NEGIAGô`HÖ°ùM Modiconá`cô`°Tá`£°SGƒH PLC∫hCGêÉàfEG” .á````jò`¨`à`dGQó`°ü`ehá`jõ`cô`ŸGá`é`dÉ`©`ŸGIó`Mhh
á`eÓ©dGº`°SEG¿B’Gπª–h.1969/1968ΩÉY Dick Morely»`∏`jQƒ`e ±ô```©`ª`dG≥``£`æ`ª`dGhCG)Ωó``î`à`°ù`ª`dÉ`H¢UÉ`î`dG≥``«`Ñ``£`à`dGè`eÉ`fô`H ¯
á`cô°TøeÖ`∏£H PLC∫hCGº«ª°üJ”óbh. Telemecaniqueá`jQÉéàdG .(Ωó````î``à`°ù`ª`dGá``£`°SGƒ`H
¥ô`Wº`FGƒj¿ôeΩɶf∑Ó`àeEG‘Ö`ZôJâ``fÉc»`àdGRQƒ```Jƒ``e∫Gô``æ`¸ .äGQÉ`°TEG∫ƒëª`chá`«`æ`«`Há`jÉbhIó`Mƒ`cπª`©J»àdGêô`ÿGäGó`Mh ¯
Iõ`¡LCGÉ¡LÉà–≈àdG∂∏Jøeπ`bCGá`Ø∏μ`à`HhOGô``WEÉHIQƒ£àŸGÉ¡àYÉæ°U Solenoidsá```«``Ñ`dƒ`∏`dGäÉ``Ø``∏``ª`dGhäGAÉ`°VE’Gπ``ã`eêô``î`dGIõ``¡`LCG ¯
.IOó`````ë`ª`dGá``«`Hô`¡`μ`dGô``FGhó`dGº`¶`æ`Há`«`æ`Ñ`ª`dG≥`£`æ`ª`dG .äÉ````cô``ë`ª`dGá```cô``MäÉ``FOÉ`Hh
º`μ`ë`àdGΩÉ`¶fá`Ñ`bGôehá`é`eô`Ñ`∏dá```dB’Gh¿É`°ù`fE’GÚH Interface`dG ¯
PLC
``dG ∞````jô`````©``J .äÉ```````«`∏`ª`©`dGh
ø`eõdG≈aºμëàdG∫ɪYCG≈aá°ü°üîàeá«fhÎμdEGá`dBGøYIQÉÑY PLC`dG
ø`eá`Yƒ``ª``› PLC`dGòØæj.á«``YÉæ`°üdGäÉ`«∏`ª`©dGáÑbGôeh»`≤`«`≤`◊G
PLC``∏d¿EÉaºKøehè`eÉfô`Hπ`μ`°T≈∏Y¬Jô`cGP≈a¿õ``î`Jäɪ`«`∏©àdG
Input Controller Output
á`KÓ`K∑Éæ¡a,∂dP™eh.äÉ`fÉ«`Ñ`dGá÷É`©`eä’BG™eácô`à`°ûeäÉØ`°U Module Processor
äÉ`Ñ°SÉ◊Gπã`eá«Ñà`μŸGä’B’GøekÉeÉ“ PLC`dGõ«“á`«°SÉ°SCG¢UGƒ`N
:»g¢UGƒÿG√òg.ôLÉàŸGhäÉ°ù°SDƒŸG≈aIOÉYΩóîà°ùJ»àdGá«dB’G
∫Ó`Nøeπ«`©`ØJIõ`¡LCGhäÉ°ù›¤EGIô°TÉÑe PLC`dGπ«°UƒJøμÁ ¯
.á`YÉæ°üdGá`°UÉ`NêÉà`fE’G™`bGƒª`HêGô``NE’Gh∫É`NOE’GäGó```Mh Man - Machine
Interface
IQGô`MáLQO)á«°SÉ≤dGá«YÉæ°üdGá`Ä«ÑdG≈aπª©∏d PLC`dGº«ª°üJºàj ¯
QÉ`«`à`dG»`akGó`LIô`«`°ü`b á`æ`eRCÉH,á`≤`«`bOäÉ``YÉ``£`≤`fEGhäGRGõ``à``gEGh Input / sensing Output/Working
Devices Devices
.(É```gô`«`Zhπ``NGó`à`dGh»``Hô`¡`μ`dGó`¡`é`dGAƒ``°Sh
á``÷É``©`ŸkÉ°ü«`°üNÉgô`jƒ`£J”äɨ∏HºLÎe PLC``dG¿EÉakGÒNCGh ¯
á`aô`©eøem∫É`Yiƒ``à`°ù`e»`dEGêÉ`à``ë`j’π`μ``°û`Hhá``à``ª`JC’G∞``FÉ`Xh
.π````«`¨`°û`à`dGhÖ``«`cô`à`dGó`æ`Yá`é`eô`Ñ`dÉHá`≤`Ñ`°ù`e
Process Under Control

»```````°SÉ````°SC’G Ö`````«``cô``à``dG
á`«°SÉ`°SCGá`«`Ø`«Xhô`°UÉ`æYá`KÓK»∏Yóªà©j PLC``∏d»`°SÉ°SC’GÖ«cÎdG
π`«°UƒJΩÉ`¶fΩGó`îà°SEÉHô°UÉæ`©dG√ò`gÚ`H»`Hô¡`μ`dGπ«`°Uƒà`dGº`à`jh πeÉμàe áà“CG Ωɶf

5 / 10 4 / 10
ò`NCÉJ¿CGø`μª`j»àdGh Bit±ô`◊G»gIô`cGòdG‘»`°SÉ`°SC’Gô`°üæ©dG¿EG º``μ`ë``à``ª``dGOGó```eEÉ`H…ƒ```≤``dGá```jò```¨``JIó```MhΩƒ``≤``Jh.»``fhô``à`μ`dEG
¿ƒμàdÉ¡°†©H™e±hô◊G√òg™«ªŒºàjh,1hCGô`Ø°U≥£æŸGº«bóMCG . ¬`````∏``«``¨``°û``à`dá```eRÓ`dGOƒ``¡``é`dÉ`H
âjÉÑdGhCGäɪ∏μdGøeπch(±hôM8)âjÉH¿ƒμàdhCG(±ôM16)äɪ∏c
. ¿Gƒ``æ`©`HÉ`gõ`«`ª`Jº`à`j CPU è`````dÉ``©``ª`dG
10
áª∏c 2=áª∏cƒ∏«c1)áª∏cƒ∏«μdÉHÉeEGIôcGòdGºéMøYÒÑ©àdGºàjh á`÷É`©`Ÿ¢SÉ`°SC’G≈aá`ªª`°üe CPUiõcôŸGèdÉ©ŸGIóMhhCGèdÉ©`ŸG
π``c»∏`Y≥``Ñ`£`æ`jô`«`Ñ``©`à`dGGò`ghâ```jÉ`Hƒ`∏«`μdÉ`HhCG(á`ª`∏`c1024= √òg¤EGáaÉ°VEGøμd≥`«Ñ`£à`∏dπ``eÉ©`dGèeÉfÈdG¿ƒμ`J≈àdGäÉ``ª`«∏``©àdG
øeø`«`Yƒ`fΩGó``î`à`°SEGº`à``jhäÉ`fÉ`«`Ñ`dGIô`cGPhCGè`eÉfÈdGIô``cGPø`e :á``«`dÉàdG∞`FÉXƒdGAGOCÉHèdÉ©ŸGΩƒ≤j,á«°SÉ°SC’G᪡ŸG
: PLC``dG»``aIô``cGò`dG . êQÉ```î`ª`dG/π``NGó`ª`dGIQGOEG ¯
∫ƒ`°UƒdGºàj»àdGIô`cGò`dG) RAM´ƒædGøeäGôcGòdGhCGá`«◊GIô`cGòdG ¯ GC óÑJäGQÉ`«à`N’GøeáYƒª›á`£°SGƒH PLC`dGAGOCG¢ü«î°ûJháÑbGôe ¯
É¡∏jó`©J∂dòchäGôcGòdG√ògäÉjƒ`àfiIAGô`bøμÁh.(kÉ`«`FGƒ`°û`YÉ¡«dEG . PLC ``dGπ`ª`YAÉæKCGQô`μ`à`eπ`μ°ûHhCG PLC`dGπ`ª`YAó`H™eIô`°TÉÑe
.(ô`jÉ`£`à`ª`dG´ƒ`ædGøeIô`cGP)á``jò`¨`à`dGó``¡`Ló``≤`a™eó`≤`Ø`JÉ¡æ`μdh èeÉfÈdÉHπjó©àdGhá«dhC’GáHÉàμdGAÉæKCGá`›ÈdG±ô`W™eçOÉ`ëàdG ¯
Qó``°ü``ª`cá`jQÉ`£``H‹EGêÉ`à``ë`jäGô`cGò`dGøe´ƒ`ædGGò`g¿EÉaº`Kø`eh . äÉ``fÉ`«`ÑdG§`Ñ°VçGó`MEGhCGá`©LGôŸè`dÉ©ŸGπªYAÉ`æKCG∂dò`ch
í«`≤æJhá`HÉ`à`cAÉ`æ`KCGá`«`ë`dGäGô`cGò`dGΩGó`î`à`°SEGº`à`jh.ó`¡`é∏dπ``jóH , SCADAπ`«`¨`°û`à`dGhá`Ñ`bGôª`dGº`¶`f)…ô`NCGá`ª``¶`fCG™eçOÉ`ë`à`dG ¯
. äÉ``fÉ``«`Ñ`dGø``jõ``î`à`d∂dò`chèª`Gô`Ñ`dG . (...…ô````NCGá``«`YÉ``æ`°UäÉ``é`eô`Ñ`e
ø`eIô``cGP)É`¡`JÉ`jƒ`à`ë`e»∏Y®É`Ø`ë`dGº`àj»`àdGhá``à`«`ª`dGIô`cGòdG ¯
…ƒ`àë`ª`d§≤aIAGô`≤dGøμÁh.ájò¨àdGó¡Ló≤a™e(ôjÉ£àeÒ¨dG´ƒædG øeá≤«bOäÉ÷É©eIó`YhCGó`MGh≥«bOèdÉ©e∞FÉXƒdG√ògò«ØæàHΩƒ≤j
. äGô``````````cGò`dGø`e´ƒ``æ`dGGò`g (ROM§≤aCGô`≤J≈àdGIô`cGò`dGá`≤`«`bQ≈∏``Yè`eÉ`fôH) Firmware∫ÓN
á`≤«bôdG√òg±ô©Jh.Iô`cGòdGhCGºμëàdGΩɶfπNGOkÉ≤Ñ°ùeÉ¡à›ôHºàj
π``eÉ`cí`°ù`ª`dk’hCGêÉ`à`ëjäGô`cGòdGøe´ƒ`æ`dGGòg»∏Yá`HÉà`μ`dGIOÉ`YEG . É``¡`«`dEG∫ƒ`°Uƒ`dGΩó`î`à`°ù`ª`∏dø``μ`ª`j’h PLC``dG∞``FÉ`Xh ROM
PLC``dGäÉ«fÉ`μeEGøeÈ`cCGπ`FÉ°ShΩGóîà°SEÉHÉ¡«∏YIOƒ`LƒŸGäÉfÉ«Ñ∏d
´ƒ`æ`dGø`eäGô`cGò`dG‘)á«`é`°ù`Ø`æ`ÑdG¥ƒ`aá`©``°TC’GΩGó``î`à`°SEÉ``H∂dPh Ωó`````î``à``°ù``ª`dÉ`H á````°UÉ```î``dG Iô`````cGò``dG
.(EEPROM´ƒ`ædGøeäGô`cGò`∏d)á`«`Hô`¡`cπ`FÉ°Sƒ`HhCG( EPROM äÉ``ª``«∏`©`à`dGøjõ``î`à``dΩó`î`à``°ù`ª`dÉHá`°UÉ`ÿGIô``cGòdG¬``«`Lƒ``Jº``à`j
∫ƒ`°UƒdGó©Hè`eGô`ÑdGø`jõ`îàdäGô`cGòdGøe´ƒædGGògº`«`ª`°ü`J”óbh :¿ƒμJób≈àdGäÉfÉ«Ñ∏d∂dòcháà“C’GΩɶæd𫨰ûàdGèeÉfÈdáfƒμŸG
. í````«`≤`æ`à`dGø`eIô``«`NC’Gá`∏`Mô`ª`dG»`dEG ádÉ◊G»g√ògh.≥«Ñ£à∏d𫨰ûàdGIQhOAÉæKCGÉgôjƒ£JOGôjäÉeƒ∏©e ¯
É`¡dÉ`NOEGº`àj»àdGhähô`cIóYhCGäQÉcøª°V…ƒ`à–èeÉfÈdGIôcGPh ø`jõ`î`Jh CPUájõcôŸGá÷É©ŸGIó`Mhá`£°SGƒ`Há`÷É©ŸGºàJÉ``eóæY
≈ah,äÉfÉ«ÑdGIôcGPÉ``eCG.IôcGòdGójó“Ió`Mhπ`NGOhCG PLC`dGπ`NGO äÉfÉ«ÑdGøe´ƒædGGòg≈ª°ùjh.á«`dÉJá∏Mô`e≈aÉ¡eGóî``à`°SE’è`FÉàædG
PLC`dGäQÉ``c»``∏`Yπ```eÉ``μ`à`J,è``eÉ``fô``Ñ`dGIô``cGPá``æ``«``©`eä’É`M . á```«`∏`NGó`dGäÉ``ª`∏`μ`dGhCGá``«`∏`NGó`dGäGô`«`¨`à`ª`dÉH
. On board memory»```ª``°ù``Jh iôjÉeóæYÉ¡∏jó©JøμÁø`μdhπ`«¨`°û`àdGAÉ`æKCGQƒ`£`àJ’äÉ``eƒ`∏©`e ¯
º``«`bhQÉ``¡``XE’Gπ```FÉ`°SQ»``aá``dÉ`ë`dÉ`ckÉ`jQhô``°V∂`dPΩó```î`à`°ù`ª``dG
(Discrete I/O) á∏°üàe Ò¨dG äGQÉ`°TEÓd êGô`NE’G/∫É`NOE’G äGó`Mh .á````````à``HÉ`KäÉ``ª`∏``μ`dG√ò``ghGò`μ`gh...§`Ñ`°†`dG
ø`eá`∏`°ü``àeô`«``¨dGäGQÉ`°TEÓd (I/O)êGô`NE’G/∫É``NOE’GäGó`Mhø`μ“ á`£°SGƒHÉ¡`ã`jó–ô`«`°ü`j»`à`dGhêhô`î`dG/∫ƒ`Nó`dGIQƒ`°U∫hGó``L ¯
InterfaceOÉéjEÉH∂dPhá«YÉæ°üdGÉ`¡`à`Ä«H™eIô°TÉÑe PLC`dGπ`«°UƒJ .è```````eÉ``fô``Ñ``∏`d¢ü``ë`aá``«`∏`ª`Yπ``c»``aè``dÉ`©`ª`dG
êGô``NE’G/∫É`NOE’GäGó`Mh™«`ª`L. PLC``dGhá`«`YÉæ°üdGá«∏ª`©dGÚH
: »```dÉ`à`dÉ`cΩÉ``Yπ``μ`°û`H∂`dPhêhOõ``eQhOÉ¡d

7 / 10 6 / 10
Bus á`````«``fhô``à`μ`dE’G ¿É```Ñ``°†``≤`dG ⁄É©`dGøeäGQÉ``°TE’Gπ`jƒ–h∫ÉÑ`≤`à°SE’∂dPh Interface`dGá`Ø`«`Xh ¯
≥`∏`î`J»àdGäÓ`°UƒŸGø``eá``Yƒ`ª`›øYIQÉÑYá«fhÎ`μdE’G ¿É`Ñ°†≤dG ¤EG…ô`NCGIôeäGQÉ`°TE’GºK(ïdG...𫨰ûàdGôjGQRh,äÉ°ù›)»`LQÉÿG
≈a¿ÉÑ`°†`≤dGò`NCÉJh, PLC```dG‘áØ`∏`à`î`ŸGäGó``Mƒ`dGÚ`H∫É``°ü`JEG äÉÑŸh,äGQÉ°TEG,≥`«°û©àdGhπ°üØdGIõ¡LCG≈aºμëàdG)»LQÉÿG⁄É©dG
á`Mƒ`dπ`μ``°T, Modular PLCá`∏`°ü`Ø`æeäGó`Mhøe¿ƒ`μ`ŸG PLC``dG ∫õ`©dGπ`ãe¢ü`FÉ°üîH Interface`dGäÉ```ª`¡``eº`«`ª`°üJº`à`jh.(ïdG...
π``«``°Uƒ`à`dπ`eÉ`ë`dGIó``YÉb»∏Yá`à`Ñ`ã`ehá`YƒÑ`£eá`«`fhô`à`μdEGô``FGhO ø``e PLC`dGá``jÉ``ª```ë``d∂`dPhá```«``Fƒ``°†``dG∫RGƒ```©``dGhCG»``fÉ``Ø`∏`é`dG
OGó`à`eEGh è`dÉ©ª`d:á```Ø`∏`à`îª`dG PLC``dGäGó`MhÉ¡«∏Yπ`Nó«d¢ù`HÉ`≤`e . π`````NGó``à`dGAÉ``°Vƒ``°V
. AÉ``cò`dGäGó`Mhhêhô``ÿG/∫É`NOE’GäGó`MhhIô`cGò`dG PLC`dGIó```Mh™```eIQÉ````°TE’G∫OÉ`Ñ``à`d∂`dPhπ`É``°ü``JE’Gá```Ø``«```Xh ¯
. êQÉ```î`ª`dGhπ``NGó`ª`dG¿É`Ñ`°†`b»`∏`Yá```jõ``cô``ª`dG
á`ª`ª`°üeáYƒª›πcháØ∏àfläÉYƒª›π`μ`°T»∏Y¿É`Ñ`°†`≤`dGÖ``Jô`J
:äÉ``fÉ`«`Ñ`dGø`e´ƒ`fπ`ª`ë`à`d
.êGô``NE’G/∫É`NOE’GäGQÉ`°TE’äÉ`fÉ`«`H¿É`Ñ`°†`b ¯ 110/220 Vac Bus Inputs
or
.êGô``NE’G/∫É`NOE’Gø`jhÉ`æ`©`dø`jhÉ`æ`©`dG¿É`Ñ`°†`b ¯ 24/48 Vdc
∫OÉ`ÑJ√ÉŒEGhøeGõ`à`dGäÉ°†`Ñfπãeá`eó`ÿGäGQÉ`°TE’ºμëàdG¿ÉÑ°†b ¯
.Gò```μ`gh...∫OÉ``Ñ`à`dGá`ë`°UhäÉfÉ`«`Ñ`dG
. QÉ```«`à`dÉHá`jò`¨`à`dGQó`°ü`eIó`Mhø`eOƒ`¡`é`dG™`jRƒ`à`d¿É`Ñ`°†`b ¯

Power supply QÉ«àdÉH ájò¨àdG IóMh Power Processor Memory Discrete


supply or I/O
OOô``à`eQÉ`«`JQó``°ü`eøe…ò``¨`ª`dG,QÉ`«`à`dÉ`Há``jò`¨`à`dGQó``°ü`eô`aƒ`j CPU
Oƒ`¡÷G,âdƒa48/24ô`ª`à`°ù`eQÉ`«JQó`°üeøehCGâdƒa220/110
¿ÉeC’Giƒà°ùe¿Éª°†dh¬JGóMhh PLC``dGπNGOΩóîà°ùJ»àdGá«∏NGódG
•ƒ`Ñ`¡dG∞```°û`μ`à`°ù`J»àdGIõ`¡`LCGQÉ«àdÉHájò`¨àdGQó`°üe…ƒàëjΩRÓdG
.á`«∏`NGó`dGOƒ`¡`é`dG™`«`ª`Lá`Ñ`bGô`ª`d∂dò`chó`¡`÷GAÉØàNEGhó`¡÷G‘ Outputs
´ƒ`LôdG´É`°VhCGá`«∏°†`aCGOó–¿CGIõ¡LC’G√ò¡døμÁπ£YçhóMóæYh
PLC `∏d á«°SÉ°SC’G áÑ«cÎdG
. »``````FÉ``≤`∏`Jπ``μ`°û`H Fall - back position»``∏`°UC’G™`°Vƒ`dG‹EG
QÉ``«`à`dÉH »``∏`NGódG á`jò`¨`à`dG Qó`°ü`e : Power supply
π````«``¨``°û``à``dG ¢SÉ`````°SCG -3 á``∏`°ü`à`e Ò``¨dG äÉ`fÉ`«`Ñ`∏d êGô`NE’G / ∫É``NOE’G Ió`Mh : Discrete I / O
á``jõ`cô`ª`dG á`é`dÉ`©`ª`dG Ió`Mh hCG è``dÉ`©`ª`dG : Processor or CPU
äÉ``````ª``«`∏``©`à`dG π````μ``°T äÓNóŸG : Inputs
É¡fEÉa∂dòHh. PLC`dGèdÉ©eÉgòØæj¿CGÖéj≈àdGôeGhC’G≈gäɪ«∏©àdG äÉ`````Lô``î``ª`dG : Outputs
.''πª©dÉHΩƒ≤j¿CGÖéjäGhOCGiCÉH''h''πª©j¿CGÖéjGPÉe''èdÉ©ŸGÈîJ Iô````cGò``dG : Memory

äÉ``````ª``«`∏``©`à`dG á````é``dÉ``©``e
ò`«`Ø`æ`àHèdÉ`©`ŸGΩƒ`≤jh.äɪ«∏©àdGø`eáYƒª›øeèeÉfÈdG¿ƒμàj
á`ë`jô`°ûdGøe¬JAGôbºàjiòdG Firmwareè`eÉ`fÈ`dGÖ`°ùMäɪ`«`∏©`àdG
»`∏`Y∫ƒ°ü◊Gh𫨰ûàdGIôØ°Tójó–ó©H∂dPhΩɶædGIôcGPh, ROM

9 / 10 8 / 10
¿EÉaºKøehá«fÉK≈∏`∏`«`ŸGøeäGô`°ûYøYIQhódGøeRójõj’kÉeƒ`ª`Yh »∏Y FirmwareèeÉfôHiƒàëjh.äÉfÉ«ÑdGIôcGPøeäGÒ¨àŸGøjhÉæY
IÒãcá«YÉæ°UäÉ«∏ªYäÉÑ∏`£àŸkÉÑ°SÉæeÈ``à`©j PLC`dGá`HÉéà°SEGøeR .É`¡JQGOEGè`dÉ`©ª∏dø`μÁ»àdGäÉ`ª`«∏`©àdGøeπμdá`eRÓdGä’GódG™«ªL
á`dÉMhÉeπ`NóeádÉMô`«`¨JÚHøeõdÉH PLC`dGá`HÉéà°SEGøeR±ô©j) hCGÒ`¨`à`ŸGå`jó`ëàdIô`°TÉÑeäɪ«∏©àdGá÷É©eá`é«àfΩGó`îà°SEGøμÁh
øeR»`gÉ`°†`Já`ª`«`bøeá`HÉ`é`à`°SE’Gø`eR∞∏`à`îjhô`XÉæŸGêô`îª`dG äɪ«∏©àdGóMCGá÷É©eó©Hh.ó©Hɪ«aΩóîà°ùàdIôcGòdÉHÉ¡æjõîJøμÁ
.(IQhó```dGø`eR∞`©`°VhCG . á```«dÉàdGäɪ«∏©àdG‹EGÉ``«`dBGèdÉ©ŸGõØ≤j

øeIÒÑcΩÉéMCGá÷É©eêÉà–»àdGáÑcôŸGäÉÑ«cÎdGádÉM≈a¬fCG’EG øμÁh. PLC``dGRGôWhäɪ«∏©àdGá©«ÑWÖ°ùMá÷É©ŸGøeR∞∏àîjh


Ak É`æ`HhIQhó`dGø`eRkÉ«dÉY™aó`jÖ`LGƒdGiOÉ`MCG PLC``dG¿EÉaäÉfÉ«ÑdG á```«`fÉ`Kƒ`fÉ`æ`dGø`eäÉ``Ä`e™``°†`Hπ`ã`ekGô``«`¨`°U¿ƒ`μ`j¿CGø`eõ`dGGò`¡d
¿EÉa∂dP≈∏YIhÓY.ádƒÑ≤eÒZ¿ƒμJóbº«b‹EGáHÉéà°SE’Gø`eR¬«∏`Y πãeá`£`«°ùÑdGäÉ`ª«∏`©`à∏d∂dPh(á`«fÉãdGøe 910/1=á`«`fÉKƒ``fÉæ`dG)
ájGóH¤hCGájƒdhCÉcÉgò«ØæJøeóH’≈àdGIOhóÙGäÉ«∏ª©dG¢†©H∑Éæg ¿ƒ`μj¿CGhCG1á`dÉ`◊G»`dEGô``Ø`°Uá`dÉ◊Gøe√Ò¨JhCG±ôMádÉMQÉ«àNEG
kÉ≤`Ñ°ùeÉgójó–ºàjá«æeRIÎaÖ°ùMhCG≈LQÉNçó`MçhóMá¶◊øe =á`«fÉKhôμ`«`ª`dG)á`«`fÉ`Khô`μ«`ŸGøeäGô`°û`YIó`Yπ`ãekÓ`jƒWø`eõ`dG
Ö`LGƒ`dGiOÉ`MCG PLC``dGíÑ°üjá`dÉ◊G√òg‘. Ωóî`à`°ù`ª`dGá``£`°SGƒ`H .kGó```«`≤`©`Jô``ã`cC’GäÉ`ª`«`∏`©`à`∏d∂dPh(á«fÉãdGøe 610/1
PLCsAÉ``æ``H≈``dEGQÉ`μ``aC’G√ò```gäOCGó```bh.Iô```ª``dÉ`Hí``dÉ``°Uô```«```Z
. ´Rƒ```ª`dGAÉ``cò`dGá``jô`¶`fÖ`°ù`M Automation Systemsh PLC ``dG IQhO
h.èeÉfÈ∏dπeÉμdGò«Øæà∏dΩRÓdGø`eõdGƒ``gh PLC`dG≈aIQhó`dGø`eR
Ék æμª`eíÑ°üjπ◊GGògh PLCs≈∏Yá÷É©ŸG™jRƒJ∫ÓNøe∂dPºàjh .É¡à÷É©e܃∏£ŸGäɪ«∏©àdGá©«ÑWhOóY≈∏YIô°TÉÑeóªà©jøeõdGGòg
. PLCs``dGÚHäÉ`eƒ∏©ŸG∫OÉÑàdä’É`°üJEG§`«`°ShOƒ`LhádÉM‘§≤a :»``dÉàdGƒ``ëædG»∏YÉgò«ØæJºàjπMGôeáKÓK»∏YIQhódGiƒà–h
π``ã``e)ó```MGh PLC∫Ó```Nø``e™```jRƒ``à`dGGò``g≥```«`≤`ë`Jø``μ``ª``jɪ`c . ∫É```NOE’GäGó``Mh™`«`ª`Lä’É`M ÜÉ`°ù`à`cEG ¯
: ΩGó`````î`à`°SEÉ`H(Modicon TSXá```ª``¶``fCG . è``eÉ`fô`Ñ`dG(¢ü```ë`ahCG)á``é`dÉ`©`e ¯
.á›ÈdG≥jôWøYπ°üØdGÉ¡«aºàj≈àdGhäÉÑLGƒdGIOó©àeáÑ«côJ ¯ . äÉ```Lhô``î`dG™``«`ª`Lå``jó`ë`J ¯
á`æ`«`©`e∞`FÉ`Xh¢ü`«`°ü`îJÉ¡«aºàj≈àdGhá÷É©ŸGIOó©àeáÑ«côJ ¯ á`©`HÉ`à`eº`àjº`K.±É`≤`jEGôeCG»`£`©j⁄ÉekÉ`«dBGá«∏ª©dG√ògIOÉYEGºàjh
è`dÉ`©`ŸÉHπ`°üà``JÉ¡æ`μdhÉ¡æ«Hɪ«aádhõ`©ehá°ü`°üîàeäÉ`÷É`©`Ÿ ∫ɪYCG∫ÓNπcÉ°ûeájCGiOÉØàd∂dPhIQhOπcájGóH≈aäÓNóŸGádÉM
.»``````°ù``«``Fô``dG á`dÉM≈aπ`¶`JhäÓNóŸGøeIQƒ°UøjõîàH∂dPπªYºàjh.á÷É©ŸG
´RƒŸGAÉcòdGøeáØ∏àıG∫Éμ°TC’G√òg™ªéàJhóLGƒàJ¿CGøμÁɪc ¯ øμª`j»àdGh™bGƒdG‘É¡àdÉMâfÉcɪæjCGIQhódGøeR»bÉH∫GƒWáàHÉK
.óMGƒdG≥«Ñ£àdG≈a IQhó`dGôNBG≈aäÉ`Lô`ıGåjó–ºàjh.IQhódG¢ù`Øf∫Ó`Nô`«`¨`à`J¿CG
.»`LQÉ`î`dGº`dÉ`©dG»`dEGäGQÉ`°TE’G™`«`ª`÷π`eÉ`μdGójó`ë`à`dGº`àjÉ`eóæY
äÉ`````Ñ``LGƒ``dG IOó````©``à`e á```Ñ``«``cô``à``dG º`à`j∂dP¿CGiCGkÉ`«`æª`°VäÉLô`î`ª`dGå``jó`–häÓ`NóŸGÜÉ`°ù`à`cEGºàj
¿ƒ`μj»àdG Subroutinesá`«ÑfÉLèeGôH»∏Y≥«Ñ`£JèeÉfôHiCGiƒàëj . Ωó`````î``à``°ù`ª`dGø``eπ``Nó`J»``dEGá`LÉ`ë`dG¿hó`H
…ƒ`à`°ùeá`©`LGô`e,π¨`°ûdGá©£b™°Vhójó–:IOhófiáØ«XhÉ¡æeπμd
πc¢Sôμj¿CGäÉÑLGƒdGIOó©`à`eáÑ«`cô`à`H PLC``∏døμÁh. ïdG...Ú©e á```````é``dÉ```©```ª``dG á`````Ñ```«``cô``J
.Interrupt™```£`bÖ`LGhhCGiQhOÖ`LGh¤EGÉeEG∞`FÉ`XƒdG√ògøe Ωƒ``≤`jhÖ``LGƒdG-iOÉ`MCG´ƒ`æ``dGøeó``MGhè`dÉ©ª``Hõ``¡`é`e PLC``dG
á`jOÉMCGáÑ«cÎdG√ògÈà©Jh.á``«`©`HÉ`à`Já`≤`jô`£`H¬`Hè`eÉ`fÈdGò«ØæàH
™`«`ª`é`dá`Ñ`°SÉæe»`≤`ÑJhπ`«`¨`°û`à`∏dá`£`«`°ùHÖ`LGƒdGá`jOÉMCGè`dÉ`©ŸG
.ó````«`≤`©`à`dGá`£`°Sƒ`à`ehá`£`°ù`Ñ`ª`dGá`à`ª`JC’Gº`¶`f

11/ 10 10/ 10
IOó````©``à`ª`dG á```é``dÉ``©``ª`dG äGP á``Ñ``«`cô``à`dG á```````jQhó``dG äÉ``Ñ``LGƒ``dG
¢SÉ`«`≤`dGπ`ã`e∞`FÉ`XƒdGø`μ`°ù`JIOó``©`à`ŸGá`÷É©`ŸGäGPá`Ñ«`cÎdG‘ IQhó`dGIó`Ãh(kÉ≤Ñ°ùeOó–»àdG)Égò«ØæJº¶æHájQhódGäÉÑLGƒdGõ«ªàJ
™eá`¡`LGƒ`ŸGhπ`¨`°ûdG™`£`b´É°VhCGó`jó`ë`JhäÉ`«∏`ª©dG‘º`μ`ëàdGh äÉÑ`LGƒdGô`jój¿CG PLC``∏dø`μ`Áh.Ωó`î`à`°ù`ŸGá`£`°SGƒHOó`–≈àdGh
hCGIOófiáØ«XƒdäÉ÷É©e≈ª°ùJ»àdGá°ü°üîàeäÉ÷É©e‹EG,π¨°ûŸG : á`````«`dRÉ`æ`Já`«`∏`°†`aCÉH»∏jɪ«aIQƒcòŸGhá«dÉàdGájQhódG
êGô`NEG/∫É`NOEGäGó`MƒHIOÉYäGó`MƒdG√ògõ«¡Œºàj.AÉcòdGäGó`Mh ™`°Vhá`©LGôeπãeò«ØæàdGá©jô°ShióŸGIó«≤e∫É©aCÓd™jô°SÖLGh ¯
™`e•É`ÑJQGÒ`¨HIOó`ë`ª`dGÉ¡ØFÉXhäGóMƒdG√ògiODƒ``Jh.É¡Há°UÉN .π``¨`°Tá`©`£`b
»`∏YkÉ`≤`Ñ°ùeè`eô`Ñ`eè`eÉfôH∫Ó`Nøe∂dPh PLC``∏d»°ù«FôdGèdÉ©ŸG . á````«`©`HÉ`à`àdGá÷É©ª∏d¢ü`°ü`î`eƒgh…OÉ`«`°SÖ`LGh ¯
. Firmware º`¡`Há`°UÉ`ÿGIô`cGòdGá`Mƒd ´ƒædGøeº`μ`ëàdGπ`ãeá`Yô`°Sπ`bC’Gá`÷É©`ª`∏dIó`YÉ`°ù`ŸGäÉ`Ñ`LGƒdG ¯
É`¡`à`jò`¨`àH∂dPhAÉ`còdGIóMhπ«μ°ûàHáWÉ°ùÑHòÄæ«MΩóîà°ùŸGΩƒ≤jh .Gòμgh...π¨°ûŸGáKOÉfih PID≈∏°VÉØàdG/≈∏eÉμàdG/≈Ñ°SÉæàdG
hAÉ`£`HE’G•É`≤fhOhó``◊Gº`«`bπãe,É¡∏`«`¨°ûàdájQhô`°†dGäGÒ`¨`àŸÉH
Qhó`dGhP,»``°ù`«`FôdGè`dÉ`©ŸGá``Ñ`«`cô`à`dG√òg¢ü`∏î`J.ï`dG...±É`≤`jE’G Interrupt ™``````£``≤``dG Ö`````LGh
á`WÉ°ùÑH¬`àØ«Xhô°ü–h,ájOÉ«àYE’Gá`«dÉààŸGá÷É©ŸGêQÉNOhóÙG »àdGhá«`LQÉ`NçGó`MCGᣰSGƒH§°û`æJh.á«∏°†aCG≈∏YC’GƒgÖLGƒdGGòg
á`jGóH™eπ`«`μ`°û`àdG∫É`°SQEGπãe,AÉ`còdGäGóMhÚHä’É°üJE’GπªY‘ .(ïdG...Ö``£`YQÉ©°û`à°SEGhCGAÉ`cPIóMhø`eÖ`∏W)á`jQƒ`aá÷É©eÖ∏£àJ
¿EÉaºKøeh.èFÉ`à`ædGò`«`Ø`æJh™«`ª`é`Jhä’É`◊GáÑ`bGôehπ`«`¨`°û`àdG
ΩGó`î`à`°SEÉHΩÉ`¶`æ∏dΩÉ©`dGAGOC’Gø°ù–IOó©àŸGá`÷É`©`ŸGäGPáÑ«cÎdG äÉ``Ñ``LGƒ``dG IQGOEG
.(âbƒdG¢ùØf≈a)á«JB’Gá÷É©ŸG »`aá`«`∏`°†`aCG»`∏`YCGÖ``LGhá`£`°SGƒH…QhOÖ`LGhπ`c™`WÉ`≤`j¿CGø`μÁ
äɪ«∏©àdGò«`Ø`æJá`jÉ¡f»``a§`≤aá`dÉ©q aíÑ°üJá©WÉ≤ŸG¿CG’EGá¶◊iCG
IOó````©``à`ª`dG äÉ````¨``∏`dG äGP á``Ñ``«`cô``à`dG ôª`à`°ù`já`«`∏`°†`aCG»`∏`YC’GÖ`LGƒdGò«ØæJº`àjÉŸÉMh.É¡à÷É©e…QÉ÷G
:πãe PLC``dGá›ôH‘á`÷É©ŸG´GƒfC’É¡`Ø`«`«`μ`J”äÉ`¨dΩó`î`à°ùJ á``«`dÉ`à`dGäÉ``ª`«`∏`©`àdGøeá`jGó`H¬`é`eÉfôHò`«`ØæJ»`aiQhó``dGÖ`LGƒ`dG
äÉ`eƒ`°SôdGá`¨dh Ladderº`∏°ùdGá`¨dh Instruction listäÉ`ª«∏©àdGá`¨d .á````©`WÉ`≤`ª`dGá``£`≤`æ`d
∞`FÉ`XƒdGäÓ`«`μ`°û`Já`¨dh Structured textá`«`aô`◊Gá`¨∏dGh Grafcet
PLC``dGè``dÉ`©`eá`£`°SGƒ`HkÉ`«dBGÖ`LGƒ`dGò`«`ØæJhâ`É«`∏°†`aC’GIQGOEGº`àj
§`∏Nøeäɨ∏dGIOó`©àeáÑ`«`cÎ`dGhP PLC``∏døμÁ. Function bloks
øμÁ. PLC``dG¢ù`Øf»∏Yh≥«Ñ`£àdG¢ù`Ø`f‘É¡`°†`©`H™eäÉ`¨`dIó`Y : ¿CGá`WÉ`°ù`ÑHΩóîà°ùŸG»∏Yhá«aÉ°VEGá›ôH»`dEGáLÉ◊G¿hO
.π¨°ûŸGh…ODƒJ≈àdGáØ«XƒdGøeπcÖ°SÉæàdáªFÓŸGá¨∏dGQÉ«àNEG . äÉ``Ñ`LGƒ`dG»``dEG∞`FÉ`Xƒ`dGOó``ë``j ¯
. äÉ``Ñ`LGƒ`dGäGQhOOó``ë``j ¯
äÉ`Ø`°UGƒ`ŸG≈aÉ¡`Øjô`©`J”óbá≤HÉ°ùdGäɨ∏dG™«ªL¿CGôcòdÉHôjóLh . äÉ``Ñ`LGƒ`dGäÉ``Lô`î`ehäÓ``Nó`eOó``ë``j ¯
.IEC 1131á````«``ª`dÉ`©dGá````«``°SÉ`«`≤dG
:IôKDƒeÉjGõeäÉÑLGƒdGIOó©àeáÑ«cÎdGhP PLC``dGôaƒj
äGó``Mhπ``jó`©Jhº`«`ª``°ü`Jø`μ`ª`jh.π`jó`©àdGhº`«`ª`°ü`àdGá`WÉ`°ùH ¯
…ô``NC’G»`∏`YIó``Mhá`jCGOɪ`à`YEG¿hOkÉ«∏cáØ∏àıG∞FÉXƒ∏dè`eÉfÈdG
. π````≤`à`°ù`eπ``μ`°û`Hh
¿hOIó`jó`L∞`FÉXhá`aÉ°VEGø`μ`ª`jå`«`M≥`«`Ñ`£`àdGô`jƒ`£`Já`WÉ`°ùH ¯
. π```ª``©`J»``à`dGhIOƒ``Lƒ`ª`dGè``eGô`Ñ`dÉH¢SÉ`°ù`ª`dG
. á````HÉ``é`à`°SE’Gá``æ`eRCGó``«`°Tô`J ¯

13/ 10 12/ 10
PLC è``eô`Ñ`ª`dG »`≤`£æ`ª`dG º``μ`ë`à`ª`dG PLC è``eô`Ñ`ª`dG »`≤`£æ`ª`dG º``μ`ë`à`ª`dG
Telemecanique PLCs range Telemecanique PLCs range

, Twido`dG¢VôYºàj±ƒ°S, PLC`dGäÉéàæe¢†©Ñd‹ÉàdGÉæ°VôY ‘ Telemecanique PLCs ΩÉ``¶`f äÉ`é`à`æ`e »`∏`Y á`eÉ`Y Iô`¶`f


TSX Quantumh Modicon TSX Premium, Modicon TSX Micro ‘ Telemecanique PLCsäÉéàæeôaGƒàJ
. Modicon äÉLÉ«àMEG™«ªLπª°ûàd∂dPhπjOƒeøeÌcCG
á`eóî`H∫É`°ü`JE’G≈Lôj Twido`dGø`Yπ`«°UÉ`Ø`à`dGø``eó`jõ``Ÿh ™eÉ¡∏«°UƒJøμÁɪc,áØ∏àıGäÉ≤«Ñ£àdG
.(02)4010899AÓ`ª`©`dG ºμëà∏dá∏eÉμàe∫ƒ∏M≈∏Y∫ƒ°üë∏diôNCG᪶fCG
TSX Micro
:É¡æeháÑbGôŸGh
Twido PLC
äÉ«fÉμeEÉHºé◊GÒ¨°U èeóe PLCƒg äGRGô£dGáØ∏àfl PLCIõ¡LCG ¯
ΩÉ«≤dG¬æμÁ,iƒà°ùŸGá«dÉYájò«ØæJ ( HMI)¿É°ùfE’G™eçOÉëàdGΩɶf ¯
h∫ƒNódG•É≤fOóYÉ¡«aπ°üJäÉ«∏ª©H ( SCADA)áÑbGôŸGhºμëàdG᪶fCG ¯
≈àMπ«°UƒJøμÁh.á£≤f48¤EGêhôÿG
TSX Premium
ÚHä’É°üJEGáμÑ°T≥jôWøY∂dPπcºàj
Iô°TÉÑeä’É°üJEGáμÑ°T∫ÓNøeRÉ¡L28
á∏eÉμàŸGáeƒ¶æŸG¿ƒμàdÉ¡°†©HhIõ¡LC’G
.Îe200áaÉ°ùe»∏Y
.áÑbGôŸGhºμëàdGádƒ¡°SôaƒJ≈àdG
•É≤fäGPäÉ≤«Ñ£àdk’ƒ∏MäGRGôW≈£¨J
á£≤f65000h10ÚHìhGÎJêGôNEG/∫ÉNOEG
:»gh

TSX Quantum ¯
Twido
¯
Modicon TSX Micro
Modicon TSX Premium ¯
Modicon TSX Quantum ¯

15/ 10 14/ 10
PLC è``eô`Ñ`ª`dG »`≤`£æ`ª`dG º``μ`ë`à`ª`dG PLC è``eô`Ñ`ª`dG »`≤`£æ`ª`dG º``μ`ë`à`ª`dG
Twido I/O modules Twido compact & Twido modular

TWDAMI2HT TWDDDI32DK TWDDRA16RT TWDLCAA24DRF TWDLMDA40DUK

Twido analog I/O modules, 24 V d.c. Twido compact, 100…240 V a.c.


Nb.of Inputs Outputs Reference Nb.of Discrete Discrete Possible I/O Reference
I/O range range discrete inputs outputs extension
2 in 0…10V, 4…20mA - TWDAMI2HT (1) I/O 24Vd.c. modules
4 in type K, J, T-Pt100 - TWDAMI4LT (1) 10 6 4 relay - TWDLCAA10DRF (1)
8 in 0…10V, 4…20mA - TWDAMI8HT (1) 16 9 7 relay - TWDLCAA16DRF (1)
1 out - 0…10V, 4…20mA TWDAMO1HT (1) 24 14 10 relay 4 TWDLCAA24DRF (1)
2 out - ± 10V, 4…20mA TWDAVO2HT (1) 40 24 14 relay 7 TWDLCAA40DRF (1)
2 in/1 out 0…10V, 4…20mA 0…10V, 4…20mA TWDAMM3HT (1)
2 in/1 out type K, J, T-Pt100 0…10V, 4…20mA TWDALM3LT (1) Twido compact, 24 V d.c.
Nb.of Discrete Discrete Possible I/O Reference
Twido discrete I/O modules discrete inputs outputs extension
Nb.of Logical Type Reference I/O 24Vd.c. modules
discrete input 10 6 4 relay - TWDLCDA10DRF (1)
I/O 16 9 7 relay - TWDLCDA16DRF (1)
8 sink inputs 24 Vd.c. TWDDDI8DT (1) 24 14 10 relay 4 TWDLCDA24DRF (1)
inputs 120 Va.c. TWDDAI8DT (1)
relay outputs 2 A TWDDRA8RT (1) Twido modular, 24 V d.c.
transistor out 0,1 A TWDDDO8TT (1) Nb.of Discrete Discrete Possible I/O Reference
4 in/4 out sink/source inputs 24 Vd.c. discrete inputs outputs extension
relay outputs 2 A TWDDMM8DRT (1) I/O 24Vd.c. modules
16 sink inputs 24 Vd.c. TWDDDI16DT (1) 20 12 8 source transistor 4 TWDLMDA20DTK (2)
source inputs 24 Vd.c. TWDDDI16DK (2) 8 sink transistor 4 TWDLMDA20DUK (2)
relay outputs 2 A TWDDRA16RT (1) 6 relay and
2 source transistor 7 TWDLMDA20DRT (3)
transistor out 0,1 A TWDDDO16TK (2)
40 24 16 source transistor 7 TWDLMDA40DTK (2)
32 sink/source inputs 24 Vd.c. TWDDDI32DK (2)
16 sink transistor 7 TWDLMDA40DUK (2)
transistor out 0,1 A TWDDDO32TK (2)
(1) Connection type: non-removable screw terminals.
(1) Connection type: removable screw terminals. (2) Connection type: HE 10 connectors.
(2) Connection type: HE 10 connectors. (3) Connection type: removable screw terminals.

17/ 10 16/ 10
PLC è``eô`Ñ`ª`dG »`≤`£æ`ª`dG º``μ`ë`à`ª`dG PLC è``eô`Ñ`ª`dG »`≤`£æ`ª`dG º``μ`ë`à`ª`dG
Twido PLC communication modules Twido PLC accessories

TWDNOZ232D TWDNOZ485T TWDXCPODM TWDXCPRTC TWDSPU1001V10M

Twido communication modules Twido accessories


Description Reference Description Reference
Serial interface RS 232C, mini-DIN connector TWDNOZ232D Cable L = 3 m, for modular bases
module RS 485, mini-DIN connector TWDNOZ485D TWDLMDA20DTK / 40DTK TWDFCW30M
RS 485, screw terminals TWDNOZ485T L = 5 m, for modular bases
TWDLMDA20DTK / 40DTK TWDFCW50M
Serial interface RS 232C, mini-DIN connector TWDNAC232D
L = 3 m, for inputs
adaptor RS 485, mini-DIN connector TWDNAC485D
TWDDI16DK / 32DK TWDFCW30K
RS 485, screw terminals TWDNAC485T
L = 5 m, for inputs
CANopen expansion TWDNCO1M TWDDI16DK / 32DK TWDFCW50K
Ethernet interface 499TWD01100 L = 3 m, for outputs
TWDO16TK / 32TK TWDFCW30K
L = 5 m, for outputs
TWDO16TK / 32TK TWDFCW50K
Telefast sub-bases L = 1 m, for modular bases
TWDLMDA20DTK / 40DTK TWDFST20DR10
L = 2 m, for modular bases
TWDLMDA20DTK / 40DTK TWDFST20DR20
L = 1 m, for inputs
TWDDI16DK / 32DK TWDFST16D10
L = 2 m, for inputs
TWDDI16DK / 32DK TWDFST16D20
L = 1 m, for outputs
TWDO16TK / 32TK TWDFST16DR10
L = 2 m, for outputs
TWDO16TK / 32TK TWDFST16DR20
Memory cartridge TWDXCPMFK32
Real-time clock TWDXCPRTC
Display unit TWDXCPODM
TwidoSoft software with cable TWDSPU1001V10M

19/ 10 18/ 10

Das könnte Ihnen auch gefallen