Beruflich Dokumente
Kultur Dokumente
TECHNIQUES – AL HOCAÏMA
Titre :
1
Sommaire :
I.INTRODUCTION…………………………………………………………….3
I.1 MODELSIM………………………………………………….…………………………….3
I.2 VHDL…………………………………………………….……………………………….3
II. DEROULEMENT :…………………………………………………………3
II.1 Lancement de ModelSim et création d’un nouveau composant Add 1 –bit :……3
III. SIMULATION …………………………………………………………….6
IV. CONCLUSION …………………………………………………………..7
2
I.INTRODUCTION :
II. DEROULEMENT :
3
6. Ensuite dans la zone architecture, donner les équations de l’additionneur.
4
5
III. SIMULATION :
Il est maintenant temps de simuler, toutes les entrées ont été définies avec les valeurs initiales et tout est
prêt pour une simulation. Pour exécuter la simulation, appuyez sur le bouton d’exécution.
-Wave (add-1bit) :
-Wave ( Testbench)
6
IV. CONCLUSION :
Ce travail est un outil qui nous facilite la conception des circuits ainsi nous permettant de
passer de la réflexion à la pratique.
Nous commençons dans un premier temps, par la description fait par le langage VHDL, et
ensuite comment travailler et simuler sur le logiciel ModelSim.
7
Annexe 1 ( Add)
library ieee;
use ieee.std_logic_1164.all;
ENTITY Add IS
port(A, B, Cin: in STD_LOGIC;
S, Cout: out STD_LOGIC);
END ENTITY Add;
begin
S <= ( A xor B xor Cin);
Cout <= (A and B ) or ( Cin xor (A and B));
end arch;
Annexe 2 (Testbensh)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
ENTITY Add IS
END Add;
--Architecture
ARCHITECTURE test OF Add IS
END COMPONENT;
-- Déclaration des signaux de test --
BEGIN
process
BEGIN
8
A_tb <='0'; B_tb <='1'; Cin_tb <='0';
END PROCESS;
END test;