Sie sind auf Seite 1von 4

DESIGN-FOR-TEST

Facilitating at-speed test


at RTL (Part 2)
By Dr. Ralph Marlett deep sub-micron (DSM) defects
Product Director associated with at-speed testing.
Atrenta Inc. It is touted to provide accurate RTL
fault coverage estimation for tran-
and sition delay testing, together with
diagnostics for low fault coverage,
Kiran Vittal early in the design flow. Figure 2: PLL clock source rule.
Product Marketing Director The overall flow for Atrenta’s
Atrenta Inc. complete RTL testability solution
is shown in figure 1.
Part 1 of this series discusses the
problems with at-speed testing, Timing closure rules
and the various defect models and Here are some examples of at-
manufacturing test techniques. speed rules. These rules identify
This part will tackle at-speed tim- issues caused by clocks used in
ing closure rules and at-speed at-speed tests for timing closure Figure 3: PLL reset rule.
coverage. It also looks into the as well as low coverage.
at-speed coverage estimation and PLL clock rule. This rule veri-
diagnosis of SpyGlass-DFT DSM. fies that the PLL reference clock
The SpyGlass-DFT DSM can be controlled from root level
product provides timing closure ports. Compliance with this rule
analysis and RTL testability for is required to simplify PLL control

Figure 4: PLL clock connection rule.

Figure 5: Asynchronous clocks rule.

Figure 1: Complete RTL analysis solution for stuck-at and at-speed testing. Figure 6: Synchronous clocks rule.

1 eetindia.com | EE Times-India
during at-speed test mode. The
example shown in figure 2 would
be flagged as a violation.
PLL resest rule. This rule verifies
that the PLL control inputs can
be controlled from root level
ports. Compliance with this rule
is required to simplify PLL control
during at-speed test mode. The
example shown in figure 3 for
a PLL reset would be flagged as a
violation. Figure 8: Clock domain crossing – Red path indicates invalid faults.
PLL connection rule. All flip-
flops must be PLL controlled in
at-speed test mode. The flip-flop
illustrated in figure 4 would be
flagged as a violation. Compliance
with this rule maximises the at-
speed coverage.
Asynchronous logic in the
functional mode should not in-
teract synchronously in the test
mode. In the example in figure
5, any paths that cross the clock Figure 9: Mixed domains – Green path indicates valid faults.
domains Clk1 and Clk2 will be
treated as if they were legitimate at internal nodes, then this rule in figure 9 are launched and complement of the scan-in state.
at-speed candidates when, if fact, will verify that it can be achieved. captured on the same clock and A rising edge event requires the
they are asynchronous in system therefore are at-speed testable. scan-in of 0 and a next state on
mode. Configuring this logic with At-speed vs stuck-at Stuck-at coverage depends the D-pin of the launch flip-flop
a single test clock could result in At speed fault selection. The strict on the amount of scan in the to be a 1. A falling edge event re-
decreased yield. clocking requirement for at-speed design. Ignoring such factors as quires the scan-in of 1 and a next
Synchronous logic in the func- testing implies that the launching latch transparency or black boxes, state on the D-pin of the launch
tional mode should not interact clock and the capturing clock be if all flip-flops are scannable, flip-flop to be a 0.
asynchronously in the test mode. synchronous. Transition faults on then nearly 100% test coverage If some flip-flops in the D-pin
If this rule is not followed then the path illustrated in red in fig- is a reasonable expectation since fan-in cone of a launch flip-flop
some at-speed faults will be con- ure 8 are launched by Clk1 but tests for stuck-at faults requires are not scannable, then the next
sidered as untestable which can captured on Clk2. If Clk1 and Clk2 that the fault be controlled and state function of that flip-flop
result in passing chips that will not are not synchronous then the rela- observed. As scan is added to a may not be controllable. Such un-
operate properly at system speed. tive timing between them cannot circuit, the fraction of logic that controllable next state functions
In figure 6, all paths between be guaranteed. The conclusion is can be controlled and observed prevent flip-flops from launching
Logic1 and Logic2 would not be that the candidates for at speed increases and therefore test cover- dynamic events. The result is
tested. tests must be in the same clock age for stuck-at faults increases. that coverage of at-speed tests,
Required frequencies must be domain. Skew management SpyGlass-DFT treats the Q-pins using the launch on first capture
achieved. Constraints for PLL logic within a domain ensures that two of scannable flip-flops as control- method, is generally less than the
provide for specifying frequencies clock tests can be reliably per- lable and D-pins as observable so, stuck-at coverage. A fundamental
and frequency multipliers. In the formed within the domain. as the scan-ability increases, the reason is that the output control-
example in figure 7, if the user has Notice that transition faults estimated test coverage increases. lability of scan flip-flops becomes
specified the required frequencies along the path marked in green In launch by first capture at- dependent on the system mode
speed tests, not only must control controllability of their D-pins.
and observe of the transition pin ASIC vendors have reported
be satisfied (similar to stuck-at that even when the stuck-at
testing) but a third condition is coverage is in the high 90’s, it is
also necessary to have control on often the case that the at-speed
the D-pin of the scan flop. Scan- coverage is much less. Vendors
ability of the logic combination- also report that none of their
ally connected to the transition current software tools give any
pin provides the necessary control clue as to how to fix the problem
and observe so that the first two or are even able to predict this
conditions are satisfied. coverage drop at the RTL stage.
The next state of the launch Test engineers must run at-speed
Figure 7: Required frequencies for at-speed test must be achieved. flip-flop must be controlled to the ATPG to determine coverage.

2 eetindia.com | EE Times-India
Effort to fix low coverage during
ATPG affects design schedules,
or designers compromise on test
quality if it is too late to make de-
sign changes.

Calculation overview
Launch events. Any scannable flip-
flop, whose D-pin has incomplete
controllability in system mode,
will jeopardise at-speed coverage
for logic fed by this flip-flop. For
example, if the D-pin cannot be
controlled to either 0 or 1 then no
dynamic events can be launched
from this flip-flop. If the D-pin can
be controlled to 0 but not 1, then
1 => 0 events can be launched
but not 0 => 1 events. Finally, if
the D-pin can be controlled to 1
but not 0 then only 0 => 1 event
can be launched.
Clock domains. At-speed tests
require the launch clock and the
capture clock to be the same Figure 10: SpyGlass-DFT DSM Info_transitionCoverage rule and diagnostics/
clock. This means that only paths
that begin and end on flip-flops
on the same test clock are candi-
dates for at-speed testing. If this
is not the case, clock timing is
not guaranteed and therefore at-
speed testing would not produce
reliable results.
False paths and multi-cycle
paths. Faults on false paths can be
excluded from the test coverage
calculation but will be included in
the fault coverage.
Faults on multi-cycle paths will
be included in test coverage if the
number of registers on a multi-
cycle path is less than or is equal
to the number of capture clocks. Figure 11: D-pin uncontrollable in at-speed mode.
Otherwise, such faults will not be
included in test coverage.
Diagnostics. As described in
the previous section, an impor-
tant reason for low of at-speed
coverage is uncontrollability for
the next state functions. The test-
ability analysis of SpyGlass-DFT
DSM determines which flip-flops
have uncontrollable D-pins. The
fan-in cones for these D-pins
are then analysed to identify the
cause of uncontrollability such
as non-scanned flip-flop, black
box, non-transparent latch or
X-generator. Figure 10 shows
a sample testability report from
SpyGlass-DFT DSM. Figure 12: Blocked at-speed faults.

3 eetindia.com | EE Times-India
Causes of low at-speed caused by flip-flops that are not ample of this is shown in figure 12. tion thus saves weeks of effort by
coverage scanned, by black boxes that are fixing potential issues up-front.
Uncontrollability. As discussed not scanned wrapped or other Summary The SpyGlass-DFT DSM a tool
earlier, incomplete controllability types of X-generators. This series discusses at-speed that is touted to accelerate design
for next state functions in system The flip-flop coloured in red in testing challenges and discusses turnaround times by identifying
mode is a cause for decreased figure 11 is not controllable be- a solution for facilitating at-speed timing closure issues caused by
at-speed coverage. Such cases cause of the X-source in its fan-in test at the register-transfer level. at-speed testing – early at RTL. It
prevent launch events and thereby cone. The RTL approach is important provides RTL fault coverage esti-
prevent generation of specific tests Blocked paths. Test mode sig- because designers and test engi- mation for transition delay testing,
even though such transitions may nals may block functional paths neers usually verify the test cover- together with diagnostics for low
be possible in normal functions. so that faults along these paths age only at the gate level during fault coverage early in the design
This uncontrollability may be cannot be at-speed tested. An ex- the final ATPG stage. This RTL solu- flow.

4 eetindia.com | EE Times-India

Das könnte Ihnen auch gefallen