Sie sind auf Seite 1von 26

Page

Examen National d’obtention du Brevet de Technicien


Supérieur
1
Centre National de l'Evaluation, des Examens et
d’orientation Session Mai 2014
26

Filière: Systèmes Electroniques SE Durée: 4 Heures

Épreuve: Electronique Coefficient: 35

Arrosage Automatique de pelouse

A. Présentation du système.

I. Mise en situation du système


Le système permet l’arrosage automatique d’une pelouse du terrain de foot Ball.
Cette pelouse nécessite une irrigation abondante en été afin de pouvoir éviter la sécheresse du sol. Or,
lorsque l'on irrigue un terrain, on ne sait jamais si la quantité d'eau apportée est suffisante ou si l'arrosage
est réalisé au bon moment avec un maximum d’efficacité. Pour minimiser l'évaporation d’eau d’arrosage
et pour ne pas brûler les feuilles du gazon, il faut éviter que l'ensoleillement ne soit pas trop élevé
pendant l'irrigation. Il est également préférable d'arroser en petites quantités pour obtenir une meilleure
pénétration de l'eau dans le terrain et éviter ainsi le gaspillage.

II. Introduction à la technique d’arrosage du gazon


But :
 Compenser le déficit en eau de pluie durant la période d'avril à septembre et arroser même durant
cette période si la terre de la pelouse devient sèche ;
 Eviter le flétrissement, garantir la couleur, L’aspect et la possibilité de croissance du gazon ;
 Diminuer la température du sol en cas de fortes chaleurs et limiter les pertes par
évapotranspiration.
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
2
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Cycle d’arrosage:
Il faut attendre les premiers signes de flétrissement du gazon et on ne doit pas arroser en période de
plein soleil à cause des pertes par évaporation, ni par vent violent parce que la répartition uniforme de
l'eau n'est plus garantie.

Besoins en eau :
Les besoins en eau s’élèvent à 3L / m2 / jour, en moyenne, d'avril à septembre. Ils dépendent de la
température, du déficit de saturation, du déplacement de l'air, ainsi que du pouvoir de rétention du sol.

Consommation d’eau :
La consommation moyenne journalière, pour une température à midi est de :
 2L / m2 pour 20°C
 3L / m2 pour 25°C
 5L / m2 pour 30°C
 7L / m2 pour 35°C.
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
3
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Quantité d’eau par arrosage :


Il faut envisager 20 à 25 L / m2, à saturation, pour humidifier en profondeur, c’est à dire toute la
couche portante du gazon de 10 à 15 cm de profondeur. Sinon on obtient un enracinement très
superficiel.
Fréquence d’arrosage :
La fréquence d’arrosage dépend des précipitations, des besoins en eau, et de la température :
Un intervalle d’environ 7 à 14 jours doit être envisagé.

III. Schéma d’emplacement des arroseurs et du site de contrôle:

Arroseur

Site de contrôle
sous terrain

IV. Fonctionnement du système d’arrosage :

L’acquisition des grandeurs : l’humidité, l’ensoleillement, la vitesse du vent et la température se


fait par des capteurs suivis par des conditionneurs, des convertisseurs analogiques numériques et d’un
contrôleur de transfert des données permettant d’envoyer les informations numériques captées, via un
bus C.A.N, vers le système de contrôle d’arrosage.
Le bus C.A.N organise le transfert entre les systèmes locaux d’acquisition des grandeurs physiques,
le système de contrôle d’électrovanne, et le système de contrôle d’arrosage.
Ce dernier communique, par voie hertzienne, avec la salle de contrôle globale distante en lui envoyant
les données numériques par modulation de phase de type Q.P.S.K. Ces données servent pour
l’archivage et les statistiques pour utilisation ultérieure.
Le schéma fonctionnel du système d’arrosage est celui de la figure ci-dessous :
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
4
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Capteur de la Capteur de
température l’humidité

Conditionneur Conditionneur

Conversion Conversion
numérique + numérique +
Transfert Transfert
Source d’eau

Système de
contrôle
Bus C.A.N Contrôle
d’arrosage d’Electrovanne Electrovanne

Conversion Conversion
Antenne d’émission numérique + numérique + Vers les arroseurs
Transfert Transfert

Conditionneur Conditionneur

Capteur de Capteur de
vitesse du vent l’ensoleillement

Antenne de réception

Salle de
contrôle
globale
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
5
Filière : Systèmes Electroniques SE Épreuve : Electronique
26
Questionnaire
Le schéma structurel du système est donné en pages11 et 12.

PARTIE A : Multiplexage et échantillonnage.


A-1. Multiplexage

Pour cette partie, voir les documents constructeurs du CD74HC238 (Annexe 1) et CD4066 (Annexe 2).

Q.1. Donner l’état logique des sortie Y0 à Y7 si E3= « 0 » et A0=A1=A2=0


Q.2. Donner l’état logique des sortie Y0 à Y7 si E3= « 1 » et A0=A1=A2=0
Q.3. Quelle est la fonction réalisée par le circuit CD4066 ?
Q.4. Pour sélectionner le capteur de l’ensoleillement, quels sont les niveaux logiques à donner à
A0,A1 et la broche 6 (pin 6) du CD74HC238 ?

A-2. Echantillonneur/bloqueur:

On utilise le circuit LF398 (Annexe 3).


Q.5. Quelles sont les valeurs limites (min et max) de la capacité du condensateur du bloqueur ?
Q.6. Déterminer le temps maximal d’acquisition de la grandeur à échantillonner pour une
capacité de blocage de 0.01μF avec une précision de 0.1%.
Q.7. Conclure sur le choix du condensateur sachant que la fréquence d’échantillonnage est de
10Khz.
Q.8. La sortie du bloqueur attaque l’entrée Vin+ (broche 6) du convertisseur analogique
numérique ADC0804 (Annexe 4), quelle est sa capacité d’entrée ? (la broche Vin- est reliée
à la masse).

PARTIE B : La conversion analogique numérique.

La conversion analogique numérique est réalisée par le circuit AD0804 (Annexe 4).
Q.9. Quelle est la méthode de conversion utilisée par ce circuit ?
Q.10. Quelle est la plage de variation de la tension analogique d’entrée à convertir ?
Q.11. Quelle est la résolution du circuit AD0804 ? Calculer son quantum q.
Q.12. La plage de variation de la tension Va issue du conditionneur est de 0 à 7,5V, elle attaque un
montage pour l’adapter au convertisseur.

Circuit Vin+
Va d’adaptation
(à déterminer) Vin-

Proposer un montage simple pour réaliser cette fonction et calculer ses éléments.
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
6
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Q.13. Donner les valeurs binaires correspondantes aux entrées analogiques du convertisseur de
valeurs respectivement égale à 0,88V puis à 2V.

PARTIE C : Gestion de la communication.


La communication entre les organes du système d’arrosage est gérée par un bus CAN.
Avant de traiter cette partie, il est vivement conseillé de lire le document donné en Annexe 5.
Tous les nœuds branchés au bus CAN, peuvent utiliser ce bus; par conséquent, à un instant
donné, ces nœuds peuvent être amenés à vouloir transmettre leurs messages. Pour résoudre le
conflit de prise du bus, le protocole CAN utilise une procédure d’arbitrage.

Q.14. Combien d’esclaves sont-ils gérés par le bus CAN du système d’arrosage étudié ?
Q.15. Donner le nombre des bits du champ identificateur du standard CAN 2.A.
Q.16. Calculer alors le nombre maximal d’identificateurs distincts que permet de coder le standard
CAN 2.A.
Q.17. Déterminer le débit binaire maximal du bus utilisé.
Q.18. A un instant donné, trois nœuds (N1, N2, N3) d’identificateurs respectifs 0x61E, 0x52A et
0x560, souhaitent émettre leurs messages. Identifier le nœud qui transmettra son message.
Justifier votre réponse.
Q.19. Tracer les chronogrammes du processus d’arbitrage (sur le document réponse DR).
Q.20. Relever l’instant de perte d’arbitrage de chaque nœuds.

Le message de bits suivants « 0111100000000001111100001111110 » va être soumis au bit-


stuffing (ou bit de bourrage).

Q.21. Quel est le principe du bit stuffing ? .


Q.22. Donner le message final après l’ajout des bits de bourrage.

PARTIE D : Fonction mémorisation.


Pour le stockage de la température, on utilise une mémoire FM24CL64 (Annexe 6) qui
communique avec le pic via le bus I2C« Inter Integrated Circuit ». Ce bus est caractérisé par une
liaison en mode série réalisée à l'aide de 2 fils.

Architecture du bus I2C:

SDA : signal de donnée, généré par le Maître ou l'Esclave.

SCL : signal d'horloge généré par le Maître.

La communication sur le bus est orchestrée de la manière suivante :


Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
7
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Le Maître envoie sur le bus l'adresse du composant avec qui il souhaite communiquer, chacun
des esclaves ayant une adresse fixe. L'esclave qui reconnaît son adresse répond à son tour par un
signal de confirmation, puis le Maître continue la procédure de communication (écriture/lecture).
Dans tous les cas, les transactions seront confirmées par un acquittement (ACK).

Q.23. Quelle est l’avantage d’utilisation de la mémoire FRAM par rapport à une RAM standard ?
Q.24. Donner la capacité de cette mémoire.
Q.25. Donner la fréquence maximale du signal d'horloge supportée par cette mémoire.
Q.26. Expliquer le rôle des broches A0, A1 et A2.
Q.27. En déduire le nombre de boitiers mémoires identiques qu’on peut placer sur le même bus ?
Q.28. La FM24CL64 possède une adresse fixe sur le bus qui est : 1010XXX. L’adresse I2C de la
FRAM est 51h, quels sont les niveaux logiques à placer sur les broches A2, A1 et A0.(h :
Hexadécimal).
Q.29. Quel est le rôle de l’entrée WP (broche 7) ?
Q.30. Quel est le niveau logique à placer sur la broche 24 (RC5/SD0) du PIC 16F877pour écrire
les données dans la mémoire ? (Voir schéma de fonction mémorisation).

Sachant que la température de valeur 30°C correspond à une tension pleine échelle du
convertisseur analogique numérique.

Q.31. On désire convertir et stocker une température de 18°C, donner la valeur binaire
correspondante à cette mesure.(Annexe 4).
Q.32. Le pic qui gère le bus I2C stocke cette valeur à l’adresse 00A8h, tracer les échanges
effectués sur le réseau (trame SDA).(sur le document réponse).
Q.33. Ecrire l’échange effectué sur le réseau (trame SDA) pour l’écriture de deux valeurs de
température mesurées à intervalle différents de valeur E5h et E7h respectivement aux
adresses 00BEh et 00BFh.(sur le document réponse).

PARTIE E:Acquisition de la grandeur humidité.

L’acquisition de l’humidité est faite par un oscillateur. Ce dernier fournit une fréquence image de
l’humidité. Pour mesurer cette fréquence, on utilise le TIMER du PIC 16F57 (Annexe 7) qui est
piloté par une horloge de fréquence Fosc=100Khz. On rappelle que la fréquence de l’horloge
interne du PIC est : Fcycle=Fosc/4.
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
8
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Le principe consiste à piloter le Timer par la fréquence à mesurer, issue de l’oscillateur, pendant
une durée connue (Temporisation) et la valeur comptée par le Timer est fonction de l’humidité
(voir organigramme en Annexe8).

Q.34. Calculer la durée d’un cycle machine.


Q.35. Sur le document réponse, donner les valeurs à affecter aux bits du registre « OPTION-
REGISTER », en tenant compte des indications suivantes:
Comptage sur front montant, Prescaler: division par 8, et assigné au Timer0.
Q.36. Calculer la durée de la temporisation réalisée par le « sous-programme temporisation »
donné en Annexe 8.

On désire implémenter en VHDL le circuit intégré CD74HC238 (Voir Annexe 1).

Q.37. Compléter l’entité ci-dessous :

Library IEEE ;
Use IEEE.std_logic_1164.all ;
Entity circuit_CD74HC238 is
Port (A0,………….: …..std_logic;
Y0,Y1,…….…: ….. std_logic);
End …………… ;
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
9
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Barème de notation :
1°/----------------- 2points 13°/-----------------2points 25°/----------------- 1point
2°/----------------- 1point 14°/----------------- 1point 26°/----------------- 2points
3°/----------------- 1point 15°/----------------- 1point 27°/----------------- 2points
4°/----------------- 1point 16°/----------------- 2points 28°/----------------- 2points
5°/----------------- 1point 17°/----------------- 1point 29°/----------------- 1point
6°/----------------- 2points 18°/----------------- 1point 30°/----------------- 1point
7°/----------------- 1point 19°/----------------- 3points 31°/----------------- 2points
8°/----------------- 1point 20°/----------------- 2points 32°/----------------- 2points
9°/----------------- 1point 21°/----------------- 1point 33°/----------------- 3points
10°/---------------- 1point 22°/----------------- 2points 34°/----------------- 1point
11°/---------------- 2points 23°/----------------- 2points 35°/----------------- 2points
12°/---------------- 2points 24°/----------------- 1point 36°/----------------- 3points
37°/----------------- 3points
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
10
Filière : Systèmes Electroniques SE Épreuve: Electronique
26

Document réponse (à rendre avec la copie)

Q.19 :

N1

N2

N3

Bus

0 1 2 3 4 5 6 7 8 9 10 11 12 Instants
Q.32 :

Q.33:

Q.35: OPTION REGITER

- - TOCS TOSE PSA PS2 PS1 PS0


- -
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
11
Filière : Systèmes Electroniques SE Épreuve : Electronique
26

Schéma du système d’acquisition

A0 A0
A1 A1
A2
E3 E3
E2
E1
Contrôleur et adaptateur
Capteur de température Oscillateur du bus CAN

Capteur d’ensoleillement
Capteur
Capteur de la vitesse du d’humidité = 5V
vent

TOCKI
MCLR/V A0
PP
A1
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
12
Filière : Systèmes Electroniques SE Épreuve: Electronique
26

Schéma de la fonction mémorisation


Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
13
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 1
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
14
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 1
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
15
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 2
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
16
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 3
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
17
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 3
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
18
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 4
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
19
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 4
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
20
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 5 : Bus CAN
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
21
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 5
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
22
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe 6
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
23
Filière : Systèmes Electroniques SE Épreuve: Electronique
26

Annexe 6
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
24
Filière : Systèmes Electroniques SE Épreuve: Electronique
26
Annexe7
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
25
Filière : Systèmes Electroniques SE Épreuve: Electronique
26

Annexe7
Page
Examen National d’obtention du Brevet de Technicien Supérieur - Session Mai 2014
26
Filière : Systèmes Electroniques SE Épreuve: Electronique
26

Annexe 8

Algorithme demesure de l’humidité

Début

Configurer le Timer

RAZ Timer
Sous-programme de temporisation

INSTRUCTION Opération Nbr. cycles


Démarrer le Timer machine
W  0XF0 (la valeur) 1
Tempo MOVLW 0XF0
MOVWF 0X0A 0X0A (W) 1
Temporisation ET NOP 1
NOP 1
NOP 1
DECF 0X0F ,1 0X0F(0X0F)-1 1
Arrêter Timer BTFSC STATUS , Z Saut si STATUS.Z=1 1
GOTO FIN 2
GOTO ET 2
Lire et mémoriser la FIN END
valeur du Timer

Quitter le
programme
?

Fin

Das könnte Ihnen auch gefallen