Sie sind auf Seite 1von 34

1 2 3 4 5 6 7 8

OA8 BLOCK DIAGRAM


BANIAS / Montara-GME / ICH4-M
A DOTHAN A

CPU THERMAL CPU BANIAS/DOTHAN


CPU VRM BATT
SENSOR
PAGE 4 PAGE 33 CHARGER PAGE 29
MAX6648MUA 478 Pins (micro FC-BGA)

PAGE 4, 5 DISCHARGE SYSTEM


PAGE 30 POWER PAGE 31, 32
P SB
4X100MHZ (Dothan / Montara-GM+ / ICH4-M) CLOCK GEN
TV encoder D VO CY28346 or
Chrontel 7011 PAGE 11
ICS950810
DDR I/F 2.5V, 266MHz DDR-SODIMM1 PAGE 3
R.G,B NORTH BRIDGE
CRT port PAGE 11 PAGE 8
Montra-GME
732 micro-FCBGA
B LV DS B
LCD Panel PAGE 10
DDR-SODIMM2 Port Replicator
INT VGA/CLK PAGE 8
PAGE 6, 7, 8
D C Jack
CRT port(VGA & S-Video)
Audi o jacks(Anal og & Digital)
Ethernet port
66MHZ KB/Mouse,Seri al port
USBx3(3,4,5), Paral l el port
PG 33

33MHZ, 3.3V PCI


USB PORT 0 USB 2.0
USB PORT 1 SOUTH BRIDGE
A C 97
PAGE 25
ICH4-M
421 BGA
1st IDE - HDD ATA 66/100
PAGE 12
MDC AC97 MINI-PCI CARDBUS / IEEE 1394
C C
ATA 66/100 PAGE 12,13,14 BROADCOM SIGMATEL FLASH MEMORY CARD
9752 RICOH R5C593
2nd IDE - CDROM PAGE 19 PAGE 21 PAGE 19 PAGE 16,17,18
PAGE 15

3.3V LPC, 33MHz


LAN PHY AMP CARDBUS MEDIA 4 IN1
1394
SLOT
WIRE S L OT C O NN
INTEL TPA0312
82562ET
PAGE 22 PAGE 18 PAGE 17 PAGE 18
PAGE 20
SIO PC87391
100 Pins TQFP NS PC87591
PAGE 33 JACK
LQFP 176
PAGE 23
PAGE 24 RJ45 RJ11
D D

Serial Parallel
PAGE 34 PROJECT :OA8
FAN Touchpad Keyboard FLASH Quanta Computer Inc.
DIGITIZER PAGE 26 PAGE 26 PAGE 26 PAGE 24
S ize D o c u m e n t N umber R ev
COM2 B L O C K D I A G RA M 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 1 of 34
1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

Voltage Rails Core voltage for Processor ON S0~S1 ON S3 ON S4 ON S5 Control signal

CPU_CORE Core voltage for Processor X VR_ON


A +VCCP 1.05V rail for Processor I/O X VR_ON A
+1.2V 1.2V for Montara GM Core X VR_ON
SMDDR_VREF 1.25V for DDR Reference voltage X X SUSON
SMDDR_VTERM 1.25V for DDR Termination voltage X MAINON

1.5V_S5 X X X S5_ON
+1.5V X MAINON

+1.8V X MAINON

2.5VSUS X X SUSON
+2.5V X MAINON GM GME
X MAINON
1. VCC: 1.2V 1.35V
3V_591 X X X X VL PR86(10K/F)
3VSUS X X SUSON PR88(14K/F)
+3V X MAINON
2. HLRCOMP R44: 27.4/F 37.4/F
B 5VPCU X X X X VL B
5VSUS X X SUSON
+5V X MAINON 1 MGM+ HLRCOMP resistor needs to change from 27.4 ohm to 37.4 ohm
2 Layout change for DDR333 (also MCH strapping changes)
+12V X MAINON 3 MGM+ PSW ING and HLVREF resistor value changes if referencing to MGM+ VCC Core.
12VOUT X X X X VL

PCI DEVICE IDSEL# REQ/GNT# Interrupts

RICOH 593 AD21 3/3 B,C,G

MINI-PCI AD20 1/1 E,F,

LAN(10/100) (AD24 internal)


C C

D
QUANTA D

Title
COMPUTER
INFORMATION
Size Document Number R ev
A4 1A
O A8
Date: Saturday, October 11, 2003 Sheet 2 of 34
1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

S2 S1 S0 CPU 3V66[0..4] 3V66_5/66IN


1 0 0 66 66IN 66 Input L 27 F B M2125HM330
V DDA _CK G
+ 3V
1 0 1 100 66IN 66 Input R296 33
1 4 M _ TV (11)
3 00 ohms@100Mhz
1 1 0 200 66IN 66 Input R273 4 9 . 9 /F R298 33
1 4 M_S IO (33)
C324 C322 R257 4 9 . 9 /F
1 1 1 133 66IN 66 Input C422 . 01U 1 0 U / 1 0 V /U R302 33
1 4 M _ I CH ( 1 3 ,2 1 )
*1 2P R289 4 9 . 9 /F
0 0 0 66 66 66 Input X IN R277 4 9 . 9 /F
C405 C408 C414

2
0 0 1 100 66 66 Input *1 0P *1 0P *1 0P
Y3

26

27
A A
0 1 0 200 66 66 Input R286 1 4 . 3 1 8 M Hz/2 0 P F U 20
C421 *2M 2 56 1 4 M _ RE F

VDDA

VSSA
1
*1 2P XTAL_IN REF
0 1 1 133 66 66 Input X OUT R _HCLK _CP U R272 33 H CLK _CP U
3 45 H C L K _ C P U (4)
XTAL_OUT CPU2 R_ HCL K _ CP U# R267 33 HCLK _CP U#
44 H C L K _ C P U # (4)
+ 3V R 2 52 *1 0K CPU#2
+3V
25 49 R _HCLK _MCH R283 33 H CLK _MCH
(1 3 ) C L K _ P W R D W N # PWR_DWN# CPU1 H C L K _ M C H (6)
34 48 R_HCLK _MCH# R276 33 HCLK _MCH#
(13) S T P _ P CI# PCI_STP# CPU#1 H C L K _ M C H # (6)
( 1 3 ,3 0 ) S T P _ CP U# 53
R 2 92 R 2 88 CPU_STP# R _ H C L K _ IT P
52 T29
*10K 10K CPU0 R _ H C L K _ IT P # B: Swap the pin for select to 48M wo SSC
(30) C L K _ E N# 28 51 T25
PWRGD# CPU#0 or 66M w SSC .
from IMV P 4 C G C L K _ S MB 30 33 3V66_0
S E L P S B 1 _ CL K C G D A T _ S MB 29
SCLK CK-408 3V66_0
35 3V66_1 R263
T17
33 C L K 6 6_SSC
SDATA 3V66_1/VCH
S E L P S B 0 _ CL K R 2 50 10K S E L P S B 2 _ CL K 40 24 3V66_5
SEL2 66IN/3V66_5 T19
S E L P S B 1 _ CL K 55 23 R _ C L K 6 6 _ MCH R251 33 C L K 6 6 _ MCH
SEL1 66B2/3V66_4 C L K 6 6 _ MCH ( 6 )
S E L P S B 0 _ CL K 54 22 R _ C L K 6 6 _ I CH R254 33 C L K 6 6 _ I CH
SEL0 66B1/3V66_3 C L K 6 6 _ I CH ( 1 3 )
R 2 91 R 2 87 21 R_ C L K 6 6_SSC
66B0/3V66_2 T21
10K *10K C LK V DD_CP U 1
VDD_REF R_ P CL K _ ICH R290 33 P CL K _ ICH
8 7 P C L K _ IC H (12)
VDD_PCI_1 PCI_F2 R_ P CIF 1
14 6 T26
VDD_PCI_2 PCI_F1 R_ P CIF 0
19 5 T28
VDD_3V66_1 PCI_F0
32
VDD_3V66_2 R _ P C L K _ S IO R269 33 P C L K _ S IO
18 P C L K _ S IO ( 3 3 )
L 25 PCI6 R _ P C L K _ P CM
46 17 T22
F B M 2 1 2 5 HM330 VDD_CPU_1 PCI5 R _ P C L K _ A U D IO
50 16 T23
C LK V DD_CP U VDD_CPU_2 PCI4 R _ P C LK_591 R274 33 P C LK_591
+3V 13 P C LK_591 (24)
B PCI3 R _ P C LK_1394 R278 33 P C LK_1394 B
12 P C LK_1394 (16)
R256 4 7 5 /F IR EF PCI2 R _ P C L K _ T CP A R228 *33 P C L K _ T CP A
42 11 P C L K _ T CP A ( 1 5 )
C 3 00 C355 C 4 07 C 3 82 IREF PCI1 R _ P C L K _ M INI R284 33 P C L K _ M INI
10 P C L K _ M INI ( 1 9 )
C 2 91 1 0 U / 1 0 V /U .1 U _0402 .1 U _0402 .1 U _0402 R270 1 0K C K _ MUL T 0 PCI0
+ 3V 43
*.1 U _0402 MULT0 R _ C L K 4 8 _ US B R265 22 C L K 4 8 _ US B
39 C L K 4 8 _ US B ( 1 3 )
L 26 F B M2125HM330 48M_USB R _DRE F CLK R255 33 D RE F CLK
38 D R E F C L K (6)
C L K _ 4 8 MV DD37 48M_DOT
+3V VDD_48MHZ

GND_3V66_1
GND_3V66_2
GND_PCI_1
GND_PCI_2

GND_IREF
GND_CPU
B : C h a n g e R2 6 5 (3 3 to 2 2 )

GND_REF
3 00 ohms@100Mhz
C 3 01 C 3 39 C : D e l e t e R2 2 8
1 0 U / 1 0 V /U .1 U _0402
C 3 80 C 3 68 C 3 18 C 3 83 C L K _ 4 8 M GND36
.1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 L28 GND_48MHZ
B K 1 6 0 8 HM300 C K - T IT A N-B

4
9
15
20
31

41
47
J8 S HORT
J4 S HORT C L KGND
C L KGND
J5 S HORT
CYPRESS: CY28346 R 2 45 0 -0 8 0 5
+3V ICS: ICS950810 J6 S HORT

J7 S HORT C:EMI ISSUE CLK MODIFY GND


L24 *0
S S V CC
+ 3V
R236 R 2 33
1 0K 10K
2

C287 C 2 88 C267
*1 U/10V *.1 U _0402 *1 00P
C C
3 1 C G D A T _ S MB
( 9 ,1 3 ,3 4 ) P DA T _ S MB
B: Add
Q26 U17 *IC S 91718
RHU002N06 R425 0 CL K 6 6_SSC 1 8 C L K _P W RDW N#
CLKIN PD# C G C L K _ S MB
2
VDD SCLK
7 internal internal
+3V 3 6 C G D A T _ S MB pull-up pull-down
These are for backdrive issue R206 *33 DRE F S S C L K _D GND SDATA S S R E F _ OUT R 2 32 *10K
(6) D R E F S S CL K 4
CLKOUT REF_OUT
5 +3V pin 5,FS_IN1 pin 4,FS_IN0 MHz SPREAD %
2

R 2 10 *0 S SGND 0 0 14M in 48M out -1.0% down sprd


L 22 C269 C 2 52 0 1 14M in 66M out -1.0% down sprd
3 1 C G C L K _ S MB *0 *1 00P *100P 1 0 48M in/out, 66M in/out -1.0% down sprd
( 9 ,1 3 ,3 4 ) P C L K _ S MB
1 1 48M in/out, 66M in/out +-1.0% down center
Q25 R205 L 23
RHU002N06 *1 0K *0
Z 0302

C268 C:U17 CIRCUITS PARTS NOT MOUNT


*1 00P
mount R245

C L K 6 6 _ I CH P C L K _ T CP A
C L K 6 6 _ MCH P CL K _ ICH
H CLK _CP U CL K 6 6_SSC P C L K _ S IO
HCLK _CP U# C L K 4 8 _ US B P C LK_591
H CLK _MCH D RE F CLK P C L K _ M INI
D
HCLK _MCH# P C LK_1394 D
C271
1 5P

C401 C363 C381 C376 C398


QUANTA
C 3 85
*10P
*1 0P
C345
*1 0P
*1 0P

C 3 34
C3 44
15P C 3 59
C335
*1 0P C347
1 5P
C389
1 5P
1 5P
C366
1 5P
1 5P

T itle
COMPUTER
15P *10P *1 0P CLOCK GENERATOR
S ize D o c u m e n t N umber R ev
A3 2A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 3 of 34
1 2 3 4 5 6 7 8
A B C D E

H D # [0 ..6 3]
H D # [ 0 . . 6 3 ] (6)
U 5A
H A # [ 3 . .3 1 ]
(6) H A # [ 3 . .3 1 ]
HA #3 P4 A19 HD #0
HA #4 A3# D0# HD #1
U4 A25
HA #5 V3
A4#
A5#
Banias D1#
D2#
A22 HD #2
HA #6 R3 B21 HD #3
HA #7 A6# D3# HD #4 +3V + 3V
V2 A24
HA #8 A7# D4# HD #5
W1 1 OF 3 B26

2
HA #9 A8# D5# HD #6 R 20 2 00 6 6 4 8 V CC
T4 A21
H A #10 A9# D6# HD #7
4 W2 B20 4
H A #11 A10# D7# HD #8 T H C L K _ S MB
Y4 C20 ( 2 4 ,3 2 ) M B CL K 3 1
H A #12 A11# D8# HD #9 C 14
Y1 B24
H A #13 A12# D9# HD#10 Q10 R29 R26 R 27 R 19 .1 U _0402
U1 D24
H A #14 A13# D10# HD#11 RHU002N06 10K 10K 1 0K 1 0K
AA3 E24
H A #15 A14# D11# HD#12 +3V U6
Y3 C26
H A #16 A15# D12# HD#13
AA2 B23

2
H A #17 A16# D13# HD#14
AF4 E23 8 1
H A #18 A17# D14# HD#15 SCLK VCC T H E R M DA
AC4 C25
H A #19 A18# D15# HD#16 T H DA T _ S MB
AC7 H23 ( 2 4 ,3 2 ) M B DA T A 3 1 7 2
H A #20 A19# D16# HD#17 SDA DXP
AC3 G25
H A #21 A20# D17# HD#18 Q11 Z 0401 C 13
AD3 L23 6 3
H A #22 A21# D18# HD#19 RHU002N06 + 3V ALERT# DXN 2200P
AE4 M26
H A #23 A22# D19# HD#20 Z 0402 T HE RMDC
AD2 H24 4 5
H A #24 A23# D20# HD#21 OVERT# GND
AB4 F25
H A #25 A24# RE QUEST DATA D21# HD#22
AC6 G24
H A #26 A25# P HASE P HASE D22# HD#23 R13 MA X 6 6 4 8 M UA
AD5 J23 (2 8 ) 1 6 3 2 RE S E T #

2
A26# D23#
H A #27 AE2 S IG N ALS S IG N ALS M23 HD#24 1K A D D RESS: 98H

3
H A #28 A27# D24# HD#25
AD6 J25
H A #29 A28# D25# HD#26 Z 0403
AF3 L26 2 3 1
H A #30 A29# D26# HD#27
AE1 N24
H A #31 A30# D27# HD#28 Q9 Q7
AF1 M25

1
A31# D28# HD#29 RHU002N06 3904
H26
D29# HD#30
N25
D30# HD#31
K25
D31# HD#32
(6) HA DSTB0# U3 Y26
ADSTB0# D32# HD#33
(6) HA DSTB1# AE5 AA24
ADSTB1# D33# HD#34
T25
D34# HD#35
U23
3 D35# HD#36 3
(6) HRE Q#0 R2 V23
REQ0# D36# HD#37
(6) HRE Q#1 P3 R24
REQ1# D37# HD#38 + 3V +3V
(6) HRE Q#2 T2 R26
REQ2# D38# HD#39
(6) HRE Q#3 P1 R23
REQ3# D39# HD#40
(6) HRE Q#4 T1 AA23
REQ4# D40# HD#41
U26
D41# HD#42 R188
V24
E R R OR D42# HD#43 +V CCP R 1 87 1K
(6) A DS# N2 U25
ADS# S IG N ALS D43# HD#44 4 70
V26
D44# HD#45
Y23 T H E R M T RIP _ S IO# ( 2 4 )
R 2 2 6 56 D45# HD#46
AA26

3
H _ IE RR# D46# HD#47
+ V CCP A4 Y25
IERR# D47# HD#48 R191 Z 0 4 0 52
AB25
D48# HD#49 56
(6) H B R E Q 0# N4 AC23

3
BREQ0# A R B I T R A T ION D49# HD#50 Q5
(6) B P R I# J3 AB24 R189

1
BPRI# P HASE D50# HD#51 T H E R M T RIP # Z 0 4 0 42 RHU002N06
(6) B NR# L1 AC20
BNR# S IG N ALS D51# HD#52
(6) HLOCK # J2 AC22
LOCK# D52# HD#53 4 70 Q6
AC25

1
D53# HD#54 3904
(6) HIT # K3 AD23
HIT# S N O O P P HA S E D54# HD#55
(6) H I T M# K4 AE22
HITM# S IG N ALS D55# HD#56
(6) DE F E R# L4 AF23
DEFER# D56# HD#57
AD24
B P M0# D57# HD#58
T106 C8 AF20
B P M1# BPM0# R E S P ONS E D58# HD#59
T110 B8 AE21
B P M2# A9 BPM1# P HASE D59# AD21 HD#60
T8 BPM2# D60# + V CCP 3 V S US
T104 B P M3# C9 S IG N ALS AF25 HD#61
BPM3# D61# HD#62
(6) H T RDY # M3 AF22
TRDY# D62# HD#63
(6) R S #0 H1 AF26
RS0# D63#
(6) R S #1 K1
2
L2 RS1# 2
(6) R S #2 RS2#
C2 C23 R 1 94 R 2 03 R 2 21 R 2 07 R 2 25
(1 2 ) A20M# A20M# DSTBN0# H D S T B N0 # (6)
D3 PC C22 * 5 4 . 9 /F * 5 4 . 9 /F 3 9 /F 1 50 1 50
(1 2 ) F E RR# FERR# DSTBP0# HDS TBP0# (6)
A3 C O M P A T IB IL IT Y K24
(1 2 ) I GNNE# IGNNE# DSTBN1# H D S T B N1 # (6)
R 2 20 3 3 2 /F C P U P W R G D C P U P W RGD E4 S IG N ALS L24
+ V CCP (1 3 ) C P U P W R G D PWRGOOD DSTBP1# HDS TBP1# (6)
(1 2 ) S M I# B4 W25 H D S T B N2 # (6)
SMI# DSTBN2# D B R#
W24 HDS TBP2# (6) (1 3 ) D B R# T11
TCK DSTBP2#
A13 AE24 H D S T B N3 # (6)
T DO TCK D I A G N O S T IC DSTBN3# T DI
A12 AE25 HDS TBP3# (6) T100
T DI C12 TDO & T E ST DSTBP3# TMS
TDI T102
TMS C11 S IG N ALS TCK
TMS T97
T RS T # B13 D25 T DO R 2 08 * 2 2 . 6 /F T D O-1
TRST# DBI0# H DB I0 # (6) T99
H C L K _ IT P A16 J26
T5 ITP_CLK0 DBI1# H DB I1 # (6)
H C L K _ IT P # A15 T24 CP URS T# R 1 95 * 2 2 . 6 /F Z 0406
T6 ITP_CLK1 DBI2# H DB I2 # (6) T95
P R E Q# B10 AD20
PREQ# DBI3# H DB I3 # (6)
P R DY # A10
D B R# PRDY# T RS T #
A7 M2 D BSY# (6) T98
DBR# DBSY#
H2 D RDY# (6)
DRDY#
(12) I NTR D1
LINT0 E X E C UT ION R193 R204
(12) N MI D4
LINT1 C ONTROL 6 80 2 7 . 4 /F
(12) S T P CL K # C6 B14 H C L K _ C P U # (3)
STPCLK# S IG N ALS BCLK1
(12) C P U _ SLP# A6 B15 H C L K _ C P U (3)
SLP# BCLK0
( 6 ,1 2 ) D P SLP# B7
DPSLP#

T H E R M DA B18 B5
THERMDA INIT# C P U IN IT # (12)
T HE RMDC A18
THERMDC
1 B11 C P U R S T # C P U R S T # (6) 1
T H E R M T RIP # RESET#
C17
THERMTRIP# T H E R M A L D IODE C19 D P W R# (6)
R14 56 CP U_ P ROCHO T# DPWR#
B17
+V CCP PROCHOT#
QUANTA
B a n i a s P ro c e s s o r
T itle
COMPUTER
F o o t p r i n t is uFC B GA . BANIAS CPU - A
+V CCP ( 5 , 6 , 7 ,1 2 ,1 3 ,1 4 ,2 7 ,2 9 ) S ize D o c u m e n t N umber R ev
A3 1A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 4 of 34
A B C D E
A B C D E

+V CCP U5B U5C


+ V C CP
R7 2 7 . 4 /F C O MP 0 P25 A2 W23
R6 5 4 . 9 /F C O MP 1 COMP0 VSS VSS
P26 A5 D10 W26
R 2 60 2 7 . 4 /F C O MP 2 COMP1 VSS VCCP0 VSS
AB2 A8 D12 Y2
+ R 2 61 5 4 . 9 /F C O MP 3 AB1 COMP2 VSS A11 D14 VCCP1 VSS Y5
C 2 31 C 2 33 C 277 C 2 75 C 204 C 2 76 COMP3 VSS VCCP2 VSS
A14 D16 Y21
1 5 0 U/6 .3 V . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 Banias VSS
A17 E11
VCCP3 VSS
Y24
+ V C CP
R9 1 K /F G T L RE F 0 AD26
GTLREF0
VSS
VSS
A20 E13
VCCP4
VCCP5
Banias VSS
VSS
AA1
E26 2 OF 3 A23 E15 AA4
R8 GTLREF1 VSS VCCP6 VSS
+V CCP 2 K /F
G1
AC1
GTLREF2 VSS
A26
B3
F10
F12
VCCP7 3 OF 3 VSS
AA6
AA8
GTLREF3 VSS VCCP8 VSS
4 B6 F14 AA10 4
VSS B9 F16 VCCP9 VSS AA12
TEST1 VSS VCCP10 P O W E R , G R O U N D A N D N C VSS
C5 B12 K6 AA14
TEST2 TEST1 VSS VCCP11 VSS
F23 B16 L5 AA16
C 2 55 C 245 C 2 13 C 207 C 2 78 TEST2 VSS VCCP12 VSS
B19 L21 AA18
. 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 VSS B22 M6 VCCP13 VSS AA20
R43 R 1 80 VSS VCCP14 VSS
B25 M22 AA22
*1K *1K P OW E R, VSS VCCP15 VSS
C1 N5 AA25
G R O UND, VSS VCCP16 VSS
C4 N21 AB3
R E S E RV E D VSS VCCP17 VSS
C7 P6 AB5
S I G NA L S VSS VCCP18 VSS
C10 P22 AB7
VSS VCCP19 VSS
AC26 C13 R5 AB9
VCCA3 VSS VCCP20 VSS
N1 C15 R21 AB11
VCCA2 VSS VCCP21 VSS
B1 C18 T6 AB13
VCCA1 VSS VCCP22 VSS
+ 1 .8 V F26 C21 T22 AB15
VCCA0 VSS C24 U21 VCCP23 VSS AB17
V CC_CORE VSS VCCP24 VSS
D2 P23 AB19
VSS VCCP25 VSS
D5 W4 AB21
+1 .8 V VSS VCCP26 VSS
D6 D7 AB23
P U2 VCC00 VSS VSS
D8 D9 AB26
VCC01 VSS VSS
1 8 D18 D11 AC2
CNOISE SD VCC02 VSS VSS
D20 D13 (3 0 ) C P U _ V I D0 E2 AC5
C 1 67 C 21 C 1 69 C 3 58 VCC03 VSS VID0 VSS
2 7 D22 D15 (3 0 ) C P U _ V I D1 F2 AC8
DELAY ERROR VCC04 VSS VID1 VSS
E5 D17 (3 0 ) C P U _ V I D2 F3 AC10
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R VCC05 VSS VID2 VSS
3
GND SENSE
6 + 1 .8 V
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R
E7
E9
VCC06 VSS
D19
D21
(3 0 )
(3 0 )
C P U _ V I D3
C P U _ V I D4
G3
G4
VID3 VID VSS
AC12
AC14
VCC07 VSS VID4 VSS
+3V 4 5 + 1 .8 V E17 D23 (3 0 ) C P U _ V I D5 H4 AC16
VIN VOUT VCC08 VSS VID5 VSS
E19 D26 AC18
S I9 1 8 1 -18 VCC09 VSS VSS
E21 E3 AC21
VCC10 VSS VSS
3 F6 E6 AC24 3
P C6 3 P C 64 C 172 C 1 70 C22 C 3 53 VCC11 VSS VSS
F8 E8 AD1
. 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 . 1 U_ 0 4 0 2 VCC12 VSS R 259 * 5 4 .9 /F Z 0501 VSS
F18 E10 AE7 AD4
VCC13 VSS R 258 * 5 4 .9 /F Z 0502 VCCSENSE VSS
F20 E12 AF6 AD7
VCC14 VSS VSSSENSE VSS
F22 E14 AD9
VCC15 VSS VSS
G5 E16 AD11
VCC16 VSS Z 0503 VSS
G21 E18 T16 B2 AD13
VCC17 VSS Z 0504 NC0 VSS
H6 E20 T114 AF7 AD15
VCC18 VSS Z 0505 NC1 VSS
H22 E22 T96 C14 AD17
VCC19 VSS Z 0506 NC2 VSS
J5 E25 T120 C3 AD19
VCC20 VSS R16 *1K Z 0507 NC3 VSS
J21 F1 C16 AD22
VCC21 VSS Z 0508 TEST3 VSS
K22 F4 T129 E1 AD25
U5 VCC22 VSS F5 PSI VSS AE3
V CC_CORE VCC23 VSS VSS
V6 F7 R6 AE6
VCC24 VSS VSS VSS
V22 F9 R22 AE8
VCC25 VSS VSS VSS
220U /2.5V (CC7343) W5
VCC26 VSS
F11 R25
VSS VSS
AE10
1 8 m O hm *2 W21 F13 T3 AE12
VCC27 VSS VSS VSS
Y6 F15 T5 AE14
VCC28 VSS VSS VSS
+ + Y22 F17 T21 AE16
C 2 46 C10 VCC29 VSS VSS VSS
AA5 F19 T23 AE18
2 2 0 U /2 .5 V -1 8 m 2 2 0 U/2 .5 V -1 8 m VCC30 VSS VSS VSS
AA7 F21 T26 AE20
VCC31 VSS VSS VSS
AA9 F24 U2 AE23
AA11 VCC32 VSS G2 U6 VSS VSS AE26
VCC33 VSS VSS VSS
AA13 G6 U22 AF2
VCC34 VSS VSS VSS
AA15 G22 U24 AF5
VCC35 VSS VSS VSS
AA17 G23 V1 AF9
VCC36 VSS VSS VSS
AA19 G26 V4 AF11
VCC37 VSS VSS VSS
AA21 H3 V5 AF13
VCC38 VSS VSS VSS
AB6 H5 V21 AF15
V CC_CORE V CC_CORE VCC39 VSS VSS VSS
2 10U/6.3V/X5R(CC0805) AB8
VCC40 VSS
H21 V25
VSS VSS
AF17 2
AB10 H25 W3 AF19
5 mOhm*35 VCC41 VSS VSS VSS
AB12 J1 W6 AF21
AB14 VCC42 VSS J4 W22 VSS VSS AF24
C 2 79 C 2 64 C 2 51 C 2 42 C 2 38 C 2 32 C 2 27 C 2 14 C 2 12 C 2 26 VCC43 VSS VSS VSS
AB16 J6
AB18 VCC44 VSS J22
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R VCC45 VSS B a n i a s P ro c e s s o r
AB20 J24
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R AB22 VCC46 VSS K2
VCC47 VSS
AC9 K5
AC11 VCC48 VSS K21
VCC49 VSS
AC13 K23
V CC_CORE V CC_CORE VCC50 VSS
AC15 K26
VCC51 VSS
AC17 L3
VCC52 VSS
AC19 L6
VCC53 VSS
AD8 L22
C 2 30 C 2 37 C 2 41 C 2 50 C 2 63 C 2 74 C 1 86 C 2 06 C7 C 2 20 VCC54 VSS
AD10 L25
VCC55 VSS
AD12 M1
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R VCC56 VSS
AD14 M4
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R VCC57 VSS
AD16 M5
AD18 VCC58 VSS M21
VCC59 VSS
AE9 M24
AE11 VCC60 VSS N3
VCC61 VSS
AE13 N6
V CC_CORE V CC_CORE AE15 VCC62 VSS N22
VCC63 VSS
AE17 N23
AE19 VCC64 VSS N26
VCC65 VSS
AF8 P2
C 4 02 C 2 80 C9 C 1 85 C 3 56 C6 C 2 43 C 3 91 C 2 29 C 3 79 VCC66 VSS
AF10 P5
VCC67 VSS
AF12 P21
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R VCC68 VSS
1 AF14 P24 1
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R VCC69 VSS
AF16 R1
AF18 VCC70 VSS R4
VCC71 VSS

V CC_CORE B a n i a s P ro c e s s o r
QUANTA
T itle
COMPUTER
C23 C 2 61 C 2 36 C 2 60 C8 BANIAS CPU-B
V C C _ C O R E ( 7 ,2 7 ,3 0 )
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R S ize D o c u m e n t Nu m b e r R ev
+V CCP ( 4 , 6 ,7 ,1 2 ,1 3 ,1 4 ,2 7 ,2 9 )
1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R 1 0 U /6 .3 V /X 5 R B 1A
OA8
Da te: S a t u r d a y, Octo b e r 1 1 , 2 0 0 3 Sheet 5 of 34
A B C D E
A B C D E

U 7A
H A # [ 3 . .3 1 ] H D # [0 ..6 3]
(4) H A # [ 3 . .3 1 ] H D # [0 ..6 3] (4)
HA #3 P23 K22 HD #0
HA #4 T25
HA#3
HA#4
HD#0
HD#1
H27 HD #1 To TV encoder
HA #5 T28 K25 HD #2 U 7B
HA #6 HA#5 HD#2 HD #3
R27 L24 (1 1 ) D V O B D [ 0 . . 1 1 ]
HA #7 HA#6 HD#3 HD #4 + 1 .5 V DV OB D0 C H1
U23 J27 R3 G14 T X L OUT 0- (10)
HA #8 HA#7 HD#4 HD #5 DV OB D1 DVOBD0 IYAM0
U24 G28 R5 F14 T X L OUT 0+ (10)
HA #9 HA#8 HD#5 HD #6 R36 2 .2K DV OB D2 DVOBD1 IYAP0
R24 L27 R6 E15 T X L OUT 1- (10)
H A #10 HA#9 HD#6 HD #7 T V S CL DV OB D3 DVOBD2 IYAM1
U28 L23 R4 E14 T X L OUT 1+ (10)
B : D e l e t e R 2 8 0 , R2 8 5 a t n e t H A #11 HA#10 HD#7 HD #8 DV OB D4 DVOBD3 IYAP1
V28 L25 P6 C15 T X L OUT 2- (10)
M C H _ P S W I N G (d u p e l i c a te ). H A #12 HA#11 HD#8 HD #9 R42 2 .2K DV OB D5 DVOBD4 IYAM2
U27 J24 P5 C14

DVO
HA#12 HD#9 DVOBD5 IYAP2 T X L OUT 2+ (10)
H A #13 T27 H25 HD#10 T V S DA DV OB D6 N5 C13
H A #14 HA#13 HD#10 HD#11 DV OB D7 DVOBD6 IYAM3
4 V27 K23 P2 B13 4
H A #15 HA#14 HD#11 HD#12 R31 *1 00K DV OB D8 DVOBD7 IYAP3
U25 G27 N2 D14 T X L CL K OUT - (1 0 )
H A #16 HA#15 HD#12 HD#13 D V O B I N T RB # DV OB D9 DVOBD8 ICLKAM
V26 K26 N3 E13 T X L CL K OUT + (1 0 )
H A #17 HA#16 HD#13 HD#14 DV O B D 10 DVOBD9 ICLKAP
Y24 J23 M1
H A #18 HA#17 HD#14 HD#15 D V O B D 11 DVOBD10 CH2
V25 H26 M5 H12
H A #19 V23
HA#18
HA#19
HOST HD#15
HD#16
F25 HD#16 DVOBD11 IYBM0
IYBP0
G12
T X UOUT 0 -
T X UOUT 0 +
(10)
(10)

LVDS
H A #20 W25 F26 HD#17 R39 *1K P3 E12 B : A d d t h e CH2 L V DS
HA#20 HD#17 (1 1 ) D V O B C LK DVOBCLK IYBM1 T X UOUT 1 - (10)
H A #21 Y25 B27 HD#18 A D D E T E CT P4 E11
HA#21 HD#18 (1 1 ) D V O B C L K # DVOBCLK# IYBP1 T X UOUT 1 + (10)
H A #22 AA27 H23 HD#19 T6 C12
HA#22 HD#19 (1 1 ) D V O B H S Y N C DVOBHSYNC IYBM2 T X UOUT 2 - (10)
H A #23 W24 E27 HD#20 T5 C11
HA#23 HD#20 (1 1 ) D V O B V S Y N C DVOBVSYNC IYBP2 T X UOUT 2 + (10)
H A #24 W23 G25 HD#21 D V O B L A NK # L2 G11
HA#24 HD#21 (1 1 ) D V O B L A NK # DVOBLANK# IYBM3
H A #25 W27 F28 HD#22 R40 *1 00K D V O B F L DS T L M2 G10
HA#25 HD#22 (1 1 ) D V O B F L DS T L DVOBFLDSTL IYBP3
H A #26 Y27 D27 HD#23 D V O B F L DS T L B : Add D V O B I N T RB # G2 E10
HA#26 HD#23 (1 1 ) D V O B I N T RB # DVOBINTRB# ICLKBM T X U CL K OUT - (1 0 )
H A #27 AA28 G24 HD#24 D V O B C L K INT M3 F10 R224 2 .2K
HA#27 HD#24 (1 1 ) D V O B C L K INT DVOBCLKINT ICLKBP T X U CL K OUT + (1 0 ) + 3V
H A #28 W28 C28 HD#25 R223 2 .2K
H A #29 HA#28 HD#25 HD#26 R41 *1 00K
AB27 B26 B4 E D ID C L K (10)
H A #30 HA#29 HD#26 HD#27 D V O B C L K INT D V OCD0 DDCPCLK
Y26 G22 T137 K5 C5 E D ID D A TA (10)
H A #31 HA#30 HD#27 HD#28 D V OCD1 DVOCD0 DDCPDATA
AB28 C26 T18 K1
HA#31 HD#28 HD#29 D V OCD2 DVOCD1 B : Add
E26 T134 K3 A5 D IS P _ O N (10)
HD#29 HD#30 D V OCD3 DVOCD2 LCDVCCEN B L K CT L
(4) A DS # L28 G23 T15 K2 G8 B L K CT L (10)
ADS# HD#30 HD#31 +V CCP D V OCD4 DVOCD3 BLKCTL
(4) HA DSTB0# T26 B28 T128 J6 F8 B K L ON (10)
HADSTB#0 HD#31 HD#32 D V OCD5 DVOCD4 BLKEN
(4) HA DSTB1# AA26 B21 T122 J5
HADSTB#1 HD#32 HD#33 D V OCD6 DVOCD5
G21 T13 H2
HD#33 HD#34 D V OCD7 DVOCD6 R 15 1 .5 K
C24 T14 H1
HD#34 HD#35 R 49 D V OCD8 DVOCD7 L IB G
(4) H RE Q#0 R28 C23 T126 H3 A10
HREQ#0 HD#35 HD#36 4 9 . 9 /F D V OCD9 DVOCD8 LIBG
(4) H RE Q#1 P25 D22 T123 H4
+V CCP HREQ#1 HD#36 HD#37 D VOCD10 DVOCD9
(4) H RE Q#2 R23 C25 T127 H6
HREQ#2 HD#37 HD#38 D VOCD11 DVOCD10
(4) H RE Q#3 R25 E24 T121 G3
HREQ#3 HD#38 HD#39 MCH_HA V RE F ~ 0 .7 V DVOCD11
(4) H RE Q#4 T23 D24
3 HREQ#4 HD#39 HD#40 D VOCCLK 3
G20 T125 J3 A7 CRT_R1 (10)
HD#40 HD#41 D V O C C L K # J2 DVOCCLK RED
(4) RS #0 N23 E23 T131 C8 C R T _ G1 (10)
R 10 RS#0 HD#41 HD#42 C29 C30 D V O C H S Y N CK6 DVOCCLK# GRN
(4) RS #1 P26 B22 T130 C9 C R T _B1 (10)
3 0 1 /F RS#1 HD#42 HD#43 1 U/10V .1 U _0402 R 50 D V O C V S Y N CL5 DVOCHSYNC BLU
(4) RS #2 M27 B23 T132 A8
RS#2 HD#43 HD#44 1 0 0 /F D V O C B A L A NKL3
# DVOCVSYNC RED#
(4) D BSY# M26 F23 T135 D8
DBSY# HD#44 DVOCBLANK# GRN#

DAC
HX S W ING P28 F21 HD#45 R34 100K D V O C F L D S T L H5 D9
(4) B P RI# BPRI# HD#45 DVOCFLDSTL BLU#
~ 0 .3 5 V N25 C20 HD#46
(4) B N R# BNR# HD#46
M23 C21 HD#47 T V S CL K7 H10 H S Y N C R244 3 9 /F
(4) H B R E Q 0# BREQ0# HD#47 (1 1 ) T V S CL I2CCLK HSYNC C R T H S _ V GA ( 1 1 )
P27 G18 HD#48 T V S DA N6 J9 V S Y NC R243 3 9 /F
(4) H LOCK# HLOCK# HD#48 (1 1 ) T V S DA I2CDATA VSYNC C R T V S _ V GA ( 1 1 )
R 11 C 12 N27 E19 HD#49 T138 DV ICL K N7 B6
(4) HIT # HIT# HD#49 +V CCP DVICLK DDCACLK D D C CLK ( 1 1 ,3 4 )
1 5 0 /F . 01U N28 E20 HD#50 T136 D V ID ATA M6 G9
(4) H I T M# HITM# HD#50 DVIDATA DDCADATA D D CDA T ( 1 1 ,3 4 )
N24 G17 HD#51 T140 D V I2 C LK P7
(4) D RDY# DRDY# HD#51 DVI2CLK
M25 D20 HD#52 T141 D V I 2 DA T A T7 E8 RE FSET R2 3 4 1 2 7 /F
(4) H T R DY # HTRDY# HD#52 DVI2DATA REFSET
M28 F19 HD#53
(4) D E F E R# DEFER# HD#53
C19 HD#54 R 46 T115 AD DID0 E5
HD#54 HD#55 4 9 . 9 /F AD DID1 ADDID0
(4) CP URS T# F15 C17 T118 F5
CPURST# HD#55 HD#56 AD DID2 ADDID1
F17 T108 E3
+V CCP HD#56 HD#57 AD DID3 ADDID2 D RE F CLK D RE F CLK
B19 T10 E2 B7 D R E F C L K (3)
HD#57 HD#58 M C H _HCCV RE F ~ 0 .7 V AD DID4 ADDID3 DREFCLK
(3) H C L K _ M C H AE29 G16 T117 G5 B17 D R E F S S C LK (3)
BCLK HD#58 HD#59 AD DID5 ADDID4 DREFSSCLK L C L K CT L A
(3) H C L K _ M C H # AD29 E16 T112 F4 H9
BCLK# HD#59 HD#60 AD DID6 ADDID5 LCLKCTLA L C L K CT L B R 22
C16 T124 G6 C6
HX S W ING HD#60 HD#61 C26 C27 R 28 1K AD DID7 ADDID6 LCLKCTLB *22
B18 E17 F6
R 35 H Y S W ING HXSWING HD#61 HD#62 1 U/10V .1 U _0402 R 47 ADDID7
K28 D16
3 0 1 /F R12 2 7 . 4 /F H X R C O MP HYSWING HD#62 HD#63 1 0 0 /F R248 1K A D D E T E CT
B20 C18 L7 AA22 D P W R# (4)
R32 2 7 . 4 /F H Y R COMP H28 HXRCOMP HD#63 B : P u l l low o p tion. D P M S CL K D5 ADDETECT DPWR# Y23 DRE F CLK 1
HYRCOMP DPMS DPSLP# D P SLP# ( 4 ,1 2 )
H Y S W ING AD28 P CIR ST#
RSTIN# P CIRST# ( 1 0 , 1 1 ,1 2 ,1 5 ,1 6 ,1 9 ,2 4 ,3 3 )
~ 0 .3 5 V J25 A G P _ B US Y # F7 J11 C 15
DINV#0 HDB I0 # (4) (1 3 ) A G P _ B US Y # AGPBUSY# PWROK P W ROK ( 1 3 ,2 4 )
H L0 U7 E25 Y3 D6 EXTTS0 *1 2P
HI_0 DINV#1 HDB I1 # (4) (3) C L K 6 6 _ M CH CLK66IN EXTTS0
H L1 U4 B25 M C H _ G R C O M P D1
2 HI_1 DINV#2 HDB I2 # (4) DVORCOMP 2
R 37 C 19 H L2 U3 G19 GV RE F F1
HI_2 DINV#3 HDB I3 # (4) GVREF
1 5 0 /F . 01U H L3 V3 R 45
HUB I/F

H L4 HI_3 *22 R227 C 3 05 R V S D 14


W2 J28 H D S T B N0 # (4) D7 T111
H L5 HI_4 HDSTBN#0 4 0 . 2 /F .1 U _0402 RVSD14 R V S D 13
W6 K27 HDS TBP0# (4) B2 T9
H L6 HI_5 HDSTBP#0 RVSD13
V6 C27 H D S T B N1 # (4) C2 G S T 2
H L7 W7 HI_6 HDSTBN#1 D26 +V CCP C L K 6 6 _ MCH1 A2 GST2 D2 R V S D 11
H L8 T3
HI_7
HI_8
HDSTBP#1
HDSTBN#2
E22
HDS TBP1#
H D S T B N2 #
(4)
(4) B1
NC11
NC10
MISC RVSD11
RVSD10
F2 R V S D 10
T103
T12
H L9 V5 E21 C 25 AH1 B3 RV S D9
HI_9 HDSTBP#2 HDS TBP2# (4) NC9 RVSD9 T101
HL10 V4 D18 *5P AJ2 C3 G S T 1
HI_10 HDSTBN#3 H D S T B N3 # (4) NC8 GST1
H L [0 .. 10] E18 R247 AJ4 D3 RV S D7
(13) HL [0 ..10] HDSTBP#3 HDS TBP3# (4) NC7 RVSD7 T107
W3 4 9 . 9 /F AA9 F3 RV S D6
(13) H LSTB HLSTB NC6 RVSD6 T116
H LRCOMP : V2 Y22 MCH_HA V RE F A28 C4 G S T 0
(13) H LSTB# HLSTB# HAVREF NC5 GST0
8 5 5 G M , 2 7 .4 /F p u l l -u p to 1 .2 V Y28 M C H _HCCV RE F AJ28 L4 RV S D4
HCCVREF NC4 RVSD4 T139
8 5 5 G M E , 3 7 .4 /F p u l l -u p to 1 .3 5 V R44 3 7 . 4 /F H L R C O M P T2 K21 M C H_HDV RE F ~ 0 .7 V A29 AA5 RV S D3
+ 1 .2 V HLRCOMP HDVREF0 NC3 RVSD3 T142
M CH_ P S W ING U2 J21 B29 B12 RV S D2
PSWING HDVREF1 NC2 RVSD2 T7
MCH_HLV RE F W1 J17 AH29 D12 RV S D1
HLVREF HDVREF2 NC1 RVSD1 T109
AJ29 F12 RV S D0
+ 1 .5 V NC0 RVSD0 T113 + 3V
D:change R44 from 27.4 to 37.4 ohm C321 C319 R246
C367 C396 M o n ta ra -GM 1 U /1 0V .1 U _0402 1 0 0 /F
. 01U . 01U + 1 .5 V + 1 .5 V M o n ta ra -GM + 1 .5 V
R300 R30 10K A G P _ B US Y #
2 2 6 /F
G ST0 R 2 15 *1 0K R25 10K EXTTS0
R 2 31 R 2 35
~ 0 .8 V M CH_ P S W ING 1K 1 K /F G ST1 R 2 13 *1 0K R33 *10K L C L K CT L A

G ST2 R 1 97 *1 0K R23 *10K L C L K CT L B


R301 C397 D P M S CL K GV RE F
1
1 4 7 /F .1 U _0402 ~ 0 .7 5 V 1
3

C:del U13 circuits (not use) 2 R 2 42


S U S C LK (1 3 )
~ 0 .3 5 V MCH_HLV RE F 1 K /F
QUANTA
1

Q 24
R297
1 1 3 /F
C403
.1 U _0402
RHU002N06

PSB Memory GFX Core Clock GFX Core Clock T i tle


COMPUTER
ST2 ST1 ST0 Frequency Frequency - Low - High MCH-M_B(HOST,DVO)
0 0 0 400MHz 266MHz 133MHz 200MHz S ize D o c u m e n t N umber R ev
* A3 1A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 6 of 34
A B C D E
5 4 3 2 1

U7E

U7D AA29 P16


VSS0 VSS91
W29 J16
VSS1 VSS92
U29 F16
VSS2 VSS93
+ 1 .2 V W21 AB29 +V CCP N29 AG15
VCC0 VTTLF0 VSS3 VSS94
AA19 Y29 L29 AB15
VCC1 VTTLF1 VSS4 VSS95
AA17 K29 J29 U15
+ C 3 49 VCC2 VTTLF2 VSS5 VSS96
T17 F29 + G29 R15
1 5 0 U /6 .3 V C371 C369 C372 C 3 31 VCC3 VTTLF3 C388 C308 C309 C310 VSS6 VSS97
P17 A26 E29 N15
. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VCC4 VTTLF4 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 1 5 0 U / 6 .3 V VSS7 VSS98
D U16 V22 C29 H15 D
VCC5 VTTLF5 VSS8 VSS99
R16 T22 AE28 D15
VCC6 VTTLF6 VSS9 VSS100
N16 P22 AC28 AC14
R 24 0 V C C A H P LL VCC7 VTTLF7 VSS10 VSS101
+ 1 .2 V AA15 M22 E28 AA14
VCC8 VTTLF8 VSS11 VSS102
T15 H22 D28 T14
VCC9 VTTLF9 VSS12 VSS103
P15 U21 AJ27 P14
C16 VCC10 VTTLF10 VSS13 VSS104
J15 R21 AG27 J14
.1 U _0402 VCC11 VTTLF11 VSS14 VSS105
U14 N21 AC27 AE13
C377 C333 C 3 32 VCC12 VTTLF12 VSS15 VSS106
R14 L21 F27 AB13

POWER
. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VCC13 VTTLF13 C315 C290 C302 C 3 07 C312 VSS16 VSS107
N14 H20 A27 U13
VCC14 VTTLF14 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 1 0 U / 1 0 V /U VSS17 VSS108
H14 A20 AJ26 R13
R 48 0 V C C A G PLL VCC15 VTTLF15 VSS18 VSS109
+ 1 .2 V T13 J19 AB26 N13
VCC16 VTTLF16 VSS19 VSS110
P13 H18 W26 H13
VCC17 VTTLF17 VSS20 VSS111
A18 U26 F13
C28 VTTLF18 VSS21 VSS112
+ 1 .2 V V9 H16 R26 D13
.1 U _0402 VCCHL0 VTTLF19 VSS22 VSS113
W8 G15 N26 A13
VCCHL1 VTTLF20 VSS23 VSS114
U8 L26 AJ12
VCCHL2 VSS24 VSS115
V7 AG29 2 . 5 V S US J26 AG12
C 3 73 C370 C350 C 3 17 VCCHL3 VCCSM0 VSS25 VSS116
U6 AF29 G26 AA12
V C C A D P LLA1 1 0 U / 1 0 V /U . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VCCHL4 VCCSM1 VSS26 VSS117
W5 AC29 AE25 J12
L21 .1 UH VCCHL5 VCCSM2 VSS27 VSS118
Y1 AF27 + AA25 AJ11
R1 9 6 1 /F V C C A D P LLA VCCHL6 VCCSM3 C400 C 36 C409 C44 C384 VSS28 VSS119
+ 1 .2 V V1 AJ25 D25 AC11
VCCHL7 VCCSM4 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 1 5 0 U / 6 .3 V VSS29 VSS120
AF24 A25 AB11
V C C A H P LL VCCSM5 VSS30 VSS121
D29 AB22 AG24 H11
V C C A G PLL VCCAHPLL VCCSM6 VSS31 VSS122
+ Y2 AJ21 AA24 F11
C 18 C244 VCCAGPLL VCCSM7 VSS32 VSS123
AF21 V24 D11
* 2 2 0 U_ 2 .5 V .1 U _0402 V C C A D P LLA VCCSM8 VSS33 VSS124
A6 AB20 T24 AJ10
V C C A D P LLB VCCADPLLA VCCSM9 VSS34 VSS125
B16 AF18 P24 AE10
VCCADPLLB VCCSM10 VSS35 VSS126
AB18 M24 AA10
C VCCSM11 C386 C 47 C 41 C 3 90 VSS36 VSS127 C
+ 1 .5 V P9 AJ17 K24 J10
V C C A D P LLB1 VCCDVO0 VCCSM12 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VSS37 VSS128
M9 AB16 H24 C10
L20 .1 UH VCCDVO1 VCCSM13 VSS38 VSS129
+ K9 AF15 F24 AG9
R1 9 2 1 /F V C C A D P LLB C 3 36 VCCDVO2 VCCSM14 VSS39 VSS130
+ 1 .2 V R8 AB14 B24 AB9
* 1 5 0 U /6 .3 V C 3 38 C360 C362 C316 VCCDVO3 VCCSM15 VSS40 VSS131
N8 AJ13 AJ23 W9
. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VCCDVO4 VCCSM16 VSS41 VSS132
M8 AA13 AC23 U9
C247 VCCDVO5 VCCSM17 VSS42 VSS133
+ L8 AF12 AA23 T9
C265 .1 U _0402 VCCDVO6 VCCSM18 C394 C 33 C 43 C42 VSS43 VSS134
J8 AB12 D23 R9
* 2 2 0 U_ 2 .5 V H7
VCCDVO7
VCCDVO8
VCCSM19
VCCSM20
AA11 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 A23
VSS44
VSS45
VSS VSS135
VSS136
N9
E6 AB10 AE22 L9
C 2 92 VCCDVO9 VCCSM21 VSS46 VSS137
M4 AJ9 W22 E9
1 0 U / 1 0 V /U C 3 23 C303 C340 C330 VCCDVO10 VCCSM22 VSS47 VSS138
J4 AF9 U22 AC8
. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VCCDVO11 VCCSM23 VSS48 VSS139
E4 Y9 R22 Y8
VCCDVO12 VCCSM24 VSS49 VSS140
N1 AB8 N22 V8
R229 0 V C CA DA C VCCDVO13 VCCSM25 VSS50 VSS141
+ 1 .5 V J1 AA8 L22 T8
VCCDVO14 VCCSM26 C393 C399 C387 C32 VSS51 VSS142
E1 AC1 J22 P8
VCCDVO15 VCCSM27 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 VSS52 VSS143
Y7 F22 K8
+ 1 .5 V C282 C262 VCCSM28 VSS53 VSS144
B9 AF6 C22 H8
.1 U _0402 . 01U VCCADAC0 VCCSM29 VSS54 VSS145
A9 AB6 AG21 AJ7
VCCADAC1 VCCSM30 L8 . 68UH VSS55 VSS146
B8 AA6 AB21 AE7
R 2 19 0 V CCDLV DS VSSADAC VCCSM31 V CCQS M VSS56 VSS147
AJ5 2 . 5 V S US AA21 AA7
VCCSM32 VSS57 VSS148
Y4 Y21 R7
V CCDLV DS VCCSM33 C48 VSS58 VSS149
B15 AF3 V21 M7
VCCDLVDS0 VCCSM34 C49 C 1 35 .1 U _0402 VSS59 VSS150
B14 AB3 T21 J7
C 2 94 C 3 20 C 3 11 C 2 98 C 2 73 J13 VCCDLVDS1 VCCSM35 AG1 1 U/10V 1 U/10V P21 VSS60 VSS151 G7
* 4 7 U _ 6 .3 V 1 0 U / 1 0 V /U .1 U _0402 .1 U _0402 .1 U _0402 VCCDLVDS2 VCCSM36 V C C Q S M1 R 6 4 1 /F VSS61 VSS152
G13 M21 E7
VCCDLVDS3 C : C h a n g e C 4 9 fo o t VSS62 VSS153
AJ8 H21 C7
V C C T X L V DS VCCQSM0 p r i n t a n d a d d C1 3 5 . VSS63 VSS154
A12 AJ6 D21 AG6
VCCTXLVDS0 VCCQSM1 L6 1UH VSS64 VSS155
D10 A21 Y6
B
B10 VCCTXLVDS1 AF1 V CCA SM AJ20 VSS65 VSS156 L6
B
2 . 5 V S US + 3V VCCTXLVDS2 VCCASM0 + 1 .2 V VSS66 VSS157
F9 AD1 AC20 Y5
VCCTXLVDS3 VCCASM1 VSS67 VSS158
AA20 U5
C 2 53 C 2 57 V T T HF 0 VSS68 VSS159
A4 V29 + J20 B5
R 2 22 0 V C C T X L V DS 1 0 U / 1 0 V /U . 1 U _ 0 4 0 2 VCCGPIO0 VTTHF0 V T T HF 1 C 31 C 35 VSS69 VSS160
A3 M29 AE19 AE4
VCCGPIO1 VTTHF1 H29 V T T HF 2 .1 U _ 0402 * 1 0 0 U /6 .3 V AB19 VSS70 VSS161 AC4
VTTHF2 V T T HF 3 VSS71 VSS162
A11 A24 H19 AA4
VCCALVDS VTTHF3 V T T HF 4 VSS72 VSS163
B11 A22 D19 W4
C270 C 2 95 C 2 89 C 2 72 VSSALVDS VTTHF4 VSS73 VSS164
E: Del C11(*47U_6.3V) + 1 .5 V A19
VSS74 VSS165
T4
.1 U .1 U _0402 .1 U _0402 .1 U _0402 AJ18 N4
M o n ta ra -GM C249 C20 AG18 VSS75 VSS166 K4
C337 C 3 29 .1 U _0402 .1 U _0402 VSS76 VSS167
AA18 G4
B : C h a n g e C 2 7 0 fro m 1 2 0 6 to 0 6 0 3 .1 U _0402 .0 1 U VSS77 VSS168
J18 D4
C248 C17 C 24 VSS78 VSS169
F18 AJ3
.1 U _ 0402 .1 U _0402 .1 U _0402 VSS79 VSS170
AC17 AG3
VSS80 VSS171
AB17 R2
VSS81 VSS172
U17 AJ1
VSS82 VSS173
R17 AE1
VSS83 VSS174
N17 AA1
VSS84 VSS175
H17 U1
VSS85 VSS176
D17 L1
VSS86 VSS177
A17 G1
VSS87 VSS178
AE16 C1
VSS88 VSS179
AA16 F20
VSS89 VSS180
T16
VSS90

M o n ta ra -GM
V C C_CORE V C C_CORE
A A

C378 C 3 74 C 3 61 C352 C343 C346 C364 C375 C 3 57


QUANTA
+V CCP
1 000P 1000P 1000P 1000P 1 000P . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2

T itle
COMPUTER
GMCH-M_B(VCC,GND)
S ize D o c u m e n t N umber R ev
A3 1A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 7 of 34
5 4 3 2 1
A B C D E

R N 6 0 4 P 2 R-S -1 0 R N 4 5 4 P 2 R-S -1 0
S M D D R _ V T E RM S M D D R _ V T E RM U7C R _ M D 41 3 4 MD41 R_ M D5 3 4 M D5
R _ M D 45 1 2 MD45 R_MD1 1 2 M D1
R _ MA 0 AC18 AF2 R_MD0
S M D D R _ V T E RM ( 2 9 ) SMA0 SDQ0
RN127 4 P 2 R-S -5 6 RN100 4 P 2 R-S -5 6 R _ MA 1 AD14 AE3 R_MD1 R N 3 4 4 P 2 R-S -1 0 R N 1 5 4 P 2 R-S -1 0
MD56 1 MD58 3 S M _ C B [ 0 ..7 ] R _ MA 2 SMA1 SDQ1 R_MD2 R _ S D M5 D M5 R_MD4 M D4
2 4 S M _ C B [ 0 ..7 ] (9) AD13 AF4 3 4 3 4
MD57 3 4 MD62 1 2 R _ MA 3 SMA2 SDQ2 R_MD3 R _ S M _ DQS 5 1 S M _ DQS 5 R_MD0 M D0
AD17 AH2 2 1 2
MD51 1 MD63 3 R N 2 7 4 P 2 R-S -1 0 R _ MA 4 SMA3 SDQ3 R_ M D4 R _ M D 40 MD40 R _ S M _ DQS 0 3 S M _ DQS 0
2 4 AD11 AD3 3 4 4
MD55 3 MD59 1 R _ S M _ CB 0 3 S M _ CB 0 R _ MA 5 SMA4 SDQ4 R_MD5 R _ M D 44 MD44 R _ S D M0 D M0
4 2 4 AC13 AE2 1 2 1 2
R _ S M _ CB 1 1 S M _ CB 1 R _ MA 6 SMA5 SDQ5 R_MD6
2 AD8 AG4
RN126 4 P 2 R-S -5 6 R N99 4 P 2 R-S -5 6 R _ MA 7 SMA6 SDQ6 R_MD7 R N 3 3 4 P 2 R-S -1 0 R N 1 6 4 P 2 R-S -1 0
AD7 AH3
RN125 4 P 2 R-S -5 6 R N98 4 P 2 R-S -5 6 R N 5 2 4 P 2 R-S -1 0 R _ MA 8 SMA7 SDQ7 R_MD8 R N 5 7 4 P 2 R-S -1 0 R N 4 6 4 P 2 R-S -1 0
AC6 AD6
D M6 S M _ DQS 7 3 R _ S M _ CB 4 3 S M _ CB 4 R _ MA 9 SMA8 SDQ8 R_MD9 R _ M D 42 MD42 R_MD6 M D6
1 2 4 4 AC5 AG5 3 4 3 4
S M _ DQS 6 3 D M7 R _ S M _ CB 5 1 S M _ CB 5 R _ MA 1 0 SMA9 SDQ9 R _ M D 10 R _ M D 46 MD46 R_ M D7 M D7
4 4 1 2 2 AC19 AG7 1 2 1 2 4
MD49 1 MD60 3 R _ S M _ DQS 8 3 S M _ DQS 8 R _ MA 1 1 SMA10 SDQ10 R _ M D 11 R_ M D 43 MD43 R_MD3 M D3
2 4 4 AD5 AE8 3 4 3 4
MD53 3 MD61 1 R _ S D M8 D M8 R _ MA 1 2 SMA11 SDQ11 R _ M D 12 R _ M D 47 MD47 R_MD2 M D2
4 2 1 2 AB5 AF5 1 2 1 2
SMA12 SDQ12 R _ M D 13
AH4
RN124 4 P 2 R-S -5 6 R N97 4 P 2 R-S -5 6 R N 2 8 4 P 2 R-S -1 0 R _ S M _ DQS 0 SDQ13 R_ M D 14 R N 3 5 4 P 2 R-S -1 0 R N 1 7 4 P 2 R-S -1 0
AG2 AF7
RN123 4 P 2 R-S -5 6 R N96 4 P 2 R-S -5 6 R N 2 9 4 P 2 R-S -1 0 R _ S M _ DQS 1 SDQS0 SDQ14 R_ M D 15
AH5 AH6
MD48 1 MD54 3 R _ S M _ CB 2 S M _ CB 2 R _ S M _ DQS 2 SDQS1 SDQ15 R_ M D 16
2 4 3 4 AH8 AF8
MD52 3 MD50 1 R _ S M _ CB 3 S M _ CB 3 R _ S M _ DQS 3 SDQS2 SDQ16 R _ M D 17 R N 5 5 4 P 2 R-S -1 0 R N 4 7 4 P 2 R-S -1 0
4 2 1 2 AE12 AG8
MD42 1 MD43 3 R _ S M _ CB 6 S M _ CB 6 R _ S M _ DQS 4 SDQS3 SDQ17 R _ M D 18 R_ M D 49 MD49 R _ M D 13 MD13
2 4 3 4 AH17 AH9 3 4 3 4
S M _ DQS 5 3 MD47 1 R _ S M _ CB 7 S M _ CB 7 R _ S M _ DQS 5 SDQS4 SDQ18 R _ M D 19 R _ M D 53 MD53 R _ M D 12 MD12
4 2 1 2 AE21 AG10 1 2 1 2

DDR 200/266 MHz


R _ S M _ DQS 6 SDQS5 SDQ19 R _ M D 20
AH24 AH7
RN122 4 P 2 R-S -5 6 R N95 4 P 2 R-S -5 6 R N 5 3 4 P 2 R-S -1 0 R _ S M _ DQS 7 SDQS6 SDQ20 R _ M D 21 R N 3 6 4 P 2 R-S -1 0 R N 1 8 4 P 2 R-S -1 0
AH27 AD9
RN121 4 P 2 R-S -5 6 R N94 4 P 2 R-S -5 6 R _ S M _ DQS 8 SDQS7 SDQ21 R _ M D 22 R _ M D 48 MD48 R_MD9 M D9
AD15 AF10 3 4 3 4
MD41 1 MD46 3 2 . 5 V S US SDQS8 SDQ22 R _ M D 23 R _ M D 52 MD52 R_MD8 M D8
2 4 AE11 1 2 1 2
MD44 3 D M5 S M_B 1 SDQ23 R _ M D 24 R _ S M _ DQS 6 3
4 1 2 (9) S M_B 1 AD16 AH10 4 S M _ DQS 6 R _ S M _ DQS 1 3 4 S M _ DQS 1
MD39 1 MD45 3 S M_B 2 SMA_B1 SDQ24 R_ M D 25 R _ S D M6 D M6 R _ S D M1 D M1
2 4 (9) S M_B 2 AC12 AH11 1 2 1 2
MD35 3 MD40 1 S M_B 4 SMA_B2 SDQ25 R_ M D 26
4 2 (9) S M_B 4 AF11 AG13
S M_B 5 SMA_B4 SDQ26 R _ M D 27 R N 3 7 4 P 2 R-S -1 0 R N 1 9 4 P 2 R-S -1 0
(9) S M_B 5 AD10 AF14
RN120 4 P 2 R-S -5 6 R N93 4 P 2 R-S -5 6 R308 SMA_B5 SDQ27 R _ M D 28 R N 3 8 4 P 2 R-S -1 0 R N 4 8 4 P 2 R-S -1 0
AG11
RN119 4 P 2 R-S -5 6 R N92 4 P 2 R-S -5 6 6 0 . 4 /F S M _ CS 0 # SDQ28 R _ M D 29 R _ M D 50 MD50 R _ M D 11 MD11
(9) S M _ CS 0 # AD23 AD12 3 4 3 4
S M _ DQS 4 1 MD34 3 S M _ CS 1 # SCS#0 SDQ29 R _ M D 30 R _ M D 54 MD54 R_ M D 15 MD15
2 4 (9) S M _ CS 1 # AD26 AF13 1 2 1 2
D M4 MD38 1 M C H _ S M R C O MP S M _ CS 2 # SCS#1 SDQ30 R_ M D 31 R _ M D 51 MD51 R _ M D 10 MD10
3 4 2 (9) S M _ CS 2 # AC22 AH13 3 4 3 4
MD37 1 S M _ CS 0 # 3 C410 S M _ CS 3 # SCS#2 SDQ31 R _ M D 32 R _ M D 55 MD55 R _ M D 14 MD14
2 4 (9) S M _ CS 3 # AC25 AH16 1 2 1 2
MD36 3 4 S M _ CS 3 # 1 2 .1 U _0402 R307 SCS#3 SDQ32 R _ M D 33
AG17
6 0 . 4 /F R _ S D M0 SDQ33 R _ M D 34 R N 4 4 4 P 2 R-S -1 0 R N 2 0 4 P 2 R-S -1 0
AE5 AF19
RN118 4 P 2 R-S -5 6 R N91 4 P 2 R-S -5 6 R _ S D M1 SDM0 SDQ34 R _ M D 35
AE6 AE20
RN116 4 P 2 R-S -5 6 R N90 4 P 2 R-S -5 6 R _ S D M2 SDM1 SDQ35 R_ M D 36
AE9 AD18
S M _ CS 1 # 1 R _ S C A S A #3 R _ S D M3 SDM2 SDQ36 R _ M D 37 R N 5 6 4 P 2 R-S -1 0 R N 4 3 4 P 2 R-S -1 0
2 4 AH12 AE18
R_ BA0 S M _ CS 2 # 1 R _ S D M4 SDM3 SDQ37 R _ M D 38 R _ M D 61 MD61 R _ M D 20 MD20
3 4 2 AD19 AH18 3 4 3 4
3 R_ BA1 MD32 3 R _ S D M5 SDM4 SDQ38 R _ M D 39 R _ M D 60 MD60 R _ M D 16 MD16 3
1 2 4 AD21 AG19 1 2 1 2
R_ B M WEA# 3 MD33 1 R _ S D M6 SDM5 SDQ39 R_ M D 40
4 2 AD24 AH20
2 . 5 V S US R _ S D M7 SDM6 SDQ40 R _ M D 41 R N 4 0 4 P 2 R-S -1 0 R N 2 1 4 P 2 R-S -1 0
AH28 AG20
R N89 4 P 2 R-S -5 6 RN117 4 P 2 R-S -5 6 R _ S D M8 SDM7 SDQ41 R _ M D 42 R _ M D 56 MD56 R_ M D 21 MD21
AH15 AF22 3 4 3 4
R N88 4 P 2 R-S -5 6 RN132 4 P 2 R-S -5 6 SDM8 SDQ42 R_ M D 43 R _ S M _ DQS 7 1 S M _ DQS 7 R _ M D 17 MD17
AH22 2 1 2
S M_B 1 S M_B 2 R_ BA0 SDQ43 R _ M D 44 R _ M D 57 MD57 R _ S M _ DQS 2 3 S M _ DQS 2
1 2 3 4 (9) R_ BA0 AD22 AF20 3 4 4
R _ S R A S A #3 R _ MA 3 R 55 R_ BA1 SBA0 SDQ44 R _ M D 45 R _ S D M7 D M7 R _ S D M2 D M2
4 1 2 (9) R_ BA1 AD20 AH19 1 2 1 2
R _ MA 1 0 1 R _ MA 6 3 1 5 0 /F SBA1 SDQ45 R _ M D 46
2 4 AH21
R _ MA 0 S M_B 4 R _ S R A S A # AC21 SDQ46 R _ M D 47 R N 3 9 4 P 2 R-S -1 0 R N 2 2 4 P 2 R-S -1 0
3 4 1 2 (9) R_S RASA# AG22
R _ S C A S A # AC24 SRAS# SDQ47 R _ M D 48 R N 5 4 4 P 2 R-S -1 0 R N 4 9 4 P 2 R-S -1 0
(9) R_S CASA# AE23
RN115 4 P 2 R-S -5 6 RN130 4 P 2 R-S -5 6 M C H _ S M V S W I N GH R _ B M W E A #AD25 SCAS# SDQ48 R _ M D 49 R _ M D 63 MD63 R _ M D 18 MD18
(9) R_ B M WEA# AH23 3 4 3 4
RN129 4 P 2 R-S -5 6 R N87 4 P 2 R-S -5 6 SWE# SDQ49 R_ M D 50 R _ M D 59 MD59 R _ M D 23 MD23
AE24 1 2 1 2
CK E 0 1 R _ MA 5 CK E 0 SDQ50 R _ M D 51 R _ M D 58 MD58 R _ M D 22 MD22
2 3 4 (9) CK E0 AC7 AH25 3 4 3 4
CK E 2 3 R _ MA 4 R 54 CK E 1 SCKE0 SDQ51 R _ M D 52 R _ M D 62 MD62 R _ M D 19 MD19
4 1 2 (9) CK E1 AB7 AG23 1 2 1 2
R _ MA 7 1 2 CK E 3 3 4 6 0 4 /F C 45 CK E 2 SCKE1 SDQ52 R _ M D 53
(9) CK E2 AC9 AF23
R _ MA 9 S M_B 5 .1 U _ 0402 CK E 3 SCKE2 SDQ53 R_ M D 54 R N 4 1 4 P 2 R-S -1 0 R N 2 3 4 P 2 R-S -1 0
3 4 1 2 (9) CK E3 AC10
SCKE3 SDQ54
AF25 D ata B us
AG25 R _ M D 55
RN128 4 P 2 R-S -5 6 R N86 4 P 2 R-S -5 6 SDQ55 R _ M D 56 DM [0 .. 8]
( 9 ) C L K _ S D RA M0 AB2 AH26 D M [0 ..8] (9)
RN114 4 P 2 R-S -5 6 RN131 4 P 2 R-S -5 6 SCMDCLK0 SDQ56 R _ M D 57 S M _ D Q S [ 0 ..8 ] R N 2 4 4 P 2 R-S -1 0
( 9 ) C L K _ S DRA M0# AA2 AE26 S M _ D Q S [ 0 ..8 ] (9)
R _ MA 8 1 2 R _ MA 2 3 4 SCMDCLK#0 SDQ57 R _ M D 58 M D [0 .. 63] R _ M D 24 MD24
( 9 ) C L K _ S D RA M1 AC26 AG28 M D [0 ..63] (9) 3 4
R _ MA 1 1 3 R _ MA 1 SCMDCLK1 SDQ58 R_ M D 59 R _ M D 25 MD25
4 1 2 ( 9 ) C L K _ S DRA M1# AB25 AF28 1 2
S M _ CB 7 1 CK E 1 SCMDCLK#1 SDQ59 R _ M D 60
2 3 4 ( 9 ) C L K _ S D RA M2 AC3 AG26
D M8 R _ MA 1 2 1 2 . 5 V S US SCMDCLK2 SDQ60 R _ M D 61 R N6 4 P 2 R-S -1 0 R N 5 0 4 P 2 R-S -1 0
3 4 2 ( 9 ) C L K _ S DRA M2# AD4
SCMDCLK#2 SDQ61
AF26 A d d r e s s B us
AC2 AE27 R _ M D 62 R _ MA 1 1 3 4 M A11 R _ M D 28 3 4 MD28
( 9 ) C L K _ S D RA M3 SCMDCLK3 SDQ62
RN113 4 P 2 R-S -5 6 R N85 4 P 2 R-S -5 6 AD2 AD27 R _ M D 63 R _ MA 8 1 2 M A8 R_ M D 26 1 2 MD26
( 9 ) C L K _ S DRA M3# SCMDCLK#3 SDQ63
RN112 4 P 2 R-S -5 6 RN101 4 P 2 R-S -5 6 AB23 AG14 R _ S M _ CB 0 R _ MA 1 2 3 4 M A12 R _ S M _ DQS 3 3 4 S M _ DQS 3
( 9 ) C L K _ S D RA M4 SCMDCLK4 SDQ64
S M _ CB 1 1 2 S M _ CB 2 3 4 R 56 AB24 AE14 R _ S M _ CB 1 R _ MA 9 1 2 M A9 R _ S D M3 1 2 D M3
( 9 ) C L K _ S DRA M4# SCMDCLK#4 SDQ65
S M _ CB 0 3 4 S M _ CB 3 1 2 6 0 4 /F AA3 AE17 R _ S M _ CB 2
( 9 ) C L K _ S D RA M5 SCMDCLK5 SDQ66
MD31 1 2 S M _ CB 6 3 4 AB4 AG16 R _ S M _ CB 3 R N7 4 P 2 R-S -1 0 R N 2 5 4 P 2 R-S -1 0
2 ( 9 ) C L K _ S DRA M5# SCMDCLK#5 SDQ67 2
MD30 3 4 S M _ DQS 8 1 2 AH14 R _ S M _ CB 4 R N9 4 P 2 R-S -1 0 R N 2 6 4 P 2 R-S -1 0
M C H _ S M V S W INGL SDQ68 R _ S M _ CB 5 R _ MA 5 M A5 R _ M D 29 MD29
AE15 3 4 3 4
RN111 4 P 2 R-S -5 6 R N84 4 P 2 R-S -5 6 SDQ69 R _ S M _ CB 6 R _ MA 4 M A4 R _ M D 27 MD27
AF16 1 2 1 2
RN110 4 P 2 R-S -5 6 R N83 4 P 2 R-S -5 6 SDQ70 R _ S M _ CB 7 R _ MA 7 M A7 R _ M D 30 MD30
( 9 ,2 9 ) S M D D R _ V R E F AF17 3 4 3 4
MD24 1 S M _ CB 5 3 R 57 SDQ71 R _ MA 6 M A6 R _ M D 31 MD31
2 4 S MDDR_V REF AJ24 1 2 1 2
MD25 3 4 S M _ CB 4 1 2 1 5 0 /F C 46 M C H _ S M R C O MP AB1 SMVREF
MD22 1 MD29 3 .1 U _ 0402 M C H _ S M V S W I N GH AJ19 SMRCOMP S RCV E NIN# R N 8 4 P 2 R-S -1 0 R N 5 1 4 P 2 R-S -1 0
2 4 AC16 T143
MD19 3 MD27 1 C 4 95 M C H _ S M V S W INGL AJ22 SMVSWINGH SRCVENIN# S RCV E NOU T# R N 1 1 4 P 2 R-S -1 0
4 2 AC15 T144
.1 U _0402 SMVSWINGL SRCVENOUT# R _ MA 3 M A3
3 4
RN109 4 P 2 R-S -5 6 R N82 4 P 2 R-S -5 6 r e m o v e R C V E N I N # a n d R C V E NOUT # R _ MA 0 1 2 M A0 R N 5 8 4 P 2 R-S -1 0
RN108 4 P 2 R-S -5 6 R N81 4 P 2 R-S -5 6 M o n ta ra -GM R _ MA 2 3 4 M A2 R _ M D 36 3 4 MD36
S M _ DQS 2 1 2 D M3 3 4 R _ MA 1 1 2 M A1 R _ M D 37 1 2 MD37
D M2 3 4 S M _ DQS 3 1 2
MD16 1 2 MD26 3 4 R N 1 0 4 P 2 R-S -1 0 R N 3 0 4 P 2 R-S -1 0
MD20 3 4 MD28 1 2 R N 1 2 4 P 2 R-S -1 0 R _ M D 32 3 4 MD32
R _ MA 1 0 3 4 M A10 R _ M D 33 1 2 MD33
RN107 4 P 2 R-S -5 6 R N80 4 P 2 R-S -5 6 R_ BA1 1 2 B A1 R _ S M _ DQS 4 3 4 S M _ DQS 4
B A1 (9)
RN106 4 P 2 R-S -5 6 R N79 4 P 2 R-S -5 6 R_ B M WEA# 3 4 B M WEA# R _ M D 38 1 2 MD38
B M WEA# (9)
MD10 1 2 MD23 3 4 R_ S C ASA# 1 2 S C ASA#
S CASA# (9)
MD14 3 4 MD18 1 2 S M D D R _ V T E RM S M D D R _ V T E RM R N 5 9 4 P 2 R-S -1 0
S M _ DQS 1 1 2 MD21 3 4 R N 1 4 4 P 2 R-S -1 0 R N 3 1 4 P 2 R-S -1 0
D M1 3 4 MD17 1 2 R N 1 3 4 P 2 R-S -1 0 R _ S D M4 3 4 D M4
R_ S R ASA# 3 4 S R ASA# R _ M D 34 1 2 MD34
S RASA# (9)
RN105 4 P 2 R-S -5 6 R N78 4 P 2 R-S -5 6 R_ BA0 1 2 B A0 R _ M D 35 3 4 MD35
B A0 (9)
RN104 4 P 2 R-S -5 6 R N77 4 P 2 R-S -5 6 C 5 7 3 C 5 6 6 C 5 91 C 5 9 2 C 6 00 C 6 0 7 C 5 6 4 C 5 55 C 5 5 7 C 5 96 C 5 59 C 6 0 4 C 5 69 C 6 1 1 C 5 6 5 C 6 08 C 5 6 8 C 5 58 C562 C614 R _ M D 39 1 2 MD39
M D8 1 2 MD11 3 4
M D9 3 4 MD15 1 2 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 .1 U _0402 .1 U _0402 . 1 U _ 0 4 .012U _ 0 4 0 2 .1 U _0402 .1 U _0402 R _ M A [ 0 ..1 2 ] R N 3 2 4 P 2 R-S -1 0
R _ M A [ 0 ..1 2 ] ( 9 )
M D2 1 2 MD13 3 4 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 M A [ 0 ..1 2 ]
M A [ 0 ..1 2 ] ( 9 )
M D3 3 4 MD12 1 2 R _ B A [ 0 ..1 ]
1 R _ B A [ 0 ..1 ] ( 9 ) Place Rterms close to second DIMM 1

RN103 4 P 2 R-S -5 6 R N76 4 P 2 R-S -5 6


RN102 4 P 2 R-S -5 6 R N75 4 P 2 R-S -5 6 S M D D R _ V T E RM S M D D R _ V T E RM S M D D R _ V T E RM
M D1
M D5
1
3
2
4
M D7
M D6
3
1
4
2
QUANTA
S M _ DQS 0 3
D M0 1
4
2
C 5 9 5 C 5 7 2 C 6 06 C 5 9 4 C 5 54 C 5 5 1 C 5 4 9 C 5 52 C 5 5 6 C 5 99 C 6 01 C 6 0 3 C 6 09 C 5 7 0 C 6 1 2 C 5 71 C 5 7 4 C 6 13 C602 C560 C 5 93 C 5 5 3 C 6 1 0 C 6 05 C 5 4 8 C 5 67 C 5 9 8 C 5 6 3 C 5 97 C 5 6 1 T itle
COMPUTER
Pull-up 120 signals R N74 4 P 2 R-S -5 6 MCH-M_C(DDR)
R N73 4 P 2 R-S -5 6 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402
M D0 3 4 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 . 1 U _ 0 4 0S2ize D o c u m e n t N umber R ev
M D4 1 2 A3 1A
OA8
5 0 P CS Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 8 of 34
A B C D E
1 2 3 4 5 6 7 8

2 . 5 V S US 2 . 5 V S US S MDDR_V RE F 2 . 5 V S US 2 . 5 V S US 2 . 5 V S US 0.1U cap per power pin. Place each capclose to pin.
33pin per socket (11*6).
S MDDR_V REF S MDDR_V RE F S MDDR_V RE F S MDDR_V RE F

C 79 C496 C494 C121


C N13 .1 U _ 0402 .1 U _ 0402 .1 U _ 0402 .1 U _ 0402 C N25 C520 C509 C497 C 1 06 C 5 19 C 94 C 85 C101 C 5 15 C99
1 2 1 2 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2
VREF VREF VREF VREF S M D D R _ V R E F ( 8 ,2 9 )
3 4 3 4
M D5 VSS VSS M D4 M D4 VSS VSS M D5
5 6 5 6
M D1 DQ0 DQ4 M D0 M D0 DQ0 DQ4 M D1 2 . 5 V S US
7 8 7 8
DQ1 DQ5 DQ1 DQ5
9 10 9 10
S M _ DQS 0 VDD VDD D M0 S M _ DQS 0 VDD VDD D M0
11 12 11 12
M D6 DQS0 DM0 M D3 M D3 DQS0 DM0 M D6
A 13 14 13 14 A
DQ2 DQ6 DQ2 DQ6
15 16 15 16
M D7 VSS VSS M D2 M D2 VSS VSS M D7
17 18 17 18
MD13 DQ3 DQ7 M D9 M D9 DQ3 DQ7 MD13 C523 C510 C532 C 1 23 C98 C 95 C511 C127
19 20 19 20
DQ8 DQ12 DQ8 DQ12 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2
21 22 21 22
MD12 VDD VDD M D8 M D8 VDD VDD MD12
23 24 23 24
S M _ DQS 1 DQ9 DQ13 D M1 S M _ DQS 1 DQ9 DQ13 D M1
25 26 25 26
DQS1 DM1 DQS1 DM1 2 . 5 V S US
27 28 27 28
MD11 VSS VSS MD10 MD10 VSS VSS MD11
29 30 29 30
MD15 DQ10 DQ14 MD14 MD14 DQ10 DQ14 MD15
31 32 31 32
DQ11 DQ15 DQ11 DQ15
33 34 33 34
VDD VDD VDD VDD
( 8 ) C L K _ S D RA M0 35 36 ( 8 ) C L K _ S D RA M3 35 36
CK0 VDD CK0 VDD
(8) C L K _ S D RA M0# 37 38 ( 8 ) C L K _ S D RA M3# 37 38
CK0 VSS CK0 VSS C527 C514 C534 C 1 26 C 1 02 C518 C531 C125
39 40 39 40
VSS VSS VSS VSS . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2
MD20 41 42 MD21 MD21 41 42 MD20
MD16 DQ16 DQ20 MD17 MD17 DQ16 DQ20 MD16
43 44 43 44
DQ17 DQ21 DQ17 DQ21 2 . 5 V S US
45 46 45 46
S M _ DQS 2 VDD VDD D M2 S M _ DQS 2 VDD VDD D M2
47 48 47 48
MD18 DQS2 DM2 MD22 MD22 DQS2 DM2 MD18
49 50 49 50
DQ18 DQ22 DQ18 DQ22
51 52 51 52
MD23 VSS VSS MD19 MD19 VSS VSS MD23
53 54 53 54
MD24 DQ19 DQ23 MD28 MD28 DQ19 DQ23 MD24
55 56 55 56
DQ24 DQ28 DQ24 DQ28 C105 C 96 C522 C 1 09 C 5 26 C513 C528 C 97 C77
57 58 57 58
MD25 VDD VDD MD26 MD26 VDD VDD MD25 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2
59 60 59 60
S M _ DQS 3 DQ25 DQ29 D M3 S M _ DQS 3 DQ25 DQ29 D M3
61 62 61 62
DQS3 DM3 DQS3 DM3
63 64 63 64
MD29 VSS VSS MD30 MD30 VSS VSS MD31 2 . 5 V S US
65 66 65 66
MD27 DQ26 DQ30 MD31 MD29 DQ26 DQ30 MD27
67 68 67 68
B DQ27 DQ31 DQ27 DQ31 B
69 70 69 70
S M _ CB 0 VDD VDD S M _ CB 4 S M _ CB 0 VDD VDD S M _ CB 4
71 72 71 72
S M _ CB 1 CB0 CB4 S M _ CB 5 S M _ CB 1 CB0 CB4 S M _ CB 5
73 74 73 74
CB1 CB5 CB1 CB5
75 76 75 76
S M _ DQS 8 VSS VSS D M8 S M _ DQS 8 VSS VSS D M8 C100 C524 C122 C 5 08 C 1 08 C517 C 91 C525 C 5 12
77 78 77 78
S M _ CB 2 DQS8 DM8 S M _ CB 6 S M _ CB 2 DQS8 DM8 S M _ CB 6 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2
79 80 79 80
CB2 CB6 CB2 CB6
81 82 81 82
S M _ CB 3 VDD VDD S M _ CB 7 S M _ CB 3 VDD VDD S M _ CB 7
83 84 83 84
CB3 CB7 CB3 CB7 2 . 5 V S US
85 86 85 86
DU DU/RESET DU DU/RESET
87 88 87 88
VSS VSS VSS VSS
( 8 ) C L K _ S D RA M2 89 90 ( 8 ) C L K _ S D RA M5 89 90
CK2 VSS CK2 VSS
(8) C L K _ S D RA M2# 91 92 ( 8 ) C L K _ S D RA M5# 91 92
CK2 VDD CK2 VDD
93 94 93 94
CK E 1 VDD VDD CK E 0 CK E 3 VDD VDD CK E 2
(8) CK E 1 95 96 CK E0 (8) (8) CK E 3 95 96 CK E2 (8)
CKE1 CKE0 CKE1 CKE0 C530 C128 C104 C 1 07 C 5 21 C124 C529 C516 C 5 33 C 1 29 C103
97 98 97 98
M A12 DU/A13 DU/BA2 M A11 C : S w a p t h e MA 1 1 a n d R_ M A 1 1 R _ MA 1 2 DU/A13 DU/BA2 R _ MA 1 1 . 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2. 1 U _ 0 4 0 2
99 100 99 100
PC2100 DDR SDRAM SO-DIMM (200P)

PC2100 DDR SDRAM SO-DIMM (200P)


M A9 A12 A11 M A8 R _ MA 9 A12 A11 R _ MA 8
101 102 101 102
A9 A8 A9 A8
103 104 103 104
M A7 VSS VSS M A6 R _ MA 7 VSS VSS R _ MA 6
105 106 105 106
M A5 A7 A6 M A4 A7 A6 2 . 5 V S US
107 108 (8) S M_B 5 107 108 S M_B 4 (8)
M A3 A5 A4 M A2 R _ MA 3 A5 A4
109 110 109 110 S M_B 2 (8)
M A1 A3 A2 M A0 A3 A2 R _ MA 0
111 112 (8) S M_B 1 111 112
A1 A0 A1 A0
113 114 113 114
M A10 VDD VDD B A1 R _ MA 1 0 VDD VDD R_ BA1
115 116 BA1 (8) 115 116
B A0 117 A10/AP BA1 118 S R ASA# R_ BA0 117 A10/AP BA1 118 R_ S R ASA# + +
(8) B A0 BA0 RAS S RASA# (8) BA0 RAS R _ S R A S A # (8)
B M WEA# 119 120 S C ASA# R_ B M WEA# 119 120 R_ S C ASA# C 34 C142
(8) B M WEA# WE CAS S CASA# (8) (8) R_ B M WEA# WE CAS R _ S C A S A # (8)
S M _ CS 0 # 121 122 S M _ CS 1 # S M _ CS 2 # 121 122 S M _ CS 3 # 1 5 0 U /6 .3 V * 1 5 0 U /6 .3 V
(8) S M _ CS 0 # S0 S1 S M _ CS 1 # (8) (8) S M _ CS 2 # S0 S1 S M _ CS 3 # ( 8 )
123 124 123 124
DU DU DU DU
125 126 125 126
C
MD36 127 VSS VSS 128 MD32 MD32 127 VSS VSS 128 MD36 C
MD37 DQ32 DQ36 MD33 MD33 DQ32 DQ36 MD37
129 130 129 130
DQ33 DQ37 DQ33 DQ37
131 132 131 132
S M _ DQS 4 VDD VDD D M4 S M _ DQS 4 VDD VDD D M4 D M [0 .. 8]
133 134 133 134 DM [0 ..8 ] (8)
MD38 DQS4 DM4 MD34 MD34 DQS4 DM4 MD38
135 136 135 136
137 DQ34 DQ38 138 137 DQ34 DQ38 138 M D [0 .. 63]
VSS VSS VSS VSS M D [0 ..6 3] (8)
MD35 139 140 MD39 MD39 139 140 MD35
MD45 DQ35 DQ39 MD41 MD41 DQ35 DQ39 MD45 M A [ 0 ..1 2 ]
141 142 141 142 M A [ 0 . .1 2 ] ( 8 )
DQ40 DQ44 DQ40 DQ44
143 144 143 144
MD44 VDD VDD MD40 MD40 VDD VDD MD44 S M _ D Q S [ 0 ..8 ]
145 146 145 146 S M _ D Q S [ 0 ..8 ] ( 8 )
S M _ DQS 5 147 DQ41 DQ45 148 D M5 S M _ DQS 5 147 DQ41 DQ45 148 D M5
DQS5 DM5 DQS5 DM5 S M _ C B [ 0 ..7 ]
149 150 149 150 S M _ C B [ 0 ..7 ] ( 8 )
MD42 VSS VSS MD43 MD43 VSS VSS MD42
151 152 151 152
MD46 DQ42 DQ46 MD47 MD47 DQ42 DQ46 MD46
153 154 153 154
DQ43 DQ47 DQ43 DQ47 R _ M A [ 0 ..1 2 ]
155 156 155 156 R _ M A [ 0 ..1 2 ] ( 8 )
VDD VDD VDD VDD
157 158 C L K _ S D RA M1# (8) 157 158 C L K _ S D RA M4# ( 8 )
VDD CK1 VDD CK1 R _ B A [ 0 ..1 ]
159 160 C L K _ S D RA M1 (8) 159 160 C L K _ S D RA M4 ( 8 ) R _ B A [ 0 ..1 ] ( 8 )
VSS CK1 VSS CK1
161 162 161 162
MD49 VSS VSS MD48 MD48 VSS VSS MD49
163 164 163 164
MD53 DQ48 DQ52 MD52 MD52 DQ48 DQ52 MD53
165 166 165 166
DQ49 DQ53 DQ49 DQ53
167 168 167 168
S M _ DQS 6 VDD VDD D M6 S M _ DQS 6 VDD VDD D M6
169 170 169 170
MD50 DQS6 DM6 MD54 MD54 DQS6 DM6 MD50
171 172 171 172
DQ50 DQ54 DQ50 DQ54
173 174 173 174
MD51 VSS VSS MD55 MD55 VSS VSS MD51
175 176 175 176
MD61 177 DQ51 DQ55 178 MD56 MD56 177 DQ51 DQ55 178 MD61
DQ56 DQ60 DQ56 DQ60
179 180 179 180
MD60 VDD VDD MD57 MD57 VDD VDD MD60
181 182 181 182
S M _ DQS 7 DQ57 DQ61 D M7 S M _ DQS 7 DQ57 DQ61 D M7
D 183 184 183 184 D
DQS7 DM7 DQS7 DM7
185 186 185 186
MD63 VSS VSS MD58 MD58 VSS VSS MD63
187 188 187 188
MD59 DQ58 DQ62 MD62 MD62 DQ58 DQ62 MD59
189 190 189 190

P DA T _ S MB
191
193
DQ59
VDD
DQ63
VDD
192
194 P DA T _ S MB
191
193
DQ59
VDD
DQ63
VDD
192
194
QUANTA
SDA SA0 ( 3 , 1 3 ,3 4 ) P DA T _ S MB SDA SA0 + 3V

+3V
R370 *1 0K
P C L K _ S MB

Z 0901
195
197
199
SCL
VDD(SPD)
SA1
SA2
196
198
200
( 3 , 1 3 ,3 4 ) P C L K _ S MB
+3V
P C L K _ S MB

Z 0902
195
197
199
SCL
VDD(SPD)
SA1
SA2
196
198
200 T itle
COMPUTER
VDD(ID) DU R99 *1 0K VDD(ID) DU
System DRAM Expansion (200P-DDR_SODIMM X 2)
A M P - D D R _ S O D I M M H=5 .2 A M P - D D R _ S O D I M M ( RE V E RS E ) H=4
S ize D o c u m e n t N umber R ev
SMbus address A0 CLOCK 0,1 SMbus address A1 CLOCK 3,4 A3 1A
CKE 0,1 CKE 2,3 OA8
T O P H = 5.2 mm B O T H = 4.0 mm Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 9 of 34
1 2 3 4 5 6 7 8
5 4 3 2 1

V A DJ

B LON
V IN 3V_591 + 3V
B : Add C N9 V IN

1
R 4 29 *0 B LON 1
(6) B L K CT L 2 C197 C209 C 2 10 C203 C 1 88
(24) V A DJ 3 .1 U _0402
D .1 U /50V_0805 .1 U _0402 .1 U _0402 .1 U _0402 D
4 C 2 11

2
INV E RT ER

1 0 U / 2 5 V /X 5 R/1 2 1 0

B: Swap
L 19 *CM S20B900
4 1 U S BP2+ (13)
B : C h a n g e Q 2 1 fro m S I3 4 5 6 to A A T 4 2 8 0 -3 f o r S witch/B 3 2
+3V B : D e l e t e R 1 4 8 , R1 6 1 ,Q1 5 ,Q1 7 ,Q1 8 C : C h a n g e f r o m R E F 3 V to RE F 3 V S C N8 L CDCON50P U S B P2- (13)
B:Add signal swid2
fo r l eakage.
+ 3V 1 2 S W ID2 (24)
R N 1 3 3 4 P 2 R-S -0
( 2 4 ,3 1 ,3 2 ) R E F 3 V S 3 4 S W ID1 (24)
R X D2 -1 3 4
L C D V CC ( 6 , 1 1 , 1 2 ,1 5 ,1 6 ,1 9 ,2 4 ,3 3 ) P C I R S T # 5 6 RX D2 (33)
C187 Q21 L 16 R 1 7 4 75 D - SLEEP T X D2 -1 1 2
7 8 T X D2 (33)
.1 U _0402 B K 2 1 2 5 -3 3T
+ 3V 9 10 R T S2# (33)
6 1 L CDV CC-1 L C D V CC
IN OUT (6) E DIDCL K 11 12 DTR2# (33)
f o r E DID L O G O _ L E D+ R159 2 00
(6) E DIDDA TA 13 14 + 3V
4 2 (24) T A B L ET# M B A T L E D0 # ( 2 4 )
IN GND 15 16 R428 *2 00
17 18 M B A T L E D1 # ( 2 4 ) + 5V
3 5 C 1 92 C189
(6) DIS P _ O N ON/OFF GND 3V_591 19 20 P W R _ L E D# ( 2 4 )
.1 U _0402 1 0 U / 1 0 V /U
21 22 H D D L E D # (12)
L C D V CC 23 24 C D L E D # (15)
A A T 4 2 8 0 -3
25 26 M S L E D# ( 1 6 )
R155
1 0K 27 28 R426 0
C
( 6 ) T X UCL K OUT + 29 30 T X L OUT 0 - ( 6 ) +3V C
( 6 ) T X UCL K OUT - 31 32 T X L OUT 0+ ( 6 )
33 34 R427 *0
(6) T X UOUT 1 + 35 36 T X L CL K OUT - ( 6 ) 3 V S US
(6) T X UOUT 1 - 37 38 T X L CL K OUT + ( 6 )
D I G I-V CC R164 *0
39 40 +5V
(6) T X UOUT 0 + 41 42 T X L OUT 2 - ( 6 )
R149 *0
(6) T X UOUT 0 - 43 44 T X L OUT 2+ ( 6 ) 5 V S US
45 46
(6) T X UOUT 2 + 47 48 T X L OUT 1 - ( 6 )
SPWG TYPE:B C 1 68

51
52
(6) T X UOUT 2 - 49 50 T X L OUT 1+ ( 6 )
.1 U _0402

51
52
NON-TABLET 1 QDI 14" XGA QDI141X1LH12
2 LG 14" XGA LP141X13
CH2 CH1
TABLET 3 AU 14"XGA B141XG08 V.2
4 TOSHIBA 14"XGA ??? LE D : H D D , C D , M S , P ow er/S uspend, Charge/Full
50 PIN

+ 5V

C199
.1 U _0402
B 3V_591 B
+ 5V
U2
5 6 P R _ I N S E RT # ( 2 4 ,3 4 )
VCC SEL
1 4 R 1 60 R167
(1 1 ) C RT_R IN_B1 COM CRT_R1 (6)
10K 1 0K
(3 4 ) P R_RE D 3
L 38 IN_B0 D 20 D19
2
BK1005LL680 GND B LON B L O N-1 R 1 66 1K M X L ID#
(6) BKLON 1 2 2 1 M X L ID# (24)
C 6 55 N C 7 S B3157
22P 1SS355
E: EMI request for RQ1 1SS355 C 2 05
U3 R1 65 .1 U _0402
5 6 100K
VCC SEL
(1 1 ) CRT_G 1 4 C R T _ G1 (6)
IN_B1 COM
(3 4 ) P R _GRN 3

3
L 39 IN_B0
2
BK1005LL680 GND
C O V E R S W # (2 5 )
C 6 56 N C 7 S B3157 2
(1 2 ) F P B A CK #
E: EMI request for RQ1 22P Q 22
D T C 1 4 4 E UA C190
U4 1000P

1
5 6
VCC SEL
(1 1 ) C R T _B 1 4 C R T _B1 (6)
IN_B1 COM
A (3 4 ) P R _ B LU 3 A
L 40 IN_B0
2
BK1005LL680 GND
C 6 57 N C 7 S B3157

E: EMI request for RQ1


22P QUANTA
SEL FUNCTION T itle
COMPUTER
LOW IN_B0 LCD CONN & LCDVCC
S ize D o c u m e n t N umber R ev
HIGH IN_B1 A3 1A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 10 of 34
5 4 3 2 1
A B C D E

TV-OUT

21
22
24
25
27
28
30
31
( 6 ) D V O B D [ 0 . .1 1 ]
U 23
D V O B D 11 50 9 HP D ET

TDC0*
TDC0
TDC1*
TDC1
TDC2*
TDC2
TLC
TLC*
D11 HPDET T27
D V O B D 10 51 B : A d d R4 3 1
DV OB D9 D10 B C O R431 0 -0 4 0 2
52 47 D V O B F L DS T L ( 6 )
DV OB D8 D9 BCO
53
DV OB D7 D8 C H S Y N C T20
54 48
DV OB D6 D7 C/H Sync
55
DV OB D5 D6 CV B S R295 75
58 36 T V - G ND
DV OB D4 D5 CVBS
59
DV OB D3 D4 T V _ L UMA
60 37 T V _ L UMA (3 4 )
DV OB D2 D3 Y/G
61
DV OB D1 D2 T V _ C H R OMA T V _ L UMA
4 62 38 T V _ C H R OMA (3 4 ) 4
DV OB D0 D1 C/R/V
63
D0 T V _ COMP B : C h a n g e fo o tp rint. T V _ C H R OMA
39 T V _ COMP (3 4 )
CVBS/B/U
(6) D V O B C LK# 56
+ 1 .5 V + 1 .5 V + 3V + 3V XCLK* T V _ D V DD R262 0 T V _ COMP
(6) DV OB CLK 57 1 +3V
XCLK DVDD0
12
B : Add DVDD1 R241 R240 R 2 39
(6) D V O B L A NK # 2 49
DE DVDD2 C342 C351 C415 C 4 26 75 75 75
R312 R305 R 3 03 R304 46 6 .1 U _0402 .1 U _0402 .1 U _0402 1 0 U / 1 0 V /V
(6) D V O B C L K INT Pout/DET* DGND0
2 .2K 2 .2K 2 .2 K 2 .2K 11
DGND1
(6) D V O B H S Y N C 4 64 T V - G ND (3 4 )
H DGND2
(6) D V O B V S Y N C 5
Z 1101 Z 1102 V T V _ D V DDV R268 0
45 + 1 .5 V
2

DVDDV T V - G ND
( 6 , 1 0 , 1 2 ,1 5 ,1 6 ,1 9 ,2 4 ,3 3 ) P C I R S T # 13
RESET* T V D D R4 30 0
23 + 3V
T V S DA 1 TVDD0 C 3 27 C354
(6) T V S DA 1 3 14 29
T V S CL 1 SPD TVDD1 C 6 53 B : Add .1 U _0402 * 1 0 U / 1 0 V /V
15 20
2

B : Add SPC TGND0 .1 U _0402


( 6 ) D V O B I N T RB # 26
Q 29 C 4 12 .1 U _0402 TGND1 R 2 81 0 -0 8 0 5
7 32
F D V 3 0 1N R 3 14 3 30 T V GP IO0 GPIO1 TGND2 B : C h a n g e fo o tp rint.
(6) T V S CL 1 3 8
Q27 C 4 16 C425 GPIO0 T V _ A V DD R271 56
18 +3V
FDV 301N *22P *2 2P R 3 13 3 30 AS AVDD0 R 3 11 0 -0 8 0 5
10 44
AS AVDD1
16
B : C h a n g e Q 2 7 , Q 2 9 fro m Ro h m R 2 94 1 4 0 /F I SET AGND0 C 4 06 C404 C395
T V - G ND 35 17
R H U 0 0 2 N 0 6 t o F a irchild F DV 3 0 1 N. ISET AGND1 .1 U _0402 .1 U _ 0402 1 0 U / 1 0 V /V
41
R 4 32 2 .2 K B : A d d T V _ V S W ING AGND2
T V - G ND 19 33 + 3V
VSWING VDD T V - G ND
34
GND0

XI/FIN
R 2 82 10K T V RE F 3 40
+ 1 .5 V VREF GND1 C 3 25 C341

XO
3 .1 U _0402 * 1 0 U / 1 0 V /V 3
R293 CH7011

42

43
AS: Address set to 0X76 1 0K C413
.1 U _0402 T V - G ND T V - G N D T V - G ND
GPIO0:0:NTSC (DEAFULT) TVXI TVXO
1: PAL R249 0
(3) 1 4 M _ TV 1 2 Y2
* 1 4 . 3 1 8 MHz/2 0 P F

C 3 26 C 3 28
*20P *20P

+ 5V

+ 1 .5 V C5 .1 U _0402
D2
DA 204U
F1
C RTVDD2 C RTVDD3
CRT PORT
C N1 R 1 40

16
+ 5V 2 1
C R T _ CONN_ DIP 10K
1 P O L Y S W I T C H 1 .1 A L3 F B M 2 1 2 5 HM330
C R T _ R _1 6
3 L12 0 .1 5 UH C R T _ R _1
(1 0 ) C RT_R 1 11 C R T _ S E NS E # ( 1 3 ,3 4 )
7
2 L13 0 .1 5 UH C R T _ G_ 1
(1 0 ) CRT_G 2 12
2
8 2
D4 L14 0 .1 5 UH C R T _B_1 3 13 C 1 54
(1 0 ) C R T _B
DA 204U 9 180P
B K 1 6 0 8 L L 6 80 C 1 57 C156 C 1 55 C R T . 44 14
1 R4 R3 R5 C160 C159 C158 10
C R T _B_1 75 75 75 15P 1 5P 15P T1 5 15
3 *1 5P *1 5P *1 5P
2

17
D3
DA 204U
1
C R T _ G_ 1
3 +5V
( 6 ,3 4 ) D D C CLK 1 3
2 Q4
RHU002N06
+5V
2

C 6 51
.1 U _0402 C : C h a n g e t h e n e t to P o rt Re p l i c a to r.
1 3 D D CCLK 2 C R TVDD3
(6) C R T V S _ V GA
5

+5V L5 B K 1 6 0 8 HM121 D DCCLK _1


C R T _VS2 (34)
D 17 2 Q2
( 6 , 1 0 , 1 2 ,1 5 ,1 6 ,1 9 ,2 4 ,3 3 ) P C I R S T #
DA 204U 4 RHU002N06 CRT _VS2 L4 B K 1 6 0 8 HM121 C R T _VS_1 R2 2K
2

1 C R T _ H S 2 (34)
1 L2 B K 1 6 0 8 HM121 C R T _ H S_1 R1 2K
D DCCLK _1 U 39 1 3 CRT _ H S2
(6) C R T H S _ V GA
3

3 7 S H0 8 D DCDA T 2 L1 B K 1 6 0 8 HM121 DDCDA T _1


1
Q3 1
2 B : A d d t h e a d d g a te fo r S Y NC. RHU002N06
2

C3 C4 C2 C1

D1
DA 204U ( 6 ,3 4 ) D D CDA T 1 3 2 2P 22P 2 2P 2 2P QUANTA
1
+5V
Q1
RHU002N06 COMPUTER
2

DDCDA T _1 T itle
3
CRT & TV DECORDER
2 S ize D o c u m e n t N umber R ev
A3 2A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 11 of 34
A B C D E
1 2 3 4 5 6 7 8

ICH HUB
+V CCP P C I Pullups

R P4 +3V
R E Q 3# 6 5
R115 U28A
IRD Y# 7 4 P L O CK #
56 S T OP # 8 3 P E RR#
V21 H19 A P ICD0 R 93 1 0K IRQ1 5 9 2 S E RIRQ
(4) N MI NMI APICD0
R120 AB23 K20 A P ICD1 R 97 1 0K 10 1 IRQ1 4
(4) A20M# A20M# APICD1 + 3V
56 I CH4_FE RR# AA21 J19
(4) F E RR# FERR# APICCLK 1 0 P 8 R-8 .2 K
W21
A (4)
(4)
I GNNE#
I NTR AB22
IGNNE#
INTR
CPU SMI#
W23 S M I# (4)
A

(4) CP UINIT # V22 V23 S T P CL K # ( 4 )


INIT# STPCLK# R P1 +3V
(24) R C I N# U22 U21 C P U _ SLP# (4)
RCIN# CPUSLP# Z 1201
(24) GA T E A 2 0 Y22 U23 D P S LP# ( 4 ,6 ) T39 6 5
A20GATE DPSLP# RE Q B# RE Q 2#
7 4
RE Q 4# 8 3 F R A ME #
R E Q 1# 9 2 RE Q A#
A D0 H5 J2 C /B E0# 10 1 GN T4#
AD0 C/BE0# C /B E 0# ( 1 6 ,1 9 ) + 3V
A D1 J3 K4 C /B E1#
AD1 C/BE1# C /B E 1# ( 1 6 ,1 9 )
+ 3V A D2 H3 M4 C /B E2# 1 0 P 8 R-8 .2 K
AD2 C/BE2# C /B E 2# ( 1 6 ,1 9 )
A D3 K1 N4 C /B E3#
AD3 C/BE3# C /B E 3# ( 1 6 ,1 9 )
A D4 G5
R78 A D5 AD4 F R A ME # R P3 +3V
J4 F1 F RA ME# ( 1 6 ,1 9 )
10K A D6 AD5 FRAME# IRD Y# P IRQC#
H4 L5 IRDY # ( 1 6 ,1 9 ) 6 5
A D7 AD6 IRDY# T RDY # P IRQD# D E VSEL#
J5 F2 T R DY # ( 1 6 ,1 9 ) 7 4
R 70 47 A D8 AD7 TRDY# D E VSEL# P IRQ B# S E RR#
K2 M3 D E V SEL# ( 1 6 ,1 9 ) 8 3
A D9 AD8 DEVSEL# S T OP # P IRQ A# T RDY #
G2 F3 S T OP # ( 1 6 ,1 9 ) 9 2
+3V A D10 AD9 STOP# P AR R E Q 0#
L1 G1 10 1
C 59
A D11
A D12
G4
AD10
AD11
PCI PAR
SERR#
K5 S E RR#
P E RR#
P AR
S E RR#
( 1 6 ,1 9 )
( 1 6 ,1 9 )
+ 3V
1 0 P 8 R-8 .2 K
L2 L4 P E RR# ( 1 6 ,1 9 )
*.1 U _0402 A D13 AD12 PERR# P L O CK #
H2 M2
5

RS T _ HDD# 1 A D14 AD13 PLOCK#


(13) RS T _HDD# L3
4 - R S T _ H D D0 A D15 AD14 R E Q 0# R P2 +3V
F5 B1 RE Q0# RE Q0 :X
P CIR ST# A D16 AD15 REQ0# R E Q 1# P IRQ E#
( 6 , 1 0 , 1 1 ,1 5 ,1 6 ,1 9 ,2 4 ,3 3 ) P C I R S T # 2 F4 A2 RE Q1# (19) RE Q1 : M I N I P CI 6 5
U8 A D17 AD16 REQ1# R E Q 2# P IRQF # GN T0#
N1 B3 RE Q2# 7 4
3

*T C 7 S H 0 8FU AD17 REQ2# RE Q2 : X


A D18 E5 C7 R E Q 3# P IRQG# 8 3 GN T1#
AD18 REQ3# RE Q3# (16) RE Q3 : CA RD/1394
A D19 N2 B6 R E Q 4# P IRQH# 9 2 GN T2#
A D20 AD19 REQ4# GN T3#
E3 + 3V 10 1
B A D21 AD20 GN T0# B
N3 C1 G N T 0#
A D22 AD21 GNT0# GN T1# 1 0 P 8 R-8 .2 K
E4 E6 G N T 1# (19)
A D23 AD22 GNT1# GN T2#
M5 A7 G N T 2#
A D24 AD23 GNT2# GN T3#
E2
AD24 GNT3#
B7 G N T 3# (16) C:test for Tablet
A D25 P1 D6 GN T4#
A D26 AD25 GNT4#
E1
A D27 AD26
P2
A D28 AD27 P IRQ A# R101 *0 P IRQD# P IR Q A #: X
D3 D5 P IRQA #
A D29 AD28 PIRQA# P IRQ B# P I R Q B # : C A R D B US /1 3 9 4
R1 C2 P IRQB # (16)
A D30 AD29 PIRQB# P IRQC# R104 *0 P IRQH# P I R Q C # : C A R D B U S /1 3 9 4
D2 B4 P I RQC# (16)
A D31 AD30 PIRQC# P I R Q D # : 5 9 1 e vent
( 1 6 ,1 9 ) A D [ 0 . . 3 1 ] P4 A3 P I RQD# (24)
AD31 PIRQD# P IRQ E# P I R Q E # : M I N I -P CI
C8 P IRQE # (19)
PIRQE#/GPIO2 R 4 38 0 P I R Q F # : M I N I - P CI
C : A d d t h e tra c e . D7 P IRQF # ( 1 9 ,2 4 )
P C I _ P ME # PIRQF#/GPIO3 P IRQG# P I R Q G # : C A R D B U S /1 3 9 4
( 1 6 ,1 9 ) P C I _ P ME # W2 C3 P IRQG# (16)
P CL K _ ICH PME# PIRQG#/GPIO4 P IRQH# P I R Q H # : I n t e rn a l US B
(3) P CL K _ ICH P5 C4 P I RQH# (19)
P CIR ST# R 1 09 22 R _ P C I R S T # U5 PCICLK PIRQH#/GPIO5
( 6 , 1 0 , 1 1 ,1 5 ,1 6 ,1 9 ,2 4 ,3 3 ) P C I R S T # PCIRST#
C L K R U N # AC2 J22 S E RIRQ D:Add R438
( 1 5 , 1 6 ,1 9 ,2 4 ,3 3 ) C L K R U N # CLKRUN#/GPIO24 SERIRQ S E RIRQ ( 1 5 ,1 6 ,2 4 ,3 3 )
F P B A CK # E8 B5 RE Q A# for C-test BIOS phase in 480017
R102 (1 0 ) F P B A CK # GNTA#/GPIO16 REQA#/GPIO0
C5 A6 RE Q B#
*33 S W A P B L A NK GNTB#/GNT5#/GPIO17 REQB#/REQ5#/GPIO1
R 1 16 *10K P C I _ P ME #
3 V S US
P D D0 AB11 W17 S D D0
PDD0 SDD0 S D D0 (15)
C120 P D D1 AC11 AB17 S D D1
PDD1 SDD1 S D D1 (15)
*1 0P P D D2 Y10 W16 S D D2
PDD2 SDD2 S D D2 (15)
P D D3 AA10 AC16 S D D3
PDD3 SDD3 S D D3 (15)
P D D4 AA7 W15 S D D4
PDD4 SDD4 S D D4 (15)
P D D5 AB8 AB15 S D D5
PDD5 SDD5 S D D5 (15)
P D D6 Y8 W14 S D D6
C PDD6 SDD6 S D D6 (15) C
P D D7 AA8 AA14 S D D7
+3V
R 1 19 10K C L K RUN# P D D8
P D D9
AB9
PDD7
PDD8
IDE SDD7
SDD8
Y14 S D D8
S D D9
S D D7
S D D8
(15)
(15)
Y9 AC15 S D D9 (15)
R63 10K F P B A CK # P DD10 PDD9 SDD9 S DD10
+3V AC9 AA15 S DD10 (15)
P DD11 PDD10 SDD10 S DD11
W9 Y15 S DD11 (15)
P DD12 AB10 PDD11 SDD11 AB16 S DD12
PDD12 SDD12 S DD12 (15)
P DD13 W10 Y16 S DD13
PDD13 SDD13 S DD13 (15)
P DD14 W11 AA17 S DD14
PDD14 SDD14 S DD14 (15)
P DD15 Y11 Y17 S DD15
PDD15 SDD15 S DD15 (15)
C N26
- R S T _ H D D0 P D C S 1# Y13 AB21 S D C S 1# P DIO R # R 1 27 *4 .7K
1 2 PDCS1# SDCS1# S DCS 1# (15) +5V
P D D7 P D D8 P D C S 3# AB14 AC22 S D C S 3# P DIO W # R 1 28 *4 .7K
3 4 PDCS3# SDCS3# S DCS 3# (15)
P D D6 P D D9 P DA 0 AA13 AA20 S DA 0
5 6 PDA0 SDA0 S DA 0 (15)
P D D5 P DD10 P DA 1 AB13 AC20 S DA 1
7 8 PDA1 SDA1 S DA 1 (15)
P D D4 P DD11 P DA 2 W13 AC21 S DA 2 P D DRE Q R 1 29 *4 .7K
9 10 PDA2 SDA2 S DA 2 (15)
P D D3 P DD12 P DIO R # AC12 Y18 S DIO R # P D D7 R 1 30 *1 0K
11 12 PDIOR# SDIOR# S D IO R # (15)
P D D2 P DD13 P DIO W # W12 AA18 S DIO W #
13 14 PDIOW# SDIOW# S D IO W # (15)
P D D1 P DD14 P IO RDY AB12 AC19 S IO RDY
15 16 PIORDY SIORDY S IO R DY (15)
P D D0 P DD15 IRQ1 4 AC13 AA19 IRQ1 5
17 18 IRQ14 IRQ15 I RQ15 (15)
P D DRE Q AA11 AB18 S D DRE Q
19 20 PDDREQ SDDREQ S D D RE Q (15)
P D DRE Q P DDA CK # Y12 AB19 S DDA CK #
21 22 PDDACK# SDDACK# S DDA CK # (15)
P DIO W #
P DIO R # 23 24
P IO RDY 25 26 P C SEL R91 4 70 I CH4 -M
P DDA CK # 27 28
IRQ1 4 29 30
P DA 1 31 32 P DIA G R88 10K
P DA 0 33 34 P DA 2 H D D _ V DD
P D C S 1# 35 36 P D C S 3#
D 37 38 D
L7 B K 2 1 2 5 HS 2 4 1
(1 0 ) H D DLE D# 39 40
H D D _ V DD 2 1 +5V
41 42

C130
43
HDD CON
44 L 3 7 * B K 2 1 2 5 HS 2 4 1
2 1
+3V QUANTA
+3V
*1 00P C430
.1 U _0402
C432
1 000P
C431
.1 U _0402
C 37
1 0 U / 1 0 V /V
C38
1 0 U / 1 0 V /V
P IO RDY

S IO RDY
R 1 26

R 1 17
4 .7K

4 .7K T itle
COMPUTER
HDD CONNECTOR 40MIL C L O S E T O ICH4 -M
ICH4-M (CPU,PCI,IDE)
S ize D o c u m e n t N umber R ev
A3 1A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 12 of 34
1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

L CDID[0 ..2 ]
3 V_S5 L CDID[0 ..2 ]
+3V
R N1 RN5
U S B O C 4#
U S B O C 3#
1
3
2
4
L C DID0
L C DID1
1
3
2
4
ICH4-M USB 0,1: SYSTEM
U S B O C 5# 5 6 L C DID2 5 6 USB 2: Digitizer
U S B O C 2# 7 8 G P I O3 5 7 8 USB 3,4,5: Port Peplicator
CL K _ 3 2 K X 1
8 P 4 R-1 0 K 8 P 4 R-1 0 K U 2 8B
G P I O3 6 R 80 1 0K
G P I O3 7 R 90 1 0K L C DID0 J20 F23
+3V GPIO32 GPIO38 R S T _ H D D # (12)
L C DID1 G22 H22 R 3 92
GPIO33 GPIO39 R S T _ R B A Y # (1 5 )
L C DID2 F20 G23 G P I O4 0 R328 1 0K 10M
GPIO34 GPIO40 + 3V
A G P I O3 5 G20 H21 G P I O4 1 R332 1 0K Y6 A
GPIO35 GPIO41 + 3V
G P I O3 6 F21 F22 G P I O4 2 R326 1 0K CL K _ 3 2 K X 2 2 1

C 1 40
G P I O3 7 H20
GPIO36
GPIO37
USB GPIO42
GPIO43
E23 S M _ E N#
S M _ E N#
+ 3V
(34)
3 2 . 7 6 8 K HZ
.1 U _0402 R103 *0 C20 A21 C 5 76 C 6 25
(25) U S B P0+ USBP0P USBP1P U S B P1+ (25)
D20 B21 12P 12P
(25) U S B P0- USBP0N USBP1N U S B P1- (25)
(25) U S B O C 0# B15 C14 US B OC1# (25)
5

OC0# OC1#
(10) U S B P2+ C18 A19 U S B P3+ (34)
S U SA# USBP2P USBP3P
2 (10) U S B P2- D18 B19 U S B P3- (34)
U S B O C 2# USBP2N USBP3N U S B O C 3#
4 C L K _ P W R D W N # (3) U S B O C 2# A15 B14 US B OC3# (34)
S U SB# OC2# OC3#
1 (34) U S B P4+ C16 A17 U S B P5+ (34)
USBP4P USBP5P
(34) U S B P4- D16 B17 U S B P5- (34)
U9 U S B O C 4# USBP4N USBP5N US B O C 5#
(34) U S B O C 4# A14 D14 US B OC5# (34)
3

7 S H0 8 OC4# OC5#
(3) C L K 4 8 _ US B F19 A23
CLK48 USBRBIAS U S B R B IA S R 3 27 2 2 . 6 /F
B23
USBRBIAS#
C 64 *2 2P C L K 4 8 _ US B 1 R 81 *22 H L0 L19 T20 H L6 + 1 .5 V
H L1 HI0 HI6 H L7
L20 R20
H L2 HI1 HI7 H L8
M19 P23
B : K e e p NC H L3 HI2 HI8 H L9 R 94
M21 L22
H L4 HI3 HI9 HL 1 0 H L [0 .. 10] 2 2 6 /F
P19 N22
C L K 6 6 _ I CH
(6) HL [0 ..10]
H L5 R19
HI4
HI5
HUB LINK HI10
HI11
K21 H L 1 1 R 3 41 56
HL [0 ..10] (6)

1 4 M _ I CH C L K 6 6 _ I CH T21 M23 H UB _RE F H UB _ V S W ING ~ 0 .8 V


(3) C L K 6 6 _ I CH HI_CLK HI_REF
H LSTB P21 R22 H UB _ V S W ING
(6) H LSTB HL_STB/HL_STBS HI_VSWING
H LSTB# N20 R23 I C H _ R C O M P R 3 55 4 8 . 7 /F C131 C110
(6) H LSTB# HL_STB#/HLSTBF HUB_RCOMP + 1 .5 V
R 3 38 R 3 58 . 01U . 01U C 1 15 R 96
*22 *22 L A D0 /F W H0 L A D0 /F W H0 T2 .1 U _0402 1 4 7 /F
T37 ( 1 5 ,2 4 ,3 3 ) L A D0 /F W H0 LAD0/FWH0
L A D1 /F W H1 L A D1 /F W H1 R4 U3 L P C _ D R Q 0#
B
1 4 M _ I CH-1 C L K 6 6 _ I CH-1
T41
T73
L A D2 /F W H2
L A D3 /F W H3
( 1 5 ,2 4 ,3 3 )
( 1 5 ,2 4 ,3 3 )
L A D1 /F W H1
L A D2 /F W H2
L A D2 /F W H2
L A D3 /F W H3
T4
LAD1/FWH1
LAD2/FWH2
LPC&FWH LDRQ0#
LDRQ1#
U4 L P C _ D R Q 1#
L F R A M E # / F W H4
L P C _ D R Q 0 # ( 2 4 ,3 3 )
L P C _ D R Q 1#
H UB _RE F ~ 0 .3 5 V
B

T76 ( 1 5 ,2 4 ,3 3 ) L A D3 /F W H3 U2 T5 L F R A M E # / F W H4 ( 1 5 ,2 4 ,3 3 )
LAD3/FWH3 LFRAME#/FWH4
C 4 51 C 4 81 A C _ RE S E T # C13 Y6 V B IA S
( 1 9 ,2 1 ) A C _ RE S E T # AC_RST# VBIAS
*22P *22P A C _S Y NC R60 33 A C_S Y NC_1 C9 AC7 CL K _ 3 2 K X 1 C8 8 R 89
( 1 9 ,2 1 ) A C _ S Y N C AC_SYNC RTCX1
A C_ S DIN0 D13 AC6 CL K _ 3 2 K X 2 .1 U _0402 1 1 3 /F
(21) A C_ S DIN0 AC_SDIN0 RTCX2
A C_ S DIN1 A13 AB5 V C CRTC C 4 3 4 .1 U _ 0402
(19) A C_ S DIN1
T40
A C_ S DIN2
R61 33
B13
AC_SDIN1
AC_SDIN2
AC97&RTC VCCRTC
RTCRST#
W7 RT CR ST#
A C _ B IT CL K A C _ B IT CL K _ 1 B8 D9 A C _ S D OR 6 2 33
( 1 9 ,2 1 ) A C _ B IT CL K AC_BITCLK AC_SDOUT A C _ S D O UT ( 1 9 ,2 1 )
IN TRUDE R#
P C L K _ S MB AC4 W6 R384 1 00K
( 3 ,9 ,3 4 ) P C L K _ S MB SMBCLK INTRUDER# V C CRTC
C 4 99 C224 *2 2P P DA T _ S MB AB4 AA5 S MB A L E RT #
3 V_S5 .1 U _0402
( 3 ,9 ,3 4 ) P DA T _ S MB SMBDATA SM SMBALERT#/GPIO11 S MB A L E RT #

3V_S5 RI# S U SB#


(21) RI# Y1 Y4 S USB# ( 1 6 ,2 4 )
ICH_ T HRM # RI# SLP_S3# S US C#
T75 V1 Y2 S US C# (24)
5

R 3 64 P W ROK THRM# SLP_S4# SLP_S5#


( 6 ,2 4 ) P W ROK AB6 AA2 T83
100K VCC B A L OW # PWROK SLP_S5#
AB2 AA4
D29
3
A Y R S M RS T #
+ 3V
R110 *1K (24) B A T L OW #
(24) D N B S W O N #
D N BSWON#
R S M RS T #
AA1
BATLOW#
PWRBTN#
PM SUSCLK
LAN_RST#
Y5
S U S C LK (6)
L A N _ RS T ( 2 0 ,2 4 )
4 AA6 Y3 D B R# (4)
- R S MRS T B R111 56 T H E R M T R I P # ICH RSMRST# SYS_RESET# S U SA#
( 2 4 ,2 7 ,2 9 ) S 5 _ ON 1 2 6 + V CCP W20 W18 T77
ORGND THRMTRIP# SLP_S1#/GPIO19 R 3 76 10K
(30) DP RS L P VR V20 Y21 S T P _ P CI# ( 3 ) 3 V_S5
1SS355 GND U 33 S T P _ A GP # T3 DPRSLPVR STP_PCI#/GPIO18
T72 W19 S T P _ CP U# ( 3 ,3 0 )
NC7S Z 58 1 C3_STAT#/GPIO21 STP_CPU#/GPIO20 R 3 56 10K
(6) A G P _ B US Y # R2 AB3 S US _ S T A T # ( 3 3 )
2

C 4 84 D 15 AGPBUSY#/GPIO6 SUS_STAT#/LPCPD#
.1 U _0402 3 * P S OT 0 5 L C D25 1SS355
1 4 M _ I CH J23 V5 S W I #_1 2 1
2 ( 3 ,2 1 ) 1 4 M _ I CH CLK14 GPIO12 S W I# (2 4 )
S M L INK 0 AC3 W3 S C I #_1 2 1
SMLINK0 GPIO13 S CI# (2 4 )
S M L INK 1 AB1 D 30 1 SS355
C
D8 V C CRTC B : K e e p NC. P C SPK H23 SMLINK1 V2 S W A P L E D1 R 1 08 10K C

3 V S US 2 1
(21) P CSPK
( 1 1 ,3 4 ) C R T _ S E NS E #
C R T _ S E NS E #
K B S MI#
R3
SPKR
GPIO7
MISC&GPIO GPIO25
GPIO27
W1 WP
S P K OF F
T78
+ 3V

V C CRTC ( 1 5 ,2 4 ) (24) K B S MI# V4 W4 S P K OF F (21)


*RB 500 R112 1 0K GPIO8 GPIO28
3 V_S5
1

D7 R 67 C55 G1 Y23
(4) C P U P W RGD CPUPWRGD
2 1 1K 1 U/10V S H O R T _ P A D1 CP UP E RF# Y20
3 V_591 T81
T53
S S MUX J21
CPUPERF#/GPIO22 SpeedStep
2

R B 500 SSMUXSEL/GPIO23
(30) IM V P _PWG V19
P R 27 VGATE/VRMPWRGD
D6 200K
2 1 D_ 3 V R TC RT CR ST# +V CCP RN2 8 P 4 R-S -2 2
D e l a y 1 8 ~2 5 ms E E _ CL K C12 A10 L A N _ RX D0 -1 L A N _ RX D0 -1 1 2
EE_SHCLK LAN_RXD0 L A N _ RX D0 (2 0 )
R B 500 R114 8 .2K CP UP E RF# E E _ D OUT A8 A9 L A N _ RX D1 -1 L A N _ RX D1 -1 3 4
C57
.1 U _0402 3V_S5
E E _ DIN
E E _ CS
D11
EE_DOUT
EE_DIN
LAN LAN_RXD1
LAN_RXD2
A11 L A N _ RX D2 -1
L A N_ T X D0 -1
L A N _ RX D2 -1
L A N_ T X D0 -1
5 6
L A N _ RX D1
L A N _ RX D2
(2 0 )
(2 0 )
+ 3V
D10 B10 7 8 L A N_ T X D0 (2 0 )
EE_CS LAN_TXD0 L A N_ T X D1 -1
C10
R 74 1 00K S M _ E N# LAN_TXD1 L A N_ T X D2 -1 L A N_ T X D1 -1
A12 1 2 L A N_ T X D1 (2 0 )
R125 4 .7K S M L INK 0 LAN_TXD2 L A N_ T X D2 -1 3 4 L A N_ T X D2 (2 0 )
R 66 C58 R 75 R118 4 .7K S M L INK 1 C11 L A N _ CL K -1 L A N _ CL K -1 5 6
LAN_CLK L A N _ CL K (2 0 )
1K .0 4 7U 1 0M R122 1 0K S MB A L E RT # B11 L A N _ S Y N C -1 L A N _ S Y N C -1 7 8 R 1 05 R 98 R 95
LAN_RSTSYNC L A N _ S Y N C (20)
R_ 3 V R TC V B IA S CL K _ 3 2 K X 1 R123 1 0K P C L K _ S MB *10K *1 0K *1 0K
R124 1 0K P DA T _ S MB IC H 4 -M RN3 8 P 4 R-S -2 2
R113 1 0K RI# L P C _ D R Q 0#
R21 5V P CU R121 1 0K B A L OW #
3K L P C _ D R Q 1#
3 V R TC 1 3 R T C _ N 01 R131 1 00K P W ROK
L F R A M E # / F W H4
D
Q8 + 3V D
3 904 A C _ B IT CL K
2

R 18 ICH_ T HRM # R107 8 .2K


1

4 .7K A C_ S DIN1 U25 A T 9 3 C4 6 3 V S US


BT1
R T C-B A T RT C _ N 02 A C_ S DIN0 P C SPK R 87 *8 .2K
E E _ D OUT 3
DI DO
4
7
E E _ DIN QUANTA
NC
E E _ CS 1 6 R323 0
COMPUTER
2

E E _ CL K 2 CS ORG 8
A C _ S D O UT R133 *8 .2K SK VCC T i tle
5
RTC R 17
1 5K
R52
*10K
R59
*10K
R58
*10K
GND
C443
ICH4-M USB,HUB & LPC INTERFACE
. 1 U _ 0 4 0 2 S ize D o c u m e n t N umber R ev
A3 1B
OA8
+ V CCP ( 4 , 5 , 6 ,7 ,1 2 ,1 4 ,2 7 ,2 9 ) Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 13 of 34
1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

D22
1 2 5VSUS
RB751V U28D

N21 C21
D23 VSS000 VSS051
N23 C23
A V5REF_SUS VSS001 VSS052 A
1 2 3V_S5 N5 C6
VSS002 VSS053
P11 D1
RB751V VSS003 VSS054
P13 D12
C50 C51 VSS004 VSS055
P20 D15
.1U_0402 1U/10V VSS005 VSS056
P22 D17
VSS006 VSS057
P3 D19
VSS007 VSS058
+1.5V R18 D21
R336 1K VSS008 VSS059
R21 D23
U28C VSS009 VSS060
R5 D4
C113 C119 +5V VSS010 VSS061
T1 D8
.01U .1U_0402 D24 VSS011 VSS062
T19 D22
5 VREF_RUN VSS012 VSS063
C22 E15 1 2 +3V T23 E10
VCCPLL V5REF_SUS VSS013 VSS064
+VCCP U20 E14
RB751V VSS014 VSS065
V15 E16
C457 C455 VSS015 VSS066
E7 V17 E17
C133 C143 C116 V5REF1 .1U_0402 1U/10V VSS016 VSS067
AA23 V6 V3 E18
1U/10V .1U_0402 .1U_0402 V_CPU_IO_0 V5REF2 VSS017 VSS068
P14 W22 E19
V_CPU_IO_1 VSS018 VSS069
U18 W5 E21
3VS5_ICH4LAN V_CPU_IO_2 VSS019 VSS070
L23 +1.5V W8 E22
R325 0 VCCHI_0 VSS020 VSS071
3VSUS M14 Y19 F8
VCCHI_1 C84 C92 C139 VSS021 VSS072
E9 P18 Y7 G19
C440 C73 C62 VCCLAN3_3_1 VCCHI_2 .1U_0402 .1U_0402 .1U_0402 VSS022 VSS073
F9 T22 A1 G21
10U/10V/U .1U_0402 .1U_0402 VCCLAN3_3_0 VCCHI_3 VSS023 VSS074
F6 A16 G3
B
F7
VCCLAN1_5_0
VCCLAN1_5_1 VCC VCCSUS1_5_0
E12
1.5V_S5
A18
VSS024
VSS025
GND VSS075
VSS076
G6 B
1.5VS5_ICH4LAN E13 A20 H1
R53 0 VCCSUS1_5_1 VSS026 VSS077
1.5V_S5 E20 A22 J6
VCCSUS1_5_2 C69 C72 C61 C439 VSS027 VSS078
F14 A4 K11
C441 C39 C40 VCCSUS1_5_3 .1U_0402 .1U_0402 .1U_0402 10U/10V/U VSS028 VSS079
K10 G18 AA12 K13
10U/10V/U .1U_0402 .1U_0402 VCC1_5_0 VCCSUS1_5_4 VSS029 VSS080
K12 R6 AA16 K19
VCC1_5_1 VCCSUS1_5_5 VSS030 VSS081
K18 T6 AA22 K23
VCC1_5_2 VCCSUS1_5_6 VSS031 VSS082
+1.5V K22 U6 AA3 K3
VCC1_5_3 VCCSUS1_5_7 VSS032 VSS083
P10 AA9 L10
VCC1_5_4 VSS033 VSS084
T18 A5 AB20 L11
C464 C132 C82 C86 C134 VCC1_5_5 VCC3_3_0 +3V VSS034 VSS085
U19 AC17 AB7 L12
22U_1206 .1U_0402 .1U_0402 .1U_0402 .1U_0402 VCC1_5_6 VCC3_3_1 VSS035 VSS086
V14 AC8 AC1 L13
VCC1_5_7 VCC3_3_2 C137 C80 C75 C81 C138 C449 VSS036 VSS087
B2 AC10 L14
VCC3_3_3 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 22U_1206 VSS037 VSS088
H18 AC14 L21
VCC3_3_4 VSS038 VSS089
H6 AC18 M1
VCC3_3_5 VSS039 VSS090
3V_S5 E11 J1 AC23 M11
VCCSUS3_3_0 VCC3_3_6 VSS040 VSS091
F10 J18 AC5 M12
VCCSUS3_3_1 VCC3_3_7 VSS041 VSS092
F15 K6 B12 M13
C438 C71 C141 C65 C83 VCCSUS3_3_2 VCC3_3_8 VSS042 VSS093
F16 M10 B16 M20
22U_1206 .1U_0402 .1U_0402 .1U_0402 .1U_0402 VCCSUS3_3_3 VCC3_3_9 VSS043 VSS094
F17 P12 B18 M22
VCCSUS3_3_4 VCC3_3_10 C118 C74 C78 C117 C93 VSS044 VSS095
F18 P6 B20 N10
VCCSUS3_3_5 VCC3_3_11 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 VSS045 VSS096
K14 U1 B22 N11
VCCSUS3_3_6 VCC3_3_12 VSS046 VSS097
V7 V10 B9 N12
VCCSUS3_3_7 VCC3_3_13 VSS047 VSS098
V8 V16 C15 N13
VCCSUS3_3_8 VCC3_3_14 VSS048 VSS099
C V9 V18 C17 N14 C
C70 C66 C67 C68 VCCSUS3_3_9 VCC3_3_15 VSS049 VSS100
C19 N19
.1U_0402 .1U_0402 .1U_0402 .1U_0402 VSS050 VSS101
ICH4-M
ICH4-M

+5V (10,11,12,15,19,22,24,26,27,28,33,34)

+3V (3,4,5,6,7,9,10,11,12,13,15,16,17,18,19,20,21,24,25,26,27,28,29,30,33,34)

3V_S5 (13,25,27,34)

+1.8V (5)

1.5V_S5 (27,29)

+1.5V (6,7,11,13,27,29)

+VCCP (4,5,6,7,12,13,27,29)

D D

QUANTA
Title
COMPUTER
ICH4-M (POWER&GND)
Size Document Number Re v
Custom OA8 1B

Date: Saturday, October 11, 2003 Sheet 14 of 34


1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

CD-ROM
R 1 38 10K
+ 3V
CN 19
CDVCC L 11
60MIL
(21) R CDL 1 2 R C DR (2 1 )
R139 47 B K 2 1 2 5 HS 2 4 1
(21) C DGND 3 4
R S T _ R BAY#1 S D D8 2 1
(13) R S T _ R B A Y # 5 6 + 5V
SD D7 S D D9
SD D6 7 8 S DD10
A A
SD D5 9 10 S DD11
SD D4 11 12 S DD12 C146 C147 C148 C149 C145
SD D3 13 14 S DD13 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 1 0 U / 1 0 V /V
SD D2 15 16 S DD14
SD D1 17 18 S DD15
SD D0 19 20 S D DRE Q
S D A [ 0 . .2 ] 21 22 S DIO R #
(1 2 ) S D A [ 0 . .2 ] S DIO W # 23 24
S D D [0 ..1 5] S IO RDY 25 26 S DDA CK #
(1 2 ) S D D [0 ..1 5] 27 28 C DVCC
IR Q 1 5 IO C S 16#
29 30 T156
S DA 1 DIA G #
31 32 T157
S DIO W # S DA 0 S DA 2
(1 2 ) S DIO W # 33 34
S D DRE Q S D C S 1# S D C S 3#
(1 2 ) S D DRE Q 35 36
S IO RDY C DLE D# S DIO R # R407 *4 .7K
(1 2 ) S IO RDY (10) C DLE D# 37 38 CDVCC
S DIO R #
(1 2 ) S DIO R # C DVCC 39 40
IR Q 1 5 S DIO W # R408 *4 .7K
(1 2 ) IR Q 1 5 41 42
S DDA CK #
(1 2 ) S DDA CK # 43 44
S D C S 1# C D.4 6
(1 2 ) S D C S 1# 45 46 T158
S D C S 3# R 4 09 4 70 C S E L S D DRE Q R406 *4 .7K
(1 2 ) S D C S 3# 47 48 C D.5 0

51
52
T85 49 50 T86
S D D7 R405 *1 0K
C D - ROM

51
52
B B

TCPA SUPPORT

U 14 P C L K _ T CP A
1 28 P C L K _ T CP A
( 6 , 1 0 , 1 1 ,1 2 ,1 6 ,1 9 ,2 4 ,3 3 ) P C I R S T # L RESET# LCLK P C L K _ T CP A ( 3 )
( 1 3 ,2 4 ,3 3 ) L F R A M E # / F W H4 2 27 S E R I R Q ( 1 2 ,1 6 ,2 4 ,3 3 )
L FRAME# SIRQ R211
T91 3 26 L A D 1 / F W H 1 ( 1 3 ,2 4 ,3 3 )
IOA LAD1 *33
4 25 L A D 0 / F W H 0 ( 1 3 ,2 4 ,3 3 )
C
5 NC LAD0 24
C
NC LAD3 L A D 3 / F W H 3 ( 1 3 ,2 4 ,3 3 )
T92 6 23 L A D 2 / F W H 2 ( 1 3 ,2 4 ,3 3 )
R 1 81 0 IOB LAD2 P C L K _ T CP A -1
( 1 2 ,1 6 ,1 9 ,2 4 ,3 3 ) C L K R U N # 7 22 T105
JMP IOC
T93 8 21
XTAL2 XTAMPER
9 20
10 XTAL1 NC 19 C258
NC NC *1 5P
11 18
NC NC
12 17
GND NC
+3V 13 16
VCC NC
( 1 3 ,2 4 ) V C CRTC 14 15
VBB NC
* A T 9 7 S C3 2 0 1
C219 C218
.1 U _0402 .1 U _0402

D D

QUANTA
T itle
COMPUTER
CDROM
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 15 of 34
1 2 3 4 5 6 7 8
8 7 6 5 4 3 2 1

1394_3V R340 0
2 . 5 V S US CB S US 3 V S US
CB _V CORE R 3 06
0 -0 8 0 5 R324 0 C450 C460 C453 C459 3V change to 3VSUS
3 V S US
.1 U _ 0402 .1 U _ 0402 .1 U _ 0402 .1 U _ 0402
2 . 5 V S US ( 7 , 8 ,9 ,2 7 ,2 9 )
back from S3
C447 C461
C 4 20 C 4 19 C 4 27 C 4 28 .1 U _0402 .1 U _0402
1 U/10V 1 U/10V .1 U _0402 .1 U _0402
C4 6 5 .0 1 U

D D
+ 3V D:DEL C418 1394_3V T P B IA S 0 C4 6 6 .3 3 U
U 2 6B
B : C h a n g e t h e f o o tp rint fro m
P8 A15 A G N D _ 1394 A M P t o S U Y IN.
+ 3V VCC_PCI3V1 AVCC_PHY3V1 B : S w a p t h e c o m m o n choke p i n fo r S u y i n . C N 1 8 C O N -1 3 94
P9 B15
C 4 37 C 4 58 C 4 56 C 4 29 VCC_PCI3V2 AVCC_PHY3V2 R 3 42 R 3 43 L 33 C M S20B900
P10 B8
.1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 VCC_PCI3V3 AVCC_PHY3V3 5 6 /F 5 6 /F 1 3 9 4 _ T P B 0- TPB0-
P11 A8 2 3 1
VCC_PCI3V4 AVCC_PHY3V4 1B-

1
1 3 9 4 _ T P B 0+ 1 4
H8 D12 T P B 0+ 2
CB _V CORE VCC_CORE18V1 TPBIAS0 2B+
M8 A13
VCC_CORE18V2 TPBN0 L 34 C M S20B900 TPA0-
H12 B13 3
VCC_CORE18V3 TPBP0 1 3 9 4 _ T P A 0- 3 A-
M12 A12 2 3
VCC_CORE18V4 TPAN0 1 3 9 4 _ T P A 0+ T P A 0+
B12 1 4 4
TPAP0 4 A+ P A D1 4
C B S US F10
VCC_3V1

5
6
7
8
P12 D10 Z 1601 R345 5 6 /F E M IPAD
VCC_3V2 TPBIAS1 T46
A D [0 .. 31] A11
( 1 2 ,1 9 ) A D[0 ..31]

5
6
7
8
A D31 TPBN1 R344 5 6 /F T P B IA S 1
P6 B11 A G N D _ 1394
A D30 AD31 TPBP1 Z 1602
R6 A10 T44

1
A D29 AD30 TPAN1 Z 1603 1 3 9 4 G ND
T6 B10 T45
A D28 AD29 TPAP1 1 3 9 4 C - C H OK E
V6
A D27 AD28 R346 C467
W6
A D26 AD27 5 . 1 K /F 2 70P
P7 D15 1394_3V
A D25 AD26 CPS J3 S HORT L31
R7
A D24 AD25 C 4 69 . 01U F B M2125HM330
T7 D14 1 3 9 4 _ RE F
A D23 AD24 VREF
R8
A D22 AD23 R3 47 1 0 K /F
T8 B14 1 3 9 4 _ RE XT
A D21 AD22 REXT A G N D _ 1394
V8
A D20 AD21
W8 A14 1 3 9 4 _ F IL O C 4 68 . 01U R O U T E G N D S H I E L D A R R OU N D

PCI/1394_OHCI PORTION
C A D19 AD20 FIL0 C
R9
A D18 AD19 C 4 52 1 6P
T9
A D17 AD18 1 3 9 4 _ X OUT
V9 B16
A D16 AD17 XO
W9 A16

2
A D15 AD16 XI
V12
A D14 AD15 R334 Y5
W12
A D13 AD14 *1M 2 4 . 5 7 6 MHz/1 6 P F
P13 B17
A D12 AD13 AGND1
R13 A17

1
A D11 AD12 AGND2
T13 E16
A D10 AD11 AGND3 1 3 9 4 _ X IN
V13 D16
A D9 AD10 AGND4 T P A 0+ C 6 43 *1 0P
W13 E15
R335 *33 A D8 AD9 AGND5 C 4 46 1 6P
R14 E12
P C LK_1394 P C L K _ 1 3 9 4 -1 A D7 AD8 AGND6 TPA0- C 6 42 *1 0P
V14 D13
A D6 AD7 AGND7
W14 E13
A D5 AD6 AGND8 T P B 0+ C 6 41 *1 0P
T15 E14
C 4 48 A D4 AD5 AGND9
V15 D11
*10P A D3 AD4 AGND10 TPB0- C 6 40 *1 0P
W15 E10
A D2 AD3 AGND11
V16 E9
A D1 AD2 AGND12
W16 D9
A D0 AD1 AGND13
V17 B9
AD0 AGND14
( 1 2 ,1 9 ) PAR R12 A9
PAR AGND15
( 1 2 ,1 9 ) C /B E3# V7 E11
C/BE3# AGND16
( 1 2 ,1 9 ) C /B E2# R10
C/BE2# H W S P N D #R 3 3 7 0
( 1 2 ,1 9 ) C /B E1# T12 R4 S USB# ( 1 3 ,2 4 )
T14 C/BE1# HWSPND#
( 1 2 ,1 9 ) C /B E0# C/BE0# + 3V
P1 P C ICRI# (21)
A D 2 1 R 3 30 1 0 0 1 3 9 4 _ A D2 1 RI_OUT#
W7
IDSEL
(1 2 ) R E Q 3# W5 P2 P C MS P K # ( 2 1 )
REQ# SPKROUT R331 1 00K
(1 2 ) GNT3# V5
B
T10 GNT# V19 1 3 9 4 _ T EST R 3 4 9 0 B
( 1 2 ,1 9 ) F R A ME # FRAME# TEST
( 1 2 ,1 9 ) IRD Y# V10
IRDY#
( 1 2 ,1 9 ) T RDY # W10 T1 T34
TRDY# IRQ3 R322 R 3 20
( 1 2 ,1 9 ) D E VSEL# R11 U2 T32
DEVSEL# IRQ4 1 0K 10K
( 1 2 ,1 9 ) S T OP # T11 U1 T31
V11 STOP# IRQ5 V1 U24
( 1 2 ,1 9 ) P E RR# PERR# IRQ7 T35 + 3V
W11 V2 S E RIRQ 1 3 9 4 _ S CL K 6 1
( 1 2 ,1 9 ) S E RR# SERR# IRQ9/SIRQ# S E RIRQ ( 1 2 ,1 5 ,2 4 ,3 3 ) SCL A0
R310 W2 L E DA # R 3 33 0 1 3 9 4 _ S DA T A 5 2
IRQ10 M S L E D# (10) SDA A1
G B RS T # T2 V3 Double check the FLASH LED is 3
3 V S US GBRST# IRQ11 T36 A2
P CIR ST# R5 W3 1 3 9 4 _ S CL K
( 6 , 1 0 , 1 1 ,1 2 ,1 5 ,1 9 ,2 4 ,3 3 ) P C I R S T # PCIRST# IRQ12
1 00K
(3) P C LK_1394
P C LK_1394 T5 T4 1 3 9 4 _ S DA T A IRQ10 or 11 1 3 94_WP 7 8
C435 PCICLK IRQ14 WP VCC
V4 T38 4
1 U /1 0V C L K RUN# R319 0 C L K R U N # 1W4 IRQ15 GND
( 1 2 ,1 5 ,1 9 ,2 4 ,3 3 ) C L K R U N # CLKRUN#
C B _ P ME # R1 W17 R 3 21 N M 2 4 C0 2 C 4 36
PME# INTA# P IRQB# (1 2 )
W18 4 70 .1 U _0402
INTB# P IRQC# (1 2 )
V18 P IRQG# (1 2 )
INTC#
M11
GND18
H9 L11
GND4 GND17
J9 K11
3 V S US GND5 GND16
K9 J11
GND6 GND15
L9 H11
R 3 09 10K GND7 GND14
M9 M10
GND8 GND13
H10 L10
2

GND9 GND12
J10 K10
GND10 GND11
3 1 C B _ P ME #
( 1 2 ,1 9 ) P C I _ P ME #
ID Select : AD21
Q28 R 5 C 5 93
A A
RHU002N06 Interrupt Pin : IRQB#, IRQC#, IRQG#
Request indicates : REQ1#
Grant indicates : GNT1#
QUANTA
T itle
COMPUTER
CARDBUS / IEEE 1394
S ize D o c u m e n t N umber R ev
C u s to m 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 16 of 34
8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

CB S US C A V CC

C A D [0 ..3 1] C 4 45 C463 C454 C442 C462 C473 C 4 72


(18) C A D [0 ..3 1]
.1 U _0402 .1 U _ 0402 .1 U _0402 .1 U _0402 1 0 U / 1 0 V /U .1 U _0402 .1 U _0402

D D

U 2 6A

C A D0 E7 J6
CAD0 VCC_3V3 CB S US C A V CC C A V CC
C A D1 D7 K6
C A D2 CAD1 VCC_3V4
B7 J14
C A D3 CAD2 VCC_3V5
A7 K14
C A D4 CAD3 VCC_3V6 C A V CC
E6
C A D5 CAD4 S D_CMD C N12
D6 L18
C A D6 CAD5 SDCCMD S D_D3
B6 L19 30 10
C A D7 CAD6 SDCDATA3 S D_D2 S M C _ RB R339 10K VDD VDD
A6 K15
C A D8 CAD7 SDCDATA2 S D_D1 RN 70
A5 L14
C A D9 CAD8 SDCDATA1 S D_D0 S M C _ CE # S M C _ WP# S D _ CD#
B4 L15 1 2 28 2
CA D10 CAD9 SDCDATA0 S D _ C LK S MC_W E# S M C _ CD# SM_WP SD_CD MCWP# B : A d d th e tra c e
A4 K16 3 4 29 3
CA D11 CAD10 SDCCLK/MSCCLK S M C _ RE # SM_CD SD_WP fo r S D W P #.
A3 5 6
CA D12 CAD11 S M C _ CE # S M C _ LVD S D_D0
A2 J18 7 8 20 5
CA D13 CAD12 SMCCE# S M C_ WP# S M C_ WP# SM_LVD SD_DAT0 S D_D1
B2 P18 21 4
CA D14 CAD13 SMCWP# S MC_W E# 8 P 4 R-2 2 K S MC_W E# SM_#WP SD_DAT1 S D_D2
B1 M18 22 9
CA D15 CAD14 SMCWE# S M C _ CL E S M C _ RB SM_#WE SD_DAT2 S D_D3
C2 J16 23 8
CA D16 CAD15 SMCCLE S M C _ RE # S M C_ A L E SM_R/B SD_DAT3
D4 M15 24
CA D17 CAD16 SMCRE# S M C _ LVD RN 71 S M C _ RE # SM_ALE S D_CMD
G4 P16 25 7
CA D18 CAD17 SMCLVD S M C _ D7 S M C _ CL E S M C _ CL E SM_#RE SD_CMD S D _ C LK
G2 P14 1 2 26 6
CA D19 CAD18 SMCD7 S M C _ D6 S M C_ A L E S M C _ CE # SM_CLE SD_CLK
G1 R18 3 4 27
CA D20 CAD19 SMCD6 S M C _ D5 S M C_ WP# SM_#CE
H6 R15 5 6
CA D21 CAD20 SMCD5 S M C _ D4 S M C _ LVD
H4 T18 7 8
CA D22 CAD21 SMCD4 S M C _ D3 S M C _ D0
H1 T19 19
CA D23 CAD22 MSCBS/SMCD3 S M C _ D2 8 P 4 R-2 2 K S M C _ D1 SM_D0 S M C _ D1
J4 R16 17 33

CARDBUS / FLASH MEMORY CARD SLOT


C CA D24 CAD23 MSCSDIO/SMCD2 S M C _ D1 S M C _ D2 SM_D1 MS_RSV1 S M C _ D0 C
J1 R19 15 35
CA D25 CAD24 SMCD1 S M C _ D0 RN 69 S M C _ D3 SM_D2 MS_RSV2 S M C _ D3
K4 P15 13 31
CA D26 CAD25 SMCD0 S M C _ RB S M C _ D7 S M C _ D4 SM_D3 MS_BS S M C _ D2
K1 N14 1 2 12 32
CA D27 CAD26 SMCRB# S M C_ A L E S M C _ D6 S M C _ D5 SM_D4 MS_SDIO S D _ C LK
L5 L16 3 4 14 36
CA D28 CAD27 SMCALE S M C _ D5 S M C _ D6 SM_D5 MS_SCLK
L4 5 6 16
CA D29 CAD28 S M C _ D4 S M C _ D7 SM_D6 M S _ C D#
L2 N15 7 8 18 34
CA D30 CAD29 NC9 SM_D7 MS_CD
L1 A18 11
CA D31 CAD30 NC10 8 P 4 R-2 2 K SM_ECD
M5 P4
CAD31 NC11
P5 37 1
C C /B E 0# NC12 RN 68 VSS VSS
(1 8 ) C C /B E 0# B5 N2
C C /B E 1# CC/BE0# NC13 S M C _ D3 P5S1E920
(1 8 ) C C /B E 1# C1 R2 T33 1 2
C C /B E 2# CC/BE1# RSV1 S M C _ D2
(1 8 ) C C /B E 2# G5 H14 T49 3 4
C C /B E 3# CC/BE2# RSV2 S M C _ D1
(1 8 ) C C /B E 3# K5
CC/BE3# RSV3
J15 T51 5 6 4 in 1 flash socket.
M16 S M C _ D0 7 8
RSV4 T66
R 3 18 22 CCLK 1 F4 N16 H=5.5 mm
(1 8 ) C CLK CCLK RSV5 T52
M4 N19 8 P 4 R-2 2 K
(1 8 ) C C L K RUN# CCLKRUN# RSV6 T58
CRS T# H2 J19
(1 8 ) CRS T# CRST# RSV7 T67
C PAR D2 H15
(1 8 ) C PAR CPAR RSV8 T56
CF RA M E# G6 H18
(1 8 ) CF RA ME# CFRAME# RSV9 T64
CIRD Y# F1 G14
(1 8 ) CIRD Y# CIRDY# RSV10 T47
C T RDY # F2 G16
(1 8 ) C T RDY # CTRDY# RSV11 T50
C S T OP # E1 G19
(1 8 ) C S T OP # CSTOP# RSV12 T60
C D E V SEL# F5 F16
(1 8 ) C D E V SEL# CDEVSEL# RSV13 T55
C RE Q# J2 F19
(1 8 ) C RE Q# CREQ# RSV14 T63
CGNT# E2 T16
(1 8 ) CGNT# CGNT# RSV15 T61
C P E RR# E5 U18
(1 8 ) C P E RR# CPERR# RSV16 T65
C S E RR# J5 C19
(1 8 ) C S E RR# CSERR# RSV17 T62
CINT # F6 D19
(1 8 ) CINT # CINT# RSV18 T59
C S T S CHNG L6 E19
B (1 8 ) C S T S CHNG CSTSCHG RSV19 T57 B
C A UDIO # K2 N18
(1 8 ) C A UDIO # CAUDIO RSV20 T68 + 3V
CB L OC K# E4 M14
(1 8 ) CB L OCK# CBLOCK# RSV21 T54
P19 T70
RSV22 MCW P # R360 33K
B19 T151
C C D1# RSV23
(1 8 ) C C D1# F7 U19 T69
C C D2# M2 BCD1# RSV24
(1 8 ) C C D2# BCD2#
(1 8 ) C V S1#
C V S1# B3 G18 S M C _ CD# M C CD# 2 1 S D _ CD# R366 33K
C V S2# BVS1 FUNCSEL1 S D _ CD# D 26 1 SS355
(1 8 ) C V S2# H5 G15
R S V D/D2 BVS2 FUNCSEL0 M C CD#
(1 8 ) R S V D/D2 M6 K18
R S V D / A18 BCDATA2 MCCCD# MCW P # M S _ C D# R348 33K
(1 8 ) R S V D /A18 D1 K19 2 1
RS V D/D1 4 D5 BCADR18 MCCWP# N1 D 28 1 SS355
(1 8 ) RS V D/D1 4 BCDATA14 MCVCC3EN# M C V C C 3 E N # (18)
J8 2 1 S M C _ CD# R367 33K
B V P P D0 GND1 D 27 1 SS355
(1 8 ) B V P P D0 N6 K8
B V P P D1 BVPPEN0 GND2
(1 8 ) B V P P D1 M1 L8
B V C C 3 E N# BVPPEN1 GND3
(1 8 ) B V C C 3 E N# N5 J12
B V C C 5 E N# BVCC3EN# GND19
(1 8 ) B V C C 5 E N# N4 K12
BVCC5EN# GND20 C483 C 4 71 C 4 70
L12
GND21 2 70P 270P 270P
F12 M19
NC1 GND22
F13 B18
NC2 GND23
F14 C18
NC3 GND24
F8 D18
NC4 GND25
F9 F15
NC5 GND26
E8 H19
NC6 GND27
D8 F18
F11 NC7 GND28 E18
NC8 GND29
H16
GND30
A A
R 5 C 5 93

QUANTA
T itle
COMPUTER
CARDBUS / FLASH MEMORY SLOT
S ize D o c u m e n t N umber R ev
C u s to m 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 17 of 34
8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

C A D [0 ..3 1]
(17) C A D [0 ..3 1]
D D
C N11
1
GND1
35
C C D1# C202 2 70P C A D0 GND2
2
C C D1# D3
(17) CCD 1# 36
C A D1 CD1#
3
C C D2# C444 2 70P C A D2 D4
37
C A D3 D11
4
C A D4 D5
38
C A D5 D12
5
C A D6 D6
39
C A D7 D13
6
RS V D/D1 4 D7
(17) RS V D/D1 4 40
C C /B E 0# D14
(17) CC/B E 0 # 7
C A D8 CE1#
41
C A D9 D15
8
CA D10 A10
42
CA D11 CE2#
9
C V S1# OE#
+3V 3 V S US 5 V S US +1 2 V For FLASH power switch control. (17) C V S 1#
CA D12
43
VS1#
10
CA D13 A11
44
CA D14 IORD#
C A V CC 11
CA D15 A9
45
C256 C297 C 2 99 C283 C C /B E 1# IOWR#
(17) CC/B E 1 # 12
.1 U _ 0402 .1 U _0402 .1 U _0402 .1 U C296 C 2 66 CA D16 A8
46
1 0 U / 1 0 V /V .1 U _0402 C PAR A17
(17) CP AR 13
R S V D / A18 A13
(17) R S V D /A18 47
C P E RR# A18
U 18 (17) C P E RR# 14
C CB L OC K# A14 C
(17) CB L OCK# 48
CGNT# A19
(17) C GNT# 15
C S T OP # WE#
+ 3V 27 2 (17) C S T OP # 49
A3VIN AVCCOUT1 CINT # A20
3 V S US 13 26 (17) C INT # 16
B3VIN AVCCOUT2 C D E V SEL# RDY
28 (17) C D E V SEL# 50
AVCCOUT3 A21
1 V C CCB 17
A5VIN1 VCC1
3 24 51
A5VIN2 AVPPOUT VCC2
5 V S US 15 V P P CB 18
B5VIN1 VPP1
17 12 V C C CB 52
B5VIN2 BVCCOUT1 CC LK VPP2
14 (17) CC LK 19
BVCCOUT2 C T RDY # A16
+ 12V 23 16 (17) C T R DY # 53
A12VIN BVCCOUT3 C314 C 2 86 CIRD Y# A22
9 (17) CIRDY # 20
B12VIN 1 0 U / 1 0 V /V .1 U _0402 CF RA M E# A15
10 (17) CF RA ME# 54
BVPPOUT CC/B E 2# A23
(17) CC/B E 2 # 21
CA D17 A12
8 11 55
AVPP_PGM NC1 CA D18 A24
7 25 V P P CB 22
AVPP_VCC NC2 CA D19 A7
( 1 7 ) M C V C C 3 E N# 6 56
AVCC3EN# CA D20 A25
+ 3V 5 23
AVCC5EN# C V S2# A6
(17) C V S 2# 57
B V P P D1 P C67 C 2 81 CA D21 VS2#
(17) B V P P D1 22 24
B V P P D0 BVPP_PGM 4 . 7 U /1 6 V .1 U CRS T# A5
(17) B V P P D0 21 (1 7 ) CRS T# 58
B V C C 3 E N# BVPP_VCC CA D22 RESET
(17) B V C C 3 E N# 20 4 25
B V C C 5 E N# BVCC3EN# GND1 C S E RR# A4
(17) B V C C 5 E N# 19 18 (17) C S E RR# 59
BVCC5EN# GND2 CA D23 WAIT#
26
C 2 54 C RE Q# 60 A3
(17) C R EQ# INPACK#
M I C 2 5 6 3 A -1 B S M .0 1 U CA D24 27
C C /B E 3# A2
(17) CC/B E 3 # 61
CA D25 REG#
28
C A UDIO # A1
(17) C A U DIO # 62
B
CA D26 29 BVD2 B
C S T S CHNG A0
(17) C S T S CHNG 63
CA D27 BVD1
30
CA D28 D0
64
CA D29 D8
31
CA D30 65 D1
R S V D/D2 D9
(17) R S V D/D2 32 69
CA D3 1 D2 GND5
66 70
C C L K RUN# D10 GND6
(17) C C L K RUN# 33 71
C C D2# WP GND7
(17) CCD 2# 67 72
34 CD2# GND8
V C CCB V P P CB GND3
68
GND4
C A R D B U S - S A NT A
C 2 93 C 3 04 C313 C201 C 2 08 P C65
.1 U _0402 1000P 1 0 U / 1 0 V /V .1 U 1000P 4 .7 U/16V

A A

QUANTA
T i tle
COMPUTER
CARDBUS SLOT
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 18 of 34
8 7 6 5 4 3 2 1
1 2 3 4 5 6 7 8

ID Select : AD20 A D [0 .. 31]


( 1 2 ,1 6 ) A D [ 0 . . 3 1 ]
Interrupt Pin : PIRQE# , PIRQF#
Request indicates : REQ1# screen rotation for Tablet
D;normal stuff R437
Grant indicates : GNT1# + 3V
for C-test BIOS phase in 480017
CN 17 CN 10
1 2 1 2
TIP RING MONO_OUT/PC_BEEP AUDIO_PWRDN
3 4 P HONE (21)
R4 37 0 GND MONO_PHONE
P I RQH# (12) 5 6
R440 AUXA_RIGHT RESERVED
3 4 7 8
*1 0K 8PMJ-3 8PMJ-1 AUXA_LEFT GND 0 : M a s te r
A E: Disable WLAN 5
8PMJ-6 8PMJ-2
6 9
CD_GND 5V
10 A
7 8 3 V A UX 11 12 1 : S lave
8PMJ-7 8PMJ-4 CD_RIGHT RESERVED 3 V A UX (27)
9 10 13 14
D3 2 8PMJ-8 8PMJ-5 B : S w a p P IRQE # a n d CD_LEFT RESERVED M D C _ P R I _ D NR 1 8 4 10K
11 12 15 16 3 V A UX
LED1_GRNP LED2_YELP P I R Q F # f o r r e s o u rce. GND PRIMARY_DN
(2 4 ) R F _ D I S A B L E # 1 2 13 14 P IRQF # ( 1 2 ,2 4 ) 17 18
LED1_GRNN LED2_YELN 3.3V_AUX 5V
15 16 19 20
CHSGND RESERVED GND GND
(12) P IRQE# 17 18 +5V 21 22 A C _ S Y N C ( 1 3 ,2 1 )
R B 500 INTB# 5V R 4 34 *0 3.3V AC97_SYNC A C _ S D I N 1 -1
+ 3V 19 20 ( 1 3 ,2 1 ) A C _ S D O UT 23 24
3.3V INTA# AC97_SDATA_OUT AC97_SDATA_INB R 1 90 33
21 22 + 3V ( 1 3 ,2 1 ) A C _ RE S E T # 25 26 A C _ S D IN 1 (13)
RESERVED RESERVED AC97_RESET# AC97_SDATA_INA B : Add
23 24 3 V A UX 27 28
GROUND 3.3VAUX P CIR ST# GND GND
(3) P C L K _ M INI 25 26 P CIRST# ( 6 , 1 0 , 1 1 ,1 2 ,1 5 ,1 6 ,2 4 ,3 3 ) 29 30 A C _ B IT CL K ( 1 3 ,2 1 )
CLK RST# AC97_MSTRCLK AC97_BITCLK
27 28
R E Q 1# GROUND 3.3V GN T1# M D C 3 0 H =4
(12) R E Q 1# 29 30 GNT1# (12)
REQ# GNT#
31
3.3V GROUND
32 The MDC is Slave, use the AC_SDIN1
A D31 33 34 M I N I P C I _ P ME # R185
A D29 AD31 PME# 33
35 36
R4 04 AD29 RESERVED A D30
37 38
*22 A D27 GROUND AD30 A C _ B IT CL K -1
39 40
A D25 AD27 3.3V A D28
41 42
P C L K _ M INI-1 AD25 AD28 A D26 C222
43 44
C /B E3# RESERVED AD26 A D24 1 0P
( 1 2 ,1 6 ) C /B E3# 45 46
C6 33 A D23 C/BE3# AD24 M I N I _ I D S E L -1 R1 32 3 30 A D20
47 48
*22P AD23 IDSEL
49 50
A D21 GROUND GROUND A D22
51 52
A D19 AD21 AD22 A D20
53 54
AD19 AD20 P AR
55 56 P AR ( 1 2 ,1 6 )
A D17 GROUND PAR A D18
57 58
C /B E2# AD17 AD18 A D16
( 1 2 ,1 6 ) C /B E2# 59 60
IRD Y# C/BE2# AD16
( 1 2 ,1 6 ) IRD Y# 61 62
B IRDY# GROUND F R A ME # B
63 64 F R A ME # ( 1 2 ,1 6 )
C L K RUN# 3.3V FRAME# T RDY # +5V 3 V S US
( 1 2 ,1 5 ,1 6 ,2 4 ,3 3 ) C L K R U N # 65 66 T RDY # ( 1 2 ,1 6 )
S E RR# CLKRUN# TRDY# S T OP # + 3V
( 1 2 ,1 6 ) S E RR# 67 68 S T OP # ( 1 2 ,1 6 )
SERR# STOP#
69 70
P E RR# GROUND 3.3V D E VSEL#
( 1 2 ,1 6 ) P E RR# 71 72 D E V S E L # ( 1 2 ,1 6 )
C /B E1# PERR# DEVSEL#
( 1 2 ,1 6 ) C /B E1# 73 74
A D14 C/BE1# GROUND A D15
75 76
AD14 AD15 A D13 C629 C632 C638 C636 C637 C6 22 C 5 90 C6 35 C 6 34
77 78
A D12 GROUND AD13 A D11 .1 U _ 0402 .1 U _ 0402 .1 U _ 0402 .1 U _ 0402 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402
79 80
A D10 AD12 AD11
81 82
AD10 GROUND A D9
83 84
A D8 GROUND AD9 C /B E0#
85 86 C /B E0# ( 1 2 ,1 6 )
A D7 AD8 C/BE0#
87 88
AD7 3.3V A D6
89 90
A D5 3.3V AD6 A D4
91 92
AD5 AD4 A D2
93 94
A D3 RESERVED AD2 A D0 3 V A UX 3 V S US 3 V S US
95 96
AD3 AD0
+ 5V 97 98
A D1 5V RESERVED
99 100
AD1 RESERVED
101 102
A C _S Y NC GROUND GROUND R 1 34 R 3 98
( 1 3 ,2 1 ) A C _ S Y N C 103 104

2
A C_ S DIN1 AC_SYNC M66EN A C _ S D O UT 100K 10K
(13) A C_ S DIN1 105 106 A C _ S D O UT ( 1 3 ,2 1 )
A C _ B IT CL K AC_SDATA_IN AC_SDATA_OUT M P C I .1 0 8
( 1 3 ,2 1 ) A C _ B IT CL K 107 108
AC_BIT_CLK AC_CODEC_ID0# A C _ RE S E T # M I N I P C I _ P ME # P C I _ P ME #
109 110 A C _ RE S E T # ( 1 3 ,2 1 ) 1 3 P C I _ P ME # ( 1 2 ,1 6 )
111 AC_CODEC_ID1# AC_RESET# 112
MOD_AUDIO_MON RESERVED Q39
113 114
AUDIO_GND GROUND RHU002N06
115 116
SYS_AUDIO_OUT SYS_AUDIO_IN
117 118
SYS_AUDIO_OUT GND SYS_AUDIO_IN GND
119 120
C
121 AUDIO_GND AUDIO_GND 122 P A D5 P A D4 P A D1 3 P A D2 P A D1 8 P A D7 P A D1 0 P A D3 C
L 32 0 V CC5A RESERVED MCPIACT# *E M IPAD*E M IPAD *E M IPAD *E M IPAD *E M IPAD *E M IPAD *E M IPAD *E M IPAD
+5V 123 124 3 V A UX
VCC5A 3.3VAUX

M INI-P CI,H4

1
C630
.1 U _0402
HOLE 4
* h -r2 7 6 x 3 4 6 d 1 1 8 p 2 C:EMI PAD4,PAD5, not mount
P A D1 P A D9
*E M IPAD *E M IPAD
R o u t i n g th e trcae in th e h o l e a re a (inner).

1
HOL E7 HOL E3 H O L E 16 H O L E24 HO L E22

1
HO L E 11 H O L E 12 * h -s236d106p2 * h -r2 7 6 x 3 4 6 d 1 1 8 p 2 * h -s236d106p2 * h -s236d106p2 * h -r2 7 6 x 3 4 6 d 1 1 8 p 2 HOLE 2
* h -c315d118p2* h -c315d118p2 * h -r2 7 6 x 3 4 6 d 1 1 8 p 2 D:DEL PAD11
CPU
1

P A D2 1 P A D1 7 P A D1 2 P A D1 6
H = 1 .5m m
1

1
*E M IPAD *E M IPAD *E M IPAD *E M IPAD
h - s236d106p2(d rill:2 .7 p a d :6 x 6 )*1
h - s395d107p2(d rill:2 .7 p a d :1 0 x 1 0 )*1 h -r3 9 4 x 4 3 3 d 1 1 8 p 2 (d rill:3 p a d :1 0 x 1 1 )*1
h -c315d118p2(d rill:3 p a d :8 )*6 h -r2 7 6 x 3 4 6 d 1 1 8 p 2 (d rill:3 p a d :7 x 8 .8 )*1 h -s276d118p2(d rill:3 p a d :7 x 7 )*1 h -r3 1 5 x 3 5 4 d 1 1 8 p 2 (d rill:3 p a d :8 x 9 )*2

1
H O L E 20 H O L E 19 HO L E 21 H O L E10 HOLE 9 H O L E15 HOLE 5
* h -c315d118p2 * H - C 2 7 6 D1 1 8 P 2 C D ROM NUT M D C NUT LE D NUT C P U NUT * h -c236d161p2
D:All EMI spring not mount
D D
CD-ROM H=4.8mm M D C H =4.2 m m LE D H =7 mm CPU H=1.5mm
1

H O L E 18 HO L E 23 H O L E17 HOLE 8 HOLE 1 HOL E6


QUANTA
* h -c315d118p2h -c197d122p2 M D C NUT L E D NUT * h -c236d161p2 C P U NUT HO L E 13
* h -c236d161p2
H O L E14
* h -c236d161p2
T i tle
COMPUTER
B : C h a n g e fo o tp rint. M D C H =4.2 m m LE D H =7 mm CPU H=1.5mm MINI-PCI & MDC
1

S ize D o c u m e n t N umber R ev
A3 1A
h -c315d118p2(d rill:3 p a d :8 )*6 h -c236d161p2(d rill:4 .1 p a d :6 )*5 h -c276d106p2(d rill:2 .7 p a d :7 )*1 h -c197d122p2(d rill:3 .1 p a d :5 )*2 h -c276d150p2(d rill:3 .8 p a d :7 )*3
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 19 of 34
1 2 3 4 5 6 7 8
5 4 3 2 1

U 12
LAN PHY L A N_ X MT +_ NB TDP
7 10 X-TX0P (34)
TD+ TX+

2
U15 8 2 5 6 2 ET L A N_ X MT -_ NB 8 9 T DN
TD- TX- X -T X0N (34)
10
TDP R 1 68 C 1 8 3 *.1 U _0402 CT4 6 T X OC R 1 62 75 L A N_ 1
(1 3 ) L A N _ CL K 39 11
JCLK 1 0 0 /F CT4 CT3
(1 3 ) L A N_S Y NC 42
JRSTSYNC
D (1 3 ) L A N_ T X D2 45 D

1
JTXD2
(1 3 ) L A N_ T X D1 44 11
3 V S US JTXD1 TDN
(1 3 ) L A N_ T X D0 43
JTXD0 RDP
(1 3 ) L A N _ RX D2 37 1 16 X-TX1P (34)
JRXD2 L A N _ R C V + _ NB RD+ RX+
(1 3 ) L A N _ RX D1 35 15
JRXD1 RDP RDN
(1 3 ) L A N _ RX D0 34 2 15 X -T X1N (34)

2
B : Ch a n g e p u l l u p JRXD0 RD- RX-
f r o m 1 0 K to 1 K . R177 A D V10 41 CT1 3 14 R X IC R 1 58 75 L A N_ 1
1K ADV10 R 1 54 CT1 CT2
30
R 2 30 *0 ISOL_TCK 1 2 1 /F H 0 0 2 9 /A T P L -1 1 9
28
L AN ISOL_T1
29

1
T O UT ISOL_EX L A N _ R C V - _ NB
26 16
TESTEN TOUT RDN
21
3

TESTEN
2 R 1 78 *0 1
( 1 3 ,2 4 ) L A N _ RS T VCC_1 +3 V 5 6 2 ET
25 C 1 82
Q23 R179 VCC_2 *.1 U _0402
36
1

R 1 72 RHU002N06 1 00 VCCP_1
8 40
10K VSS_1 VCCP_2
13 2
VSS_2 VCCA
18 7
VSS_3 VCCA2
24 9
VSS_4 VCCT_1
48 12
VSS_5 VCCT_2
33 14
VSSP_1 VCCT_3
38 17
VSSP_2 VCCT_4
3
VSSA
6 19 +3 V 5 6 2 ET
VSSA2 VCCR_1
20 23
VSSR_1 VCCR_2
22
VSSR_2
C C
32 L A N _ A CT L E D#
ACTLED L A N _ A CT L E D# (3 4 )
31 L A N _ S P DL E D#
SPDLED L A N _ S P DL E D# (3 4 )
R1 82 5 4 9 /F R B I A S 1 0 4 27 L A N _ L IL E D#
RBIAS10 LILED L A N _ L IL E D# (3 4 )
R 1 83 6 1 9 /F R B I A S 1 0 0 5
RBIAS100

47 C L K _ L A N_ X 2
X2 C L K _ L A N_ X 1 Y1
46
X1
1 2

2 5 M Hz/2 0 P F B:Swap the pin 9,10 and


11,12 for LED diaplay.
C239 C259
2 2P 2 2P C N2

L A N _ L IL E D# 11
LED1_GRN-
L A N _ A CT L E D# R152 4 70 L A N _ A CT L E D# _ 1 12
LED1_GRN+

P A D6 R156 75 R J 4 5_4 4
*E M IPAD RJ45_TERM4
5
RJ45_TERM5
3 V S US +3 V 5 6 2 E T B : C h a n g e E MI p a d X-TX1N 6

1
B
f o o t p rint. RDN B
C181 L A N_ 1 R157 75 R J 4 5_7 7
RJ45_TERM7
R170 1500P_1808_2KV 8
0 -0 8 0 5 RJ45_TERM8
C 2 21 C215 C284 C2 8 5 C216 C217 G N D _ L A N _ C H A S IS X-TX1P 3
* 1 0 U / 1 0 V /V .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 RDP
X-TX0N 2
TDN
X-TX0P 1
TDP

+3 V 5 6 2 E T
L A N _ S P DL E D# 9 13 C 1 65 *.1 U _0402
LED2_YEL- GND
R 1 53 4 70 L E D 2 _YELP 10 14
+3V LED2_YEL+ GND
R151
C 2 23 C200 C193 R J - 4 5 F OX L E D 0
C:DEL R173 change to +3V562ET * 1 0 U / 1 0 V /V .1 U _0402 .1 U _0402 J10 S HORT
GREEN: ACTLED#
YELLOW:SPDLED#
G N D _ L A N _ C H A S IS
J11 S HORT

G N D _ L A N _ C H A S IS
A A
C:EMI ISSUE LAN MODIFY GND

QUANTA
T itle
COMPUTER
LAN INTERFACE
S ize D o c u m e n t N umber R ev
A3 1A
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 20 of 34
5 4 3 2 1
A B C D E

External Clock ID1 ID0 R?

14.318MHz X X 0 Sigmatel 9752


1K X X ALC202

S P DIF
S P DIF (34)
EAPD R 3 54 *0 +3V + 3 V M3E
S H U T D O W N # ( 2 2 ,2 4 )
CID1 L 29
+3 V M3E CID0
C4 8 7 .0 1 U A C - G P IO1 T80
4 A C - G P IO0 F B M2125HM330 4
( 3 ,1 3 ) 1 4 M _ ICH A C - G P IO0 ( 2 2 )
H P _ O U T _R
H P _ O U T _R (23) R350 R351
H P _ O UT _ L
C477 C 4 76 C491 M O N O _ O UT H P _ O UT _ L ( 2 3 ) *1K *1K C485 C 5 35 C 5 44 C 5 40
T79
2 2P 1 U/10V .1 U _ 0402 T O H E A D P H ON E * 1 0 U / 1 0 V /V .1 U _0402 .1 U _0402 .1 U _0402

+ 5VA

U34 C480 C543


S igmate l S T 9 7 5 2 A U D G ND .1 U _ 0402 .1 U _0402

48
47
46
45
44
43
42
41
40
39
38
37
R387 22 A C _ B I T C L K _ A UD
( 1 3 ,1 9 ) A C _ B IT CL K

SPDIF
EAPD
CID1
CID0
GPIO1
GPIO0
AVSS2
HP_OUT_R
HP_COMM
HP_OUT_L
AVDD2
MONO_OUT
C615 A U D G ND
*2 2P + 5VA
1
X T A L _ IN DVDD1
2
R371 0 X T A L _ OUT XTL_IN
3 36 A OUTR (22)
XTL_OUT LINE_R
4 35 A OUTL (22)
DVSS1 LINE_L 9 7 5 2 .3 4 C550 C 4 75
( 1 3 ,1 9 ) A C _ S D O UT 5 34 T84
SDATA_OUT NC D CV OL .1 U _0402 .1 U _0402
6 33 T82
BIT_CLK NC VGDA
7 32
R377 33 A C _ S D I N 0 -1 DVSS2 CAP2 VGAD
(13) A C_ S DIN0 8 31
SDATA_IN NC A F IL T 2
9 30
DVDD2 AFILT2 A F IL T 1 AUDGND
( 1 3 ,1 9 ) A C _ S Y N C 10 29
SYNC AFILT1
The Audio is Master, use the AC_SDIN0 ( 1 3 ,1 9 ) A C _ RE S E T # 11
RESET# VREFOUT
28 V R E F O UT (2 3 )
12 27 V RE F
PC_BEEP VREF
B E E P -2 (22) 26
AVSS1

LINE_IN_R
LINE_IN_L
3 25 3

VIDEO_R
VIDEO_L

CD_GND
B EEP R357 1 0K B E E P -2 C620 1 U/10V B E E P -1 AVDD1 C579 C538 C 5 07 C 5 01 C 4 93 C 4 82 C490

PHONE

AUX_R
AUX_L

CD_R
4 .7 U/10V 1 U /1 0V 1000P 1000P 1 U/10V 1 U/10V .1 U _ 0402

CD_L

MIC1
MIC2
R361
1K C 4 78 C575

13
14
15
16
17
18
19
20
21
22
23
24
.0 1 U .1 U _0402 AUDGND

A U D G ND L IN E _ IN R (23)
A U D G ND L I N E _ I NL ( 2 3 )
M IC2
M I C 1 -1 C616 .4 7 U/25V
M IC1 (23)
C D _ L INR
C D _ G ND
C D_ L INL
C623 . 4 7 U /2 5 V P H O N E -1 V I D E O _ I N _ RL
(19) P HONE
A U X _ I N_ RL
C 5 87
. 1U FROM CD-ROM
C 5 82 C 5 81 C 5 80
.1 U _0402 .1 U _0402 .1 U _0402 C D_ L INL C586 1 U /1 0V C D INL 2 R 1 37 6 .8K RCDL
RCDL (15)
A U D G ND
A U D G ND A U D G ND A U D G ND
C D _ L INR C584 1 U /1 0V C D IN R2 R 1 35 6 .8K R C DR
R C DR (15)

C D _ G ND C585 1 U /1 0V C D G N D1 R 1 36 0 C DGND
2 3 V S US 3 V S US C DGND (15) 2

R395 R397
RI# (13)
R396 6 .8K 6 .8K
R 3 53 R 3 52 0
3

2 .2 K 10K
+ 5VA
Z 2101 2 2 M R I 1 _ P R ( 3 3 ,3 4 )
A U D G ND A U D G ND A U D G ND
3

Q 31
D T C 1 4 4 E UA Q 30 C479
1

2 D T C 1 4 4 E UA .1 U _0402
(16) P C ICRI#
Q 32
D T C 1 4 4 E UA N O R M A L : L OW A U D G ND

5
1

(16) P CMSPK# 1
4 B EEP
2
U30

3
N O R M A L : L OW 7 S H8 6
+ 3V + 3V + 3V

O F F :HIG H (13) P CS PK
5

A U D G ND
5

1
8

S P K OF F 1 4 Z 2107
(13) S P K OF F
1 4 Z 2103 1 7 Z 2104 6 2 Z 2105 3 5 Z 2106 2 1
Z 2102 2 U 29
U 32 U31A U31B U 31C 7 S H 32
4

7 S H 32 7W14FU 7 W14FU 7W14FU


QUANTA
3

R365 4 7K
T itle
COMPUTER
AC97, BEEP
C474 . 01U S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 21 of 34
A B C D E
8 7 6 5 4 3 2 1

Audio Amplifier I N S P K R+
I N S P K R-
I N S P K R+
I N S P K R-
A U D G ND IN S PKL+
IN S PKL+
IN S PKL-
IN S PKL-

+ 5VA
CN 15

29
30
31
32
33
D U 35 S P E A K E R_ R D
19 21 I N S P K R+

AUDGND5
AUDGND6
AUDGND7
AUDGND8
AUDGND9
VDD ROUT+ I N S P K R- 2
16
ROUT- 1
7
C 5 00 PVDD1 IN S PKL+
18 4
1 U/10V PVDD2 LOUT+ IN S PKL- 2
9
A O U T R-1 LOUT- 1
(21) A OUTR 23
RLINEIN P C -B E E P C 6 2 6 *1 U/10V CN 23
20 14 B E E P -2 (21)
RIN+ RHPIN PC-BEEP S P E A K E R_ L
8
RIN+
15
C 5 42 L IN+ SE/BTL H P L IN E R 3 86 100K C 4 92 C618 C536 C578
From AC97 CODEC 10
LIN+ HP/LINE
17 A U D G ND
1 U/10V 6 470P 4 70P 4 70P 4 70P
A O UT L -1 LHPIN S H UTDOW N#
(21) A OUTL 5 22 S H U T D O W N # ( 2 1 ,2 4 )
LLINEIN SHUTDOWN

AUDGND1
AUDGND2
AUDGND3
AUDGND4
A -B P11 1
BYPASS GND4
24
A UDIO _ G 0 GND3 R 3 68
2 13
A UDIO _ G 1 GAIN0 GND2 100K
3 12
GAIN1 GND1
T P A 0 3 12

25
26
27
28
C 5 47 C 6 19 C 5 89
1 U/10V 1 U/10V 1 U/10V AUDGND

+5 V A

AUDGND AUDGND AUDGND A U D G ND


+5 V A ( 2 1 ,2 3 )

+5 V A
C C

C539 C546 C502 C 5 45 C 6 31 C644 C489 C488


C 5 77 .1 U _ 0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _0402 .1 U _ 0402 .1 U _ 0402 .1 U _0402

5
.1 U _0402
A U D G N D ( 2 1 ,2 3 )
(2 3 ) S PKPLG 2
4 S E /-B T L R390 1 0K
A C - G P IO0 (2 1 )
1 A U D G ND
(34) H P S E N C E _PR
U36
R 3 91
7 S H3 2 20K

3
R380
1 00K
Low: Internal(BTL)
A U D G ND High: External(SE)

A U D G ND

+5V + 12V + 5V
B B

+ 12V ( 1 8 , 2 3 ,2 6 ,2 8 ,3 4 )
2

D 31 C627
R402 1SS355 .1 U _ 0402

1
2
5
6
1 00K
Z 2202 5
1

+
7 Z 2204 R 4 01 10 Z 2205 3
D:reduce Gain 10dB to 6dB Z 2203 6 Q38
-
U 3 7B S I 3 4 5 6 DV
1

BOM del R378 and stuff R372 LM358

4
R 4 03 C628 C621
+ 5VA 3 .3 M 1 U /1 0V 1 00P
+ 5VA ( 2 1 ,2 3 )
2

A U D G ND
R374 *1 00K A UDIO _ G 0 R369 1K R 4 00 100K
+ 5VA

A U D G ND A U D G ND C588 C 6 39 C 5 83
R378 *1 00K A UDIO _ G 1 R372 1K 1 U/10V .1 U _0402 .1 U _0402

TO AUDIO AMP, ESS1988


A U D G ND
A U D G ND

A G AIN0 G AIN1 AV A

0 0 6dB
0 1 10dB QUANTA
1
1
0
1
15.6dB
21.6dB T itle
COMPUTER
AUDIO AMP
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 22 of 34
8 7 6 5 4 3 2 1
5 4 3 2 1

+ 5VA P R _ M IC _ O FF# (34)

R394
1 00K
C624
.1 U _0402
U 38
D D
5 6
VCC SEL
R 4 11 0 P R _ M I C1 1 4 N 0 4 _ M IC R 3 99 1K
(3 4 ) P R_ M IC IN_B1 COM M IC1 (2 1 )
M I C +2 C 6 47 .1 U N 0 1 _ M IC 3
IN_B0
2
GND
D:Modify for MIC Circuit & Add R433 C N28
+5 V A N C 7 S B3157
D:R417 not mount R439 0 I M IC 2
2
1
MIC 1
R415 R 4 33 2K
*1 .8K 1 9 C654 I N T _ M IC
R 4 19 3 .3K M I C +3 2 *2 20P
I M I C -1 6 7
R414 4 9 9 /F Z 2301 R 4 17 *2K Z 2302 3 8
(2 1 ) V R E F O UT
4
5 10 D: Add internal MIC circuit
C648 R413 C 6 45 C 6 46 CN 20
1 0 U / 1 0 V /U 1 8K .1 U _0402 *220P P HONE JA CK

M IC_ O F F R416 *0
T159
SEL FUNCTION
1 LOW IN_B0 System MIC
C C
2 HIGH IN_B1 RPx MIC

R383 0 R 4 12 0
+1 2 V (3 4 ) A U D G N D _ P R
C 4 86
1 0 U / 1 0 V /V
L I N E _ I NL 1 3 L I N E _ I NL _ 1 R 3 75 0
(2 1 ) L I N E _ I NL L IN E IN L _ PR (34)
Q33
+5 V A R363 2 N 7002E C 5 04 R 3 62 0

2
1 0K .0 1 U

L I N E I N P L G# R 4 10 0

R379 R 3 59 0
3

2
1 0K C 5 41
1 0 U / 1 0 V /V
2 Q34 L INE _ INR 1 3 L IN E _ IN R _1 R 3 89 0
( 3 4 ) L I N E I N P L G_ P R (2 1 ) L INE _ INR L IN E IN R _ P R (34)
D T C 1 4 4 E UA
Q35
2 N 7002E C 5 06
1

.0 1 U
B B
A U D G ND

HEAD PHONE + 12V


L 36 F C M 1608K221 1 9 S PKL 3 1 S P K_L_PR (34)
C150 1 0 0 U / 6 .3 V S PKL S P K L -1
+

(2 1 ) H P _ O UT _ L 2
Z 2 3 0 56 7 + 5VA
T161
C144 1 0 0 U / 6 .3 V SPKR S P K R-1 R418 Q40
+

(2 1 ) H P _ O U T _R 3 8

2
L 35 F C M 1608K221 4 1 0K 2 N 7002E
5 10
R421 R 4 22 C650 C649 S P K P L G#
CN 21 R 4 20

3
2 20K 220K 4 70P 4 70P P HONE JA CK 10K
Q41

2
S PKPLG 2 2 N 7002E

SPKR 3 1
S PKPLG (22) S P K _ R_ P R ( 3 4 )
Q42

1
D T C 1 4 4 E UA

A A

QUANTA
T itle
COMPUTER
AUDIO JACK
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 23 of 34
5 4 3 2 1
5 4 3 2 1
B : Add the trac e.
3V_591 3 V_591
R E F3V R E FP (31,32)

2
3 V_591
C : Del C135
C 112 R E F3V 3 1 R E F3VS (10,31,32)
. 1 U_0402 E N V1 10K R 73
C411 C 76 C 87 C 136
+3V VC CRTC Q12 . 1U_0402 . 1 U_0402 . 1U_0402 . 1 U_0402
C 89 R HU0 0 2N06 B A DDR0 *10K R 69
C 503 . 1 U_0402
VC CRTC (13,15)
. 1 U_0402 S h o u l d have a 0.1uF c apac itor c los e to every
C 54 GND-VCC pair + one larger c ap on the s upply. B A DDR1 *10K R 72
. 1U_0402
LDRQ#(pin 8) internal is no use

123
136
157
166

161
L PCPD# 10K R 86

16

34
45

95
U2 7
D L PC_DRQ0# R 79 *0 D RQ0# D

VDD

AVCC
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

VBAT
(13,33) LPC_DRQ0#
S H BM 10K R 76

3 V_591 S E RIRQ 7 81 TEMP_MBAT B : D e l R 1 0 4 ,R1 0 6 fo r HW ID SHBM=1: Enable s hared m em ory with hos t BIOS
(12,15,16,33) S E RIRQ SERIRQ AD0 TEMP_MBAT (32)
D RQ0# 8 82 TEMP_ABAT
LDRQ AD1 TEMP_ABAT (32)
L F R A ME#/FW H4 9 83 A BATV
(13,15,33) L F R AME#/FW H4 LFRAME AD2 ABATV (32)
L AD 0 /FW H0 15 84 M BATV Q13
(13,15,33) L AD 0 /FW H0 LAD0 AD3 MBATV (32)
(13,15,33) L AD 1 /FW H1
L AD 1 /FW H1 14 87 S W ID1
S W ID1 (10)
B : A d d S ignal *3904 I/O Addres s

3
R 92 L AD 2 /FW H2 LAD1 IOPE0AD4 S W ID2 B ADDR1-0 In dex D ata
(13,15,33) L AD 2 /FW H2 13
LAD2 AD Input IOPE1/AD5
88 S W ID2 (10) s wid2
470K L AD 3 /FW H3 10 89 S U SC# 2 0 0 2E 2F
(13,15,33) L AD 3 /FW H3 LAD3 IOPE2/AD6 S USC# (13)
PCLK_591 18 90 0 1 4E 4F
(3) PCLK_591 LCLK IOPE3/AD7 HW PG (30)
591RESET 2 1 591RESET# 19 93 D P /AD8 1 0 ( HC F GB AH, HCFGBAL)( HC F GB AH, HCFGBAL)+1

1
D 12 1SS355 K BSMI#591 LREST DP/AD8 D N/ A D9 1 1 R e s erved
(13) K B SMI# 2 1 22
SMI
Host interface DN/AD9
94
D 11 1SS355 23
S W I#2 PWUREQ 99 CC-SET
T149 DA0 CC-SET (31) 3 V_591
100 CV-SET C114 C 90
DA1 CV-SET
S C I# 31 DA output 101 VAD J *2200p *2200p
(13) S C I# IOPD3/ECSCI DA2 VAD J (10)
102 V F AN
DA3 V F AN (26)
M BCLK R 71 4 .7K
G ATEA20 5 32 Follow the routing rules in the s pec . 4.11.7
(12) GATEA20 GA20/IOPB5 IOPA0/PWM0 R SVLED# (25)
R C IN# 6 33 M BDATA R 68 4 .7K
(12) R C IN# KBRST/IOPB6 IOPA1/PWM1 TBT1LED#
PWM or 36 L A N_RST
IOPA2/PWM2 L AN_RST (13,20)
PORT-A 37 AU X_RSTEN PW SW SW2
IOPA3/PWM3 T150
JTAG DEBUG PORT MX0 71 38 MAINO N
(26) MX0 KBSIN0 IOPA4/PWM4 MAINO N (27,28,29)
MX1 72 39 S U SON 2 4
(26) MX1 KBSIN1 IOPA5/PWM5 S USON (27,29)
MX2 73 40 3 VA UXEN screen rotation for Tablet 3 1
(26) MX2 KBSIN2 IOPA6/PWM6 3 VAUXEN (27)
J1 MX3 74 43 S 5_ON D;normal stuff R435
(26) MX3 KBSIN3 IOPA7/PWM7 S 5_ON (13,27,29)
TMS-591 MX4 77 for C-test BIOS phase in 480017 C 111
1 (26) MX4 KBSIN4
E N V1-1 R 329 *10K E N V1 MX5 78 153 . 1 U_0402
2 (26) MX5 KBSIN5 IOPB0/URXD MBATLED1# (10)
TDI-591 MX6 79 154
3 (26) MX6 KBSIN6 IOPB1/UTXD MBATLED0# (10) 3 V_591
MX7 80 162 Pin 168 default is high
C 4 (26) MX7 KBSIN7 IOPB2/USCLK PW R_LED# (10) C
TDO-591 PORT-B 163 MBCLK R 435
5 IOPB3/SCL1 MBCLK (4,32)
MY 0 49 164 M BDATA 0
6 (26) MY 0 KBSOUT0 IOPB4/SDA1 MBDATA (4,32) P IRQF# (12,19)

1
TCK-591 MY 1 50 165 P CIRST#
7 (26) MY 1 KBSOUT1 IOPB7/RING/PFAIL P CIRST# (6,10,11,12,15,16,19,33)
MY 2 51 Key matrix scan
8 (26) MY 2 KBSOUT2
T INT- R 83 10K MY 3 52 168 R 436 *0 NB SW ON# 2
9 3 V_591 (26) MY 3 KBSOUT3 IOPC0 P IRQD# (12)
MY 4 53 169 VF AN2 Q14
10 3 V_591 (26) MY 4 KBSOUT4 IOPC1/SCL2 T146
MY 5 56 170 VR O N D TA124EU
11 (26) MY 5 KBSOUT5 IOPC2/SDA2 VR O N (27,29,30)
MY 6 57 171 D N BSW ON#591 1 2
(26) MY 6 D NB SW ON# (13)

3
12 MY 7 KBSOUT6 IOPC3/TA1 F ANS IG D 10 1SS355
(26) MY 7 58
KBSOUT7
PORT-C IOPC4/TB1/EXWINT22
172 F ANS IG (26)
* JTAG MY 8 59 175 R E FON
(26) MY 8 KBSOUT8 IOPC5/TA2 R E F ON (31)
MY 9 60 176 CELL-SET D 13 1SS355
(26) MY 9 KBSOUT9 IOPC6/TB2/EXWINT23 CELL-SET (31)
MY1 0 61 1 PW ROK_1 1 2 S USB# 2 1
(26) M Y1 0 KBSOUT10 IOPC7/CLKOUT PW ROK (6,13) (13,16) S USB#
MY1 1 64 D9 1SS355
(26) M Y1 1 KBSOUT11
MY1 2 65 26 HO LD#
+5V +5V (26) M Y1 2 KBSOUT12 IOPD0/RI1/EXWINT20 + 3V
MY1 3 66 PORT-D-1 29 A C IN R 77 1K
(26) M Y1 3 KBSOUT13 IOPD1/RI2/EXWINT21
MY1 4 67 30 C APSLED# D 14 1SS355
(26) M Y1 4 KBSOUT14 IOPD2/EXWINT24 C APSLED# (25)
MY1 5 68 A C IN 2 1 HO LD#
(26) M Y1 5 KBSOUT15 (31) A C IN
2 NB SW ON#
IOPE4/SWIN
2
4
6
8

T INT- 105 44 S USB#


TINT IOPE5/EXWINT40 S USB# (13,16)
R N4 2 R 84 R 82 TCK-591 106 PORT-E 24 L PCPD# Pin 24 if no pull-high,
8P4R-10K 10K 1 0K TDO-591 TCK IOPE6/LPCPD/EXWIN45 C L K R UN#
107 25 C L K RUN# (12,15,16,19,33)
TDI-591 TDO IOPE7/CLKRUN/EXWINT46 will can't reboot.
108
TDI JTAG debug port
TMS-591 109 124 E N V0 3 V_591
TMS IOPH0/A0/ENV0 125 E N V1 Pin 24 if no pull-high, will
1
3
5
7

IOPH1/A1/ENV1 B A DDR0 c an't reboot.


(34) MSCLK 110 126
PSCLK1/IOPF0 IOPH2/A2/BADDR0 B A DDR1
(34) MS DATA 111 127
PSDAT1/IOPF1 IOPH3/A3/BADDR1 T RIS C 658
(34) KPCLK 114 128
PSCLK2/IOPF2 IOPH4/A4/TRIS S H BM . 1 U_0402
(34) KPDATA 115
PSDAT2/IOPF3 PORT-H IOPH5/A5/SHBM
131
116 132 A6
(26) TPCLK PSCLK3/IOPF4 IOPH6/A6

5
117 PS2 interface 133 A7
(26) TPDATA PSDAT3/IOPF5 IOPH7/A7
S CROLER# 118 MX LID# 1 D 33 1SS355
(25) SCROLED# PSCLK4/IOPF6
NUML ED# 119 138 D0 4 R 441 1K MX LID_ON# 2 1
B (25) NUMLED# PSDAT4/IOPF7 IOPI0/D0 B
139 D1 R 442 1 0K 2
IOPI1/D1 D2
140
IOPI2/D2 D3 U4 0
141

3
591_32KX1 IOPI3/D3 D4 C 659 7 SH86
158
32KX1/32KCLKOUT PORT-I IOPI4/D4
144
145 D5 . 1U
R 316 2 0M 591_32KX2 160 IOPI5/D5 146 D6
32KX2 IOPI6/D6 D7
IOPI7/D7
147 E: W ake up by lid switch
150 RD#
IOPJ0/RD W R# U1 9
Y4 PORT-J-1 IOPJ1/WR0
151
591_32KX3 R 315 1 20K 12 13 D0
S ELIO# A0 D0 D1
152 T42 11 14
3 2 . 768KHZ B: Change SELIO B : Del R101 A1 D1 D2
E: W ake up by lid switch 10
A2 D2
15
TABLET# 62 41 M/ A# 9 17 D3
TABLET# M/ A# (32)
C 424 C 423 MX LID_ON# (10) R 100 0 63
IOPJ2/BST0 IOPD4
42 BATSEL0 8
A3 D3
18 D4
IOPJ3/BST1 IOPD5 BATSEL0 (32) A4 D4
20P 5 .6P MX LID# 69 PORT-D-2 54 7 19 D5
(10) MXLID# IOPJ4/BST2 IOPD6 D /C# (31,32) A5 D5
BATLOW# 70 PORTJ-2 55 6 20 D6
(13) BATLOW# IOPJ5/PFS IOPD7 B L/C# (31,32) A6 D6
75 5 21 D7
(19) R F _ DISABLE# IOPJ6/PLI A7 D7
P R _INSERT# 76 143 A8 27
(10,34) P R _INSERT# IOPJ7/BRKL_RSTO IOPK0/A8 A8
142 A9 26
3 V_591 IOPK1/A9 A 10 A9 A 18
E: Disable WLAN(4) T HERMTRIP_SIO# 148
IOPM0/D8 IOPK2/A10
135 23
A10 VPP
1
ORTSW# 149 134 A 11 25
IOPM1/D9 IOPK3/A11 A 12 A11 C: Delete U22 (TSOP-32 pac kage) and C52.
(21,22) S HUTDOW N# 155
IOPM2/D10
PORT-K IOPK4/A12
130 4
A12
R424 1 0K TABLET# 156 129 A 13 28
(13) S W I# IOPM3/D11 IOPK5/A13/BE0 A13
BT1# 3 PORT-M 121 A 14 29
(25) BT1# IOPM4/D12 IOPK6/A14/BE1 A14
R 65 1 0K ORTSW# BT2# 4 120 A 15 3
T163 (25) BT2# IOPM5/D13 IOPK7/A15/CBRD A15
BT3# 27 2
(25) BT3# IOPM6/D14 A16
BT4# 28 113 A 16 30 32
(25) BT4# IOPM7/D15 IOPL0/A16 A17 VCC 3 V_591
112 A 17
R106 1 0K P R _INSERT# C S# IOPL1/A17 A 18 C S#
173
SEL0
PORT-L IOPL2/A18
104 22
CE#
591SEL1# 174 103 A 19 RD# 24 C 56
T148 SEL1 IOPL3/A19 T48 OE#
591CLK 47 48 W R1# W R# 31 16 . 1U_0402
A T154 CLK IOPL4/WR1 T153 WE# GND A
C:delet RN72 , add R106
P LCC32
AGND
GND1
GND2
GND3
GND4
GND5
GND6
GND7

NC10
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9

Pin 103 internal is


R N4 PC87591VPC QUANTA
17
35
46
122
159
167
137

96

11
12
20
21
85
86
91
92
97
98

1 2 BT1# "A19",Can't use to


3
5
7
4
6
8
BT2#
BT3#
BT4#
AGN D_591
GPIO
Title
COMPUTER
D:DEL D5,SW1,R317,C53,C433 R 85
8P4R-10K 10
PC87591 & FLASH
Size D oc um ent Num ber R ev
C us tom 1B
OA8
D ate: S aturday, October 11, 2003 Sheet 24 of 34
5 4 3 2 1
1 2 3 4 5 6 7 8

A A

3 V_S5 3V_S5

R144 R143
1 0K 1 0K

Z 2502 R 1 45 10K
U S B O C 0 # (13)

Z 2503 R 1 46 10K
U S B O C 1 # (13)

C174 C175
.1 U _0402 .1 U _ 0402

5 V S US
U1
3 1 US B 0P W R
IN OUT
4
C 1 80 IN + C162
B .1 U _0402 C151 1 0 0 U / 6 .3 V B
2 5 4 70P
GNDOUT
R T 9701

CN3
1
L 15 V+
1 4 U S B P 0 -1 2
(1 3 ) US BP0- DATA_L
2 3 U S B P 0 +1 3
(1 3 ) U S BP0+ DATA_H
5
C M S20B900 SHIELD1
4 6
GND SHIELD2

U S B _CONN_H

1
2

2
C153 C152
* C l a m p -Diode * C l a m p -Diode

B : C h a n g e t h e n e t fro m
+ 5 V to 3 V _ 5 9 1 ).
+3V 3V_591

C C
C225 C196
.1 U _ 0402 .1 U _0402 5 V S US
U 11
CN7 3 1 US B 1P W R
IN OUT
1 2 4
3 4 BT1# (24) IN
C 1 61 + C178
(24) C A P S L E D# 5 6 BT2# (24)
.1 U _0402 C171 1 0 0 U / 6 .3 V
(24) NUMLE D# 7 8 BT3# (24)
2 5 4 70P
(24) S CROLE D# 9 10 BT4# (24) GNDOUT
T164
(24) R S V L E D# B T 1 L E D#
T A B S W # 11 12 R T 9701
13 14 B T 2 L E D#
(10) C O V E R S W# 15 16 B T 3 L E D#
E m p ty CN6
17 18 B T 4 L E D#
Z 2501 1
19 20 T4 V+
L 17
E: Change the net . 1 4 U S B P 1 -1 2
(1 3 ) U S BP1- DATA_L
L E D C O N 2 0P 2 3 U S B P 1 +1 3
(1 3 ) U S BP1+ DATA_H
5
C M S20B900 SHIELD1
4 6
TO LED BOARD 20P 9 0ohm@100Mhz
GND SHIELD2

U S B _CONN_H

1
USB

2
C177 C176
* C l a m p -Diode * C l a m p -Diode

D D

QUANTA
T itle
COMPUTER
USB & LED BOARD CON
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 25 of 34
1 2 3 4 5 6 7 8
A B C D E

B: Add +1 2 V

S h a r e w ith A udio pow er O P . + 5V


+1 2 V ( 1 8 ,2 3 ,2 8 ,3 4 )

FAN speed
60 C

1
2
5
6
R 3 88 7 50
Z 2601 3 Q36
(24) V FAN +
1 Z 2603 3 S I 3 4 5 6 DV Low speed 3.8V 70 C
4 2 - 4
U37A
C505 Z 2602 L M358 70 C

4
1 0 U / 1 0 V /V High speed 5V
CN 24 80 C
R382 1K + 5 V _FAN
1
2 4
R385 3 5
2K C 4 98 C537 FAN
1 0 U / 1 0 V /V 1 000P Z 2604

1
+ 3V
Q37
2 D T C 1 4 4 E UA
+ 3V
R381
1 0K
FAN OUT CONNECTOR

3
(2 4 ) F A NS IG

3 KEYBOARD 3

C P7 2 2 0 PX4
1 2 MX0
CN 16 3 4 M Y2
M Y0 5 6 M Y1
1 M Y0 (24)
M Y1 7 8 M Y0
2 M Y1 (24)
M Y2
3 M Y2 (24)
MX0
4 MX0 (24)
MX1 C P8 2 2 0 PX4
5 MX1 (24)
MX2 1 2 M Y3
6 MX2 (24)
MX3 3 4 MX3
7 MX3 (24)
M Y3 5 6 MX2
8 M Y3 (24)
M Y4 7 8 MX1
9 M Y4 (24)
MX4
10 MX4 (24)
MX5
11 MX5 (24)
MX6 C P9 2 2 0 PX4
12 MX6 (24)
MX7 1 2 MX6
13 MX7 (24)
M Y5 3 4 MX5
14 M Y5 (24)
M Y6 5 6 MX4
15 M Y6 (24)
M Y7 7 8 M Y4
16 M Y7 (24)
M Y8
17 M Y8 (24)
M Y9
18 M Y9 (24)
MY10 C P 1 2 2 2 0 PX4
19 MY10 (24)
MY11 1 2 MY15
20 MY11 (24)
MY12 3 4 MY14
21 MY12 (24)
MY13 5 6 MY13
22 MY13 (24)
MY14 7 8 MY12
23 MY14 (24)
MY15
2 24 MY15 (24) 2
L 30
25 C P 1 1 2 2 0 PX4 12 MIL 5VTP C 6 1 7 .1 U _0402
+5V
K E Y B OA RD 1 2 MY11
3 4 MY10 F B M2125HM330
5 6 M Y9 CN 14
7 8 M Y8
12
L 10 F C M 1608K221 T P DA T A -1 11
C P 1 0 2 2 0 PX4 (24) T P DA T A 10
M Y7 L9 F C M 1608K221 T P CL K -1 9
1 2 (24) T P CL K
3 4 M Y6 8
M Y5 7
5 6
MX7 6
7 8
5
4
C63 C 60 3
*10P *1 0P 2
1
T O U C H P A D 12P

+ 5V +5V
RP 8 R P7
10 1 M Y4 10 1 MY12
M Y3 9 2 M Y5 MY11 9 2 MY13
M Y2 8 3 M Y6 MY10 8 3 MY14
M Y1 7 4 M Y7 M Y9 7 4 MY15
M Y0 6 5 + 5V M Y8 6 5 +5V
1 1
1 0 P 8 R-1 0 K 1 0 P 8 R-1 0 K

QUANTA
T i tle
COMPUTER
K/B ,FDD ,FAN CON
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 26 of 34
A B C D E
5 4 3 2 1

V IN + 1 .5 V +5V + 3V + 15V

P R 37 P R39 P R43 P R 42 P R 40
1M 2 2C 2 2C 22C 1M

M A INON_ G M A IND
M A IND ( 2 8 ,2 9 )

3
Z 2705 Z 2706 Z 2707

3
D D
2 P R 38 2
( 2 4 ,2 8 ,2 9 ) M A I N O N 1M P C 27
2 2 2
2200P
P Q 38 P Q4 1

1
D T C 1 4 4 E UA P Q 39 P Q 42 P Q4 0 2 N 7002E

1
2 N 7002E 2 N 7002E 2 N 7002E

1
V IN 2 . 5 V S US 3 V S US 5 V S US + 15V

P R 12 P R 15 P R17 P R 16 P R 14
1M 22C 2 2C 22C 1M
V IN V C C_CORE + V CCP + 1 .2 V
S US ON_G S US D
S US D (28)

3
Z 2708 Z 2709 Z 2710

3
P R4 P R2 P R1 P R5
1M 22C 22C 2 2C S US ON 2 2
( 2 4 ,2 9 ) S US ON P R 11 P C 20
2 2 2
Z 2701 1M 2200P
PQ6 P Q9

1
Z 2702 Z 2703 Z 2704 D T C 1 4 4 E UA P Q8 P Q 11 P Q1 0 2 N 7002E

1
3

3
C 2 N 7002E 2 N 7 002E 2 N 7002E C

1
3

2 P R3 2 2 2
( 2 4 ,2 9 ,3 0 ) V R O N 1M

P Q2 P Q3 P Q1 PQ4
1

D T C 1 4 4 E UA 2 N 7002E 2 N 7002E 2 N 7002E


1

1
V IN 1 .5 V _ S 5 3V_S5 + 15V
3V_591

P R 50 P R49 P R41 P R 44

1
2
5
6
1M 2 2C 2 2C 1M

S 5 _ O NG S5_OND 3 P Q 15
S I 3 4 5 6 DV
Z 2711 Z 2712

4
3
3 V_S5
S 5 _ ON 2 2 2 2
( 1 3 ,2 4 ,2 9 ) S 5 _ O N
P R 51 P C29 P C 30
P Q1 8 1M 2200P .0 1 U
D T C 1 4 4 E UA P Q 17 P Q 14 P Q1 3

1
2 N 7 002E 2 N 7 002E 2 N 7002E

1
B B

3V_S5 ( 1 3 ,1 4 ,2 5 ,3 4 )

V IN + 15V
3V_591

P R48 P R 46
1M 1M P C28

1
2
5
6
.1 U _0402
3 V A UX E N#
3 V A UX E N-1 3

3
P Q1 9
2 S I 3 4 5 6 DV
(24) 3 V A UX E N

4
P R47 2 3 V A UX (19)
1M P C31
P Q 12 *2 200P
1
D T C 1 4 4 E UA P Q1 6 P C32
2 N 7002E .1 U _0402

1
A A

QUANTA
T i tle
COMPUTER
DISCHARGE
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 27 of 34
5 4 3 2 1
A B C D E

1 6 3 2 _ CS L 3

1 6 3 2 _ CS H3

V IN _1632 V IN
P D10 P R67 10 PL13
2 1 V+

4 Z D5 .6V F B M J3216HS 8 0 0 4

5
P C59
. 01U P C 126
P R 57 4 . 7 U /2 5 V
1K

P C 109
SW3 .1 U /50V_0805 P Q4 5
RE S E T SW S I4 8 3 4

4
1 6 3 2 RE S E T # B : C h a n g e P C1 0 0 (1 5 0 U to
+1 2 V
3
4
1
2
1 6 3 2 RE S E T # ( 4 )
3 3 0 U ) a n d d e l e te P C1 0 1 .
3.3V 4A
5
6 1 6 3 2 _ DH3 P L5 P R61
3 V_591 ( 1 0 , 1 3 ,2 4 ,2 5 ,2 7 ,3 0 ,3 1 ,3 2 )

3
5 . 6 U H / 5 . 1 A _ P L F C1 0 4 5 0 . 0 2 /3 7 2 0 P C 1 00 P C 44
1 6 3 2 _ LX3 3 3 0 U /6 .3 V .1 U /25V
P Q 23 P R 60 3 V_591

1
2 I R L ML5103 D 16 1 6 3 2 _ DL 3 B : C h a n g e th e

1
C l a m p -Diode 100K f o o t p r i n t a n d P N.
3

5
2
P R65 P C49 P Q 21
2 2 20K .1 U /2 5V S I9936
( 2 4 ,2 7 ,2 9 ) M A I N O N
1

2
P Q 24 VL P C46
1

D T C 1 4 4 E UA .1 U /50V_0805 P D6
P U8 S S M14
3 1 28 P R 56 3
CSH3 RUN/ON3

4
2 27
CSL3 DH3 V IN _1632 100K + 3V
3 26 S US D
FB3 LX3 P C 121 P C 112 P C 1 13 P C 37
1 2 V OUT 4 25 1 6 3 2 _ B S T3 3 V S US
1 2 V OUT 12OUT BST3

4 . 7 U /2 5 V

4 . 7 U /2 5 V
.1 U /50V_0805
5 24 .0 1 U P C 52
+ 15V

2
VDD DL3 VL .1 U _0402
2
P C97 VL 6 23 P D5 P D9
4 . 7 U /1 6 V SYNC SHDN- 1SS355 P C56
3
D A P 2 02U M A IND .1 U _ 0402
7 22
TIME/ON5 V+ +1 5 V
1

1
8 21 P D3
P C 4 1 4 . 7 U /1 0 V GND VL LX15 2 1
9 20 P C53
REF PGND 3 V S US ( 4 , 1 0 , 1 2 ,1 3 ,1 4 ,1 6 ,1 8 ,1 9 ,2 0 ,2 1 ,2 7 )
4 . 7 U /1 6 V E C 1 1FS2

2
10 19 P Q4 6 P C99

4
SKIP- DL5 P C 48 S I4 8 3 4 4 . 7 U /2 5 V
P R 55 10K 11 18 1 6 3 2 _ B S T5 .1 U /50V_0805 P R 68
5V 5A
(30) 1 6 3 2 HWPG RESET- BST5 5V P CU ( 1 3 ,2 9 ,3 0 ,3 1 )
0 . 0 1 5 /2 5 1 2 P C58 P C 118

1
12 17 1 6 3 2 _ LX5 3 3 0 U /6 .3 V .1 U /25V
FB5 LX5 PL4 5 V P CU
13 16 1 6 3 2 _ DH5 1 0 U H -S T Q1 2 4

1
CSL5 DH5

5
14 15
CSH5 SEQ 1 6 3 2 _ DL 5 P Q 43
MA X 1 632 S I9936

2
2 2
R E F 2 .5 V

2
1 6 3 2 _ CS H5
1 6 3 2 _ CS L 5 P C 51 P D11
.1 U /25V S S M14

P J2 S HORT B : C h a n g e P C5 8 (1 5 0 U to + 5V

4
3 3 0 U ) a n d d e l e te P C1 1 9 .
S US D
S U SD (27)
1 6 3 2 S GND

P C 96 5 V S US
.1 U _0402

M A IND P C 1 02
( 2 7 ,2 9 ) M A IND
.1 U _ 0402

5 V S US ( 1 0 , 1 4 ,1 8 ,2 5 ,2 7 ,2 9 ,3 4 )

1 1

QUANTA
T i tle
COMPUTER
3 V/5V SYS POWER
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 28 of 34
A B C D E
1 2 3 4 5

1 8 4 5 RE F

1
P C 1 27 P D1
D A P 2 02U

P R 114 P R 115 1 U / 1 0 V /X 7 R_ 0 8 0 5 5V P CU V IN _1845 V IN


6 0 . 4 K /F 6 0 . 4 K /F 1 8 4 5 A GND P R 10 P C66 PL12

3
V IN _1845 1 8 4 5 V CC 1 0 U / 2 5 V /X 5 R/1 2 1 0 F B M J3216HS 8 0 0 _ 1 2 0 6
5V P CU ( 1 3 ,2 8 ,3 0 ,3 1 )
V IN ( 1 0 , 2 7 ,2 8 ,3 0 ,3 1 ,3 2 )
1 8 4 5 IL IM1 1 8 4 5 IL IM2 10

22
4

1
P C 128 P U9 P C42
V IN _1845 1 8 4 5 B S T2 19 21 4 . 7 U /1 0 V _ 0 8 0 5 P Q 32 P C 129

VCC
V+

5
6
7
8
P R 116 P R 117 BST2 VDD S I4800 P C71 1 0 U / 2 5 V /X 5 R/1 2 1 0 +1.5V
A 1 0 0 K /F 1 0 0 K /F .1 U _0805 1 8 4 5 DL 2 20 25 1 8 4 5 B S T1 P C 47 .1 U /50V_0805 A

2
DL2 BST1 .1 U _0805 4A

1
1 8 4 5 LX2 17 26 1 8 4 5 DH1 4
P C 117 LX2 DH1 P C 69
. 1 U /5 0 V _ 0 8 0 5 1 8 4 5 DH2 18 27 1845LX1 1 0 U / 2 5 V /X 5 R/1 2 1 0

4
1 8 4 5 A GND 1 8 4 5 A GND DH2 LX1

2
16 24 1 8 4 5 DL 1 1 .5 V _ S 5
CS2 DL1 P C15 P C11

S2
G2
D1
P C 1 10 P C 111 15 28 2 2 0 U / 2 .5 V -1 8 m _ 7 3 4 3 1 0 U / 1 0 V /U

3
2
1
1 0 U / 2 5 V /X 5 R/1 2 1 0 1 0 U / 2 5 V /X 5 R/1 2 1 0 OUT2 CS1
1 .5 V _ S 5 ( 1 4 ,2 7 )
1 845FB2 14 1

5
6
7
8

1
FB2 OUT1 P L1
2.5V P Q4 4 2 1 845FB1 2 . 5 U H / 7 . 5 A _ S IL 1 0 4 R

7 S1D2
S I 4 8 1 4 DY FB1 P D15
7 + +

G1
2 . 5 V S US PGOOD P C13 E C 1 0 QS 0 4 _ P S M
3.2A 5 4
11 MA X 1 845 TON .1 U

2
P C 133 ( 1 3 ,2 4 ,2 7 ) S 5 _ ON ON1
2 2 0 U / 2 .5 V -1 8 m _ 7 3 4 3 P L3 12 1 8 4 5 RE F P Q 33
( 2 4 ,2 7 ) S US ON ON2 S I4810
( 7 , 8 ,9 ,1 6 ,2 7 ) 2 . 5 V S US 10
REF
5 . 6 U H / 5 . 1 A _ P L F C1 0 4 5 1 8 4 5 IL IM213 6 P C 1 30

3
2
1
1

P R 58 ILIM2 SKIP P C 131 2 2 0 U / 2 .5 V -1 8 m _ 7 3 4 3 P R8


+ + 1 5 K /F 1 8 4 5 IL IM1 3 . 2 2 U / 2 5 V /0 8 0 5 /X 7 R 5 K /F
ILIM1
23

OVP
UVP
P D16 GND
Ra Rc
E C 1 0 QS 0 4 _ P S M
2

8
1 8 4 5 V CC Vout=(1+Rc/Rd)*0.5
P C 134 P C 132 P R 62 P J1 S HORT
B .1 U 2 2 0 U / 2 .5 V -1 8 m _ 7 3 4 3 1 0 K /F P C39 B
1 00P 1 8 4 5 A GND 1 8 4 5 A GND P C6 P R9
Rb * 1 00P 1 0 K /F
Rd
1 8 4 5 A GND
1 8 4 5 A GND 1 8 4 5 A GND

1 8 4 5 A GND 1 8 4 5 A GND

2 . 5 V S US
( 8 ,9 ) S M D D R _ V R E F S M D D R _ V T E RM ( 8 ) 1 .5 V _ S 5 ( 1 4 ,2 7 )

P C 92 2 . 5 V S US ( 7 , 8 ,9 ,1 6 ,2 7 )
P R 1 03 0
1 .5 V _ S 5
1 2
P R54 *1 00K
( 2 4 ,2 7 ) S US ON
P U7 LP2996 1 0 0 U /6 .3 V
1 8

5
6
7
8
P R53 1 00K GND VTT
( 2 4 ,2 7 ,2 8 ) M A I N O N 2 7 2 . 5 V S US
SD PVIN
3 6
1

VSENSE AVIN B : D e l e t e P R5 2 , P R4 5 (+2 .5 V o p tion) P Q 34


4 5 2 . 5 V S US

1
2
5
6
VREF VDDQ b e c a u s e u se 2 .5 V S US . S I4800
( 2 7 ,2 8 ) M A IND 4
P C33 P Q 20
B : D e l e te R3 9 3 P C95 P C94 1 0 0 U /6 .3 V 3 * S I 3 4 5 6 DV
( 2 7 ,2 8 ) M A IND
2

.1 U _ 0402 .1 U _0402 P C93


.1 U _0402
+ 2 .5 V

4
C C
+ 2 .5 V

3
2
1
PL10
1 .5 V _ S 5 -2
1 .5 V _ S 5 + 1 .5 V
P C 34
F B M J3216HS 8 0 0 *.1 U/25V
+ 1 .5 V ( 6 , 7 , 1 1 ,1 3 ,1 4 ,2 7 )
8

P C79 P C78 P C80 P C74 P C76


1 0 U / 1 0 V /U 1 0 U / 1 0 V /U .1 U 1 0 U / 1 0 V /U .1 U

1.05V
2.4A
P Q 35
S I4922 c:16.9K/F for GME
( 4 , 5 , 6 ,7 ,1 2 ,1 3 ,1 4 ,2 7 ) + V C C P
1

1 .2V: R g = 1 4 K /F
+ 1 . 2 V _ DRV 2 1.35V: Rg=16.9K/F
+ V CCP + 1 .2 V ( 6 ,7 ,2 7 )

+ 1 .2 V
+ Re +V CCP _ DR V1
P C12 P C 75 P C77 5 V S US
2 2 0 U / 2 .5 V -1 8 m 1 0 U / 1 0 V /U .1 U P R89 P U5 S C 338
1 1 K /F 1
DRV1 IN
10 1.2V
P R88 +
+ 3V
2
ADJ1 DRV2
9 P C81 Rg 1 6 . 9 K /F P C 83 P C 82 P C 21 1.52A
.1 U 1 0 U / 1 0 V /U . 1U 1 0 0 U /6 .3 V
D 3 8 D
EN1 ADJ2
P R 92 3 3 8 PWG 4 7
1K PGD1 EN2 P R90 * 0

B : Add
Rf 5
GND PGD2
6 T30
Rh P R86
QUANTA
2

P R87
1 0 K /F
1 0 K /F

T i tle
COMPUTER
( 3 0 ) V T T _ P W RGD 3 1
P Q 48
3 904 B : D e l e te P R9 1 ,
1.5V/2.5V (MAX1845), VTERM, +VCCP
Vout=(1+Rg/Rh)*0.5
P R 9 2 , P R9 4 S ize D o c u m e n t N umber R ev
A3 1A
( 2 4 ,2 7 ,3 0 ) V R O N
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 29 of 34
1 2 3 4 5
5 4 3 2 1

3 V_591

C 6 52
.1 U _0402

5
( 2 9 ) V T T _ P W RGD 2
4 HW PG
HWPG (24) V IN _1907
(28) 1 6 3 2 HWPG 1
P L11
P U6
V IN

3
7 S H 08
D F B M J3216HS 8 0 0 D

+ 3V 1 9 0 7 V CC 5 V P CU P C 17 P C14 P C16 P C19 P C 18


P C88 . 1 U /5 0 V _ 0 8 0 5 4 . 7 U / 2 5 V 4 . 7 U /2 5 V 4 . 7 U /2 5 V 4 . 7 U /2 5 V
P C91 P R 98 1 U /1 0V
. 22U
P R 22
4ms delay to ICH4-M. 100K 10
1907AGND
(1 3 ) IM V P _PWG

2
V IN _1907 P D8

10

30
P U1 R B 500
HW PG 36 34 P C 87 P C86

VCC

VDD
SYSPOK V+ P C22 . 1 U /5 0 V _ 0 8 0 5 4 . 7 U /2 5 V

1
P R 21 10K 37 31
+3V

5
6
7
8

5
6
7
8
IMVPOK BST PQ5 P Q 36
38 .1 U /50V_0805 IR 7 811A IR 7 8 11A
(3) C L K _ E N# CLKEN
33 1 9 0 7 DH 4 4
DH
(5) CP U_ V ID5 21
D5
(5) CP U_ V ID4 22
D4
(5) CP U_ V ID3 23
D3
Deleted for LV Banias
(5) CP U_ V ID2 24
D2 1 9 0 7LX
(5) CP U_ V ID1 25 32 V C C _ C O R E ( 5 , 7 ,2 7 )
D1 LX
(5) CP U_ V ID0 26

3
2
1

3
2
1
D0 V CC_CORE
1907S2 6 P L2 P R13
0 . 7 4 8 V f o r suspend mode T43 1907S1 S2 1 9 0 7 DL C M+ C M-
1 9 0 7 V CC 5 29
C ( D e e p e r sleep) 1907S0 S1 DL C
4

5
6
7
8

5
6
7
8

1
1907AGND S0 0 . 6 2 U H /3 0 A 0 . 0 0 1 /2 5 1 2
1907B0 1 PQ7 P Q 37
1907B1 B0 S I4 3 6 2 S I4362
2 11 + + + + + +
1907B2 B1 GND 1907AGND P D2 P D4 P C 85 P C10 P C1 P C84 P C 72 P C2
3 28 4 4
B2 PGND 1 9 0 7 V CC S S M24 S S M24 2 2 0 U / 2 .5 V -9 m 2 2 0 U / 2 .5 V -9 m2 2 0 U / 2 .5 V -9 m 2 2 0 U /2 .5 V -9 2 2 0 U /2 .5 V -9 * 2 2 0 U /2 .5 V -9

2
B : D E L P R 3 0 ,P R9 5 ,P R9 9 P R 1 02 P R 101
35 1 .5K 2 K /F
(13) DP RS L P VR SUS P R 96 *1 0K
40
TON
( 3 ,1 3 ) S T P _ CP U# 20
DPSLP
c:PD4 EMI add issue

3
2
1

3
2
1
( 2 4 ,2 7 ,2 9 ) V R O N 7
SHDN

17 1 9 0 7 _ OA +
1 9 0 7 A GND P R 20 5 6 K /F OA+
39 16
TIME OA- P C25
15 1 907FB P R35 3 . 0 1 K /F 1 9 0 7 _ OA - 4 70P
1907AGND P C24 270P FB
12
CC P C26 1 00P
19
CSN
1

P C89 .2 2 U 8 CM-1 P R 33 200_0402 C M-


REF P C23 CM+1 P R 32 200_0402 C M+
1 9 0 7 RE F 4 700P
2

18 D5 D4 D3 D2 D1 D0 O u tput D5 D4 D3 D2 D1 D0 O u tput
CSP 1 0 0 0 0 0 1 .1 9 6 V 0 0 0 0 0 0 1 .7 0 8 V
9
ILIM 1 907FB 1 0 0 0 0 1 1 .1 8 0 V 0 0 0 0 0 1 1 .6 9 2 V
P R 100 1 9 0 7 DD 27 14 1 0 0 0 1 0 1 .1 6 4 V 0 0 0 0 1 0 1 .6 7 6 V
GND

POS

2 3 2 K /F DDO NEG 1 0 0 0 1 1 1 .1 4 8 V 0 0 0 0 1 1 1 .6 6 0 V
B
D:PR36 2.74K modify to 1.24K/F B
P R36 1 0 0 1 0 0 1 .1 3 2 V 0 0 0 1 0 0 1 .6 4 4 V
MA X 1 907 1 . 2 4 K /F 1 0 0 1 0 1 1 .1 1 6 V 0 0 0 1 0 1 1 .6 2 8 V
41

13

1 9 0 7 V CC 1 9 0 7 RE F 1 9 0 7 V CC 1 9 0 7 RE F 1 9 0 7 V CC 1 9 0 7 RE F 1 0 0 1 1 0 1 .1 0 0 V 0 0 0 1 1 0 1 .6 1 2 V
1 0 0 1 1 1 1 .0 8 4 V 0 0 0 1 1 1 1 .5 9 6 V
1 0 1 0 0 0 1 .0 6 8 V 0 0 1 0 0 0 1 .5 8 0 V
P R 97 P C 90 1 0 1 0 0 1 1 .0 5 2 V 0 0 1 0 0 1 1 .5 6 4 V
4 0 . 2 K /F 100P P R34 P R 29 P R 28 P R24 P R 25 P R23 P R 19 1 0 1 0 1 0 1 .0 3 6 V 0 0 1 0 1 0 1 .5 4 8 V
1 0 0 K /F * 0 -0 4 0 2 0 -0 4 0 2 0 -0 4 0 2 * 0 -0 4 0 2 0 -0 4 0 2 * 0 -0 4 0 2 1 0 1 0 1 1 1 .0 2 0 V 0 0 1 0 1 1 1 .5 3 2 V
1 0 1 1 0 0 1 .0 0 4 V 0 0 1 1 0 0 1 .5 1 6 V
1 0 1 1 0 1 0 .9 8 8 V 0 0 1 1 0 1 1 .5 0 0 V
1907AGND 1907AGND 1907B2 1907B1 1907B0 1 0 1 1 1 0 0 .9 7 2 V 0 0 1 1 1 0 1 .4 8 4 V
1 0 1 1 1 1 0 .9 5 6 V 0 0 1 1 1 1 1 .4 6 8 V
1907AGND 1 1 0 0 0 0 0 .9 4 0 V 0 1 0 0 0 0 1 .4 5 2 V
P R 31 P R 26 P R 18 1 1 0 0 0 1 0 .9 2 4 V 0 1 0 0 0 1 1 .4 3 6 V
J2 S HORT * 0 -0 4 0 2 * 0 -0 4 0 2 * 0 -0 4 0 2 1 1 0 0 1 0 0 .9 0 8 V 0 1 0 0 1 0 1 .4 2 0 V
1 1 0 0 1 1 0 .8 9 2 V 0 1 0 0 1 1 1 .4 0 4 V
1 1 0 1 0 0 0 .8 7 6 V 0 1 0 1 0 0 1 .3 8 8 V
1 1 0 1 0 1 0 .8 6 0 V 0 1 0 1 0 1 1 .3 7 2 V
1 1 0 1 1 0 0 .8 4 4 V 0 1 0 1 1 0 1 .3 5 6 V
1 1 0 1 1 1 0 .8 2 8 V 0 1 0 1 1 1 1 .3 4 0 V
1907AGND 1 1 1 0 0 0 0 .8 1 2 V 0 1 1 0 0 0 1 .3 2 4 V
1 1 1 0 0 1 0 .7 9 6 V 0 1 1 0 0 1 1 .3 0 8 V
1 1 1 0 1 0 0 .7 8 0 V 0 1 1 0 1 0 1 .2 9 2 V
1 1 1 0 1 1 0 .7 6 4 V 0 1 1 0 1 1 1 .2 7 6 V
S U S P E N D M O D E ( S U S =HIGH) V C C _ B OOT 1 1 1 1 0 0 0 .7 4 8 V 0 1 1 1 0 0 1 .2 6 0 V
S2 S1 S0 O u tput B2 B1 B0 O u tput 1 1 1 1 0 1 0 .7 3 2 V 0 1 1 1 0 1 1 .2 4 4 V
G ND G ND G ND 1 .7 0 8 V 1 1 1 1 1 0 0 .7 1 6 V 0 1 1 1 1 0 1 .2 2 8 V
OPEN V C C G ND 0 .7 4 8 V R EF R EF R EF 1 .3 7 2 V 1 1 1 1 1 1 0 .7 0 0 V 0 1 1 1 1 1 1 .2 1 2 V
A
OPEN OPEN OPEN 1 .0 3 6 V A
VCC VCC VCC 0 .7 0 0 V
R EF V CC VCC 1 .2 1 2 V

QUANTA
T i tle
COMPUTER
1.25V / 2.5V POWER
S ize D o c u m e n t N umber R ev
A3 OA8 2B

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 30 of 34
5 4 3 2 1
5 4 3 2 1

ADAPTER 19V 60W 3.15A


BATTERY CHARGER 14.8V -- 3600mAh, 4S2P
11.1V -- 2150 mAh,3S

VA VAD
V IN P Q 47
D PL7 P Q 27 P Q 26 P L14 S I4818 P R 69 D
P R75 PL6
C N4 F B J 3 2 1 6 HS 4 8 0 NT _ 1 2 0 6 S I 4 4 3 5 DY S I 4 4 3 5 DY F B J 3 2 1 6 HS 4 8 0 NT _ 1 2 0 6 0 . 0 5 /1 W
1 8 1 1 8 8 1 B A T -V (3 2 )
2 7 2 2 7

C S I P -1
3 6 3 P R 112 0 . 0 3 3 /1 W _ 3 7 2 0 3 6 7 2 1 0 U H - S IL 1 0 4
4 5 4 4 5
5 P C61 P C62 1 00K 6 3

1
P OW E R JA CK .1 U /50V_0805 .1 U /50V_0805
5 4 P R 1 11 P R 1 09 + +

C S S N -1
P C 1 38 .0 1 U P C 125 P C 120 4 .7 4 .7 P C 124 P C 123
PL8 4 . 7 U_ 1 2 1 0 /2 5 V -X 5 R 4 . 7 U_ 1 2 1 0 /2 5 V -X 5 R 1 0 U / 2 5 V /X 5 R/1 2 1 0 1 0 U / 2 5 V /X 5 R/1 2 1 0

2
F B J 3 2 1 6 HS 4 8 0 NT _ 1 2 0 6
c: modify
P R 107 P R 110
D:MODIFY TO 3V_591 & ADD PD22 P R 77 4 .7 4 .7
5 V P CU 47K
P C 122
.1 U /50V
( 1 3 ,2 8 ,2 9 ,3 0 ) 5 V P C U
1 7 7 2 _ 5 .4 V
P D17
P C54 P C50
P C 107 P C 116 P R 1 05 33 2 1 .1 U /5 0V .1 U /5 0V
3V_591 .1 U /50V .1 U /50V
P C 1 03 1 7 7 2 _ 5 .4 V
S W 1 010

3
1 U / 1 0 V /X 7 R
( 1 0 , 1 3 ,2 4 ,2 5 ,2 7 ,2 8 ,3 0 ,3 2 ) 3 V _ 5 9 1 P R 76
C S IP
A COK 2 P Q2 9
2 2 N 7002E CS IN P R 1 18
C SSN 1 00K
C RE F P 100K P D20 C
3V_591 S W 1 010 CS S P

1
( 2 4 ,3 2 ) RE F P
1

5V P CU
3

P R 120 R E F 3VS P R 1 19
P R80 2 . 6 7 K /F 1 00K
,2 8 ,2 9 ,3 0 ) 5 V P C U A C_C
1 00K
R E F 3VS ( 1 0 ,2 4 ,3 2 )
1

2 D/C#
D/C# ( 2 4 ,3 2 )
P D22

28

27

26

25

24

23

22

21

20

19

18

17

16

15

3
P R81 P Q 30 P R 1 21
S W 1 010
5

4 7 5 K /F 2 N 7002E P R 113 P U 10 9 0 9 /F

INP

CSSP

CSSN

BST

DHI

LX

DLOV

DLO

PGND

CSIP

CSIN

BATT

CELLS

VCTL
1 10K
2

+
4 2 3 V_591
3 - C:modify vol REF
P U13 VA P Q 49
L MV 3 3 1 M A X 1 7 7 2 E EI 2 N 7002E
2

1
2

REFIN
ACOK
P R82

ICHG
DCIN

ACIN
GND

GND

ICTL
CCS

CCV
LDO

REF
CLS

CCI
3 3 2 K /F P D 21
C E L L -S E T ( 2 4 )
S W 1010
3

P R84

10

11

12

13

14
1 00K

2 B L /C# ( 2 4 ,3 2 ) 1
P Q 31 1 7 7 2 _ 5 .4 V R E F 3VS
CELL-SET
B B
2 N 7002E P C 108 0 = 3 CELL
.1 U /50V P R 104
RE F 3 VS ( 1 0 ,2 4 ,3 2 )
1

1K 1 = 4 CELL
C:power modify BATT,charger detect R E F 4 .0 9 6
P C 1 14
1 U / 1 0 V /X 7 R
P C 57 P C 115
P C55 .1 U /50V CC-SET = 1.05V/A
1 U / 1 0 V /X 7 R .1 U /50V
P R63
( 1 0 , 2 7 ,2 8 ,2 9 ,3 0 ,3 2 ) V I N RE F P ( 2 4 ,3 2 )
P Q 28 CC- SET
CC-SET (2 4 )

A CO K#
V IN 4 3 R EFP P C 105 P C 1 06
R EFP R E F 4 .0 9 6
.0 1 U . 0 1 U 1 00K

3
5 2 P R 108
P C 1 35 1 0 K /F P R66
6 1 .1 U /5 0V 1 0 K /F
2 D/C#
D / C# ( 2 4 ,3 2 )
U M D2
P Q2 2
R E FON *2 N 7002E
(24) R E FON

1
2
VA

A COK 3 1 1 7 7 2 _ 5 .4 V
P R 1 35
5V P CU R E F 3VS 7 5 K /F
P Q 62
A
P U3 P R 136 A
1 5 1 0K
( 1 3 ,2 8 ,2 9 ,3 0 ) 5 V P C U Vin Vout R E F 3VS ( 1 0 ,2 4 ,3 2 ) D T A 124EU
2

3
GND
4 R E F -B P
(2 4 ) A C IN QUANTA
(24) RE FON SD BP
C:Power modify detect AC-IN
COMPUTER
1

P C73 S I 9 1 8 3 DT -3 3 P R 1 37
.1 U /5 0V P C68 1 0 K /F P R 138 T i tle
P C70 1 U /1 0V 1 5K BATTERY CHARGER
2

.1 U /5 0V
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 31 of 34
5 4 3 2 1
5 4 3 2 1

RE F 3 VS

R E F 3VS ( 1 0 ,2 4 ,3 1 )
P R72
1 0K MB A T +

D CN 22 D

1 V IN
2 T E MP _ M BAT ( 2 4 )
7 3 P R 70 P Q5 0
6 4 1 0 0 K /F P R 1 22
4 3
5 1 00K

1
1 S T _ B A T _ CONN 5 2

3
P R74 P R73
3 30 3 30 6 1

3
2 P Q5 1
RE F P U MD2 S I4 9 2 5 2 M D IS CHG
P Q 25
M B CL K 2 N 7 002E A C HG
M B C LK ( 4 ,2 4 )
P Q 52

1
D T C 1 4 4EU

8
3
MBATV (24)
1

1
2 A D IS CHG P R 1 23
P D14 P D13 1 00K
Z D5 .6V Z D5 .6 V P R 71
1 4 K /F P Q5 3
2

1
D T C 1 4 4EU
(3 1 ) B A T -V

C : S w a p t h e n e t s f o r m a in/a u x b a tte ry charg e . P R 124 P R 1 25


C 3V_591 100K 1 00K C

5 4

3
6 3

P R 126 P R 127 T O 1 s t B A T T E RY 7 2 2 M C HG
1 00K 1 00K
P U 12
8 1
MB DA T A _ M B A T 1 6 P Q 55
B A T S E L0 (2 4 )

1
B1 SEL D T C 1 4 4EU

3
2 5 P Q5 4
GND VCC 3 V_591
S I4 9 2 5
MB DA T A _ A B A T 3 4 2 A C HG
BO A M B DA T A ( 4 ,2 4 )
T O 2 n d B A T T E RY N C 7 S B3157 P C 1 36
.1 U _ 0402 P Q 56

1
8

5
B A T S E L0 0 B 0 to A D T C 1 4 4EU
1 B 1 to A
P Q5 7
S I4 9 2 5 A D IS CHG
RE F 3 VS
3V_591
R E F 3 V S ( 1 0 ,2 4 ,3 1 )

16
15
14
13
12
11
10
9
7
P R 128
1 0K P U11

VDD
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
1

4
A B A T+ 7 4 H CT 2 3 7
B B
CN 27

GND
1 V IN

GL

G1
G2
2 T E MP _ A BAT ( 2 4 )

C
A
B
P Q 58
7 3 P R 1 29 4 3

1
2
3

6
5
8
6 4 1 0 0 K /F P R 1 30
5 1 00K
5 2
2 N D _ B A T _ C O NN
P R 1 31 6 1 P C 137
3

P R 132 3 30 P Q 59 .1 U _0402
3 30 2 N 7002E U M D2

2 R EFP (24) M /A#


M B CL K M C HG
M B CL K ( 4 ,2 4 ) ( 2 4 ,3 1 ) D/C#
3

3
( 2 4 ,3 1 ) B L /C#
1

2 M D IS CHG 2
A B A TV (2 4 )
1

P R 133
P D 18 P D19 P Q 60 100K P Q6 1
1

1
Z D5 .6V Z D5 .6 V P R 1 34 D T C 1 4 4EU D T C 1 4 4EU
1 4 K /F
2

A A

QUANTA
T itle
COMPUTER
CHARGER SELECTER
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 32 of 34
5 4 3 2 1
A B C D E

+ 3V

+ 3V + 3V
Super I/O + 5V
R P6
D: Change C306 from 10U/0805 to 1U/0603
10 1 P D7
C365 C417 C 3 06 P D1 9 2 P D6
.1 U _0402 .1 U _0402 1 U/10V P D0 8 3 P D5
A FD# 7 4 P D4
R 2 64 R 2 75 E R ROR#

14
39
63
88
6 5 +5V
10K 10K U21
1 0 P 8 R-4 .7 K
PRINT PORT

VDD
VDD
VDD
VDD
4 4
L A D0 /F W H0 15 52 P D0
( 1 3 ,1 5 ,2 4 ) L A D 0 / F W H0 LAD0 PD0/INDEX# + 5V
L A D1 /F W H1 16 50 P D1
( 1 3 ,1 5 ,2 4 ) L A D 1 / F W H1 LAD1 PD1/TRK0#
L A D2 /F W H2 17 48 P D2 R P5
( 1 3 ,1 5 ,2 4 ) L A D 2 / F W H2 LAD2 PD2/WP#
L A D3 /F W H3 18 46 P D3 10 1 A CK #
( 1 3 ,1 5 ,2 4 ) L A D 3 / F W H3 LAD3 PD3/RDATA#
45 P D4 S L I N# 9 2 PE
P C L K _ S IO PD4/DSKCHG# P D5 INIT # B US Y
(3) P C L K _ S IO 8 44 8 3
P CIR ST# LCLK PD5/MSEN0 P D6 P D3 S L CT
( 6 , 1 0 , 1 1 ,1 2 ,1 5 ,1 6 ,1 9 ,2 4 ) P C I R S T # 9 43 7 4
L F R A M E # / F W H4 LRESET# PD6/DRATE0 P D7 P D2
( 1 3 ,1 5 ,2 4 ) L F R A M E # / F W H4 12 42 6 5 +5V
L P C _ D R Q 0# LFRAME# PD7/MSEN1
11
( 1 3 ,2 4 ) L P C _ D R Q0 #
( 1 3 ) S US _ S T A T # 1 2 Z 3301 7
LDRQ#
LPCPD#
PC87391 PNF/XRDY
35 P NF# R238 1 0K
+ 3V
1 0 P 8 R-4 .7 K
C L K RUN# 6 36 S L CT
( 1 2 ,1 5 ,1 6 ,1 9 ,2 4 ) C L K R U N # CLKRUN#/GPIO36 SLCT/WGATE#
S E RIRQ D 21 10 37 PE
( 1 2 ,1 5 ,1 6 ,2 4 ) S E R I R Q SERIRQ PE/WDATA#
1 SS355 Z 3302 19 40 B US Y
SMI#/GPIO35 BUSY_WAIT#/MTR1# A CK #
41
1 4 M_S IO ACK#/DR1# S L I N#
(3) 1 4 M_S IO 20 47
CLKIN SLIN#_ASTRB#/SETP# INIT #
49
INIT#/DIR# E R ROR#
51
D S K CHG# ERR#/HDSEL# A FD#
D S K CHG# 21 53
HE A D# DSKCHG# AFD#_DSTRB#/DENSEL S T RB # R N63
HE A D# 22 54 S T RB # (34)
RDA TA# HDSEL# STB#_WRITE# P D0
RDA TA# 23 1 2 P D0 _ PR (34)
W P# RDATA# P D1
WP# 24 3 4 P D1 _ PR (34)
T RK 0 # WP# D C D1# P D3
T RK 0 #
W G ATE#
25
TRK0# DCD1#
55
DS R1#
COM1 P D2
5 6 P D3 _ PR (34)
W GATE# 26 56 7 8 P D2 _ PR (34)
W DA TA# WGATE# DSR1# RX D1 CP 3
W DA TA# 27 57
S T E P# WDATA# SIN1 R TS1# 8 P 4 R-0
S T E P# 28 58 1 2
DIR# SETP# RTS1#/TEST T X D1
D I R# 29 59 3 4
F D DDRV 0# DIR# SOUT1/XCNF0 C TS1#
F D DDRV 0# 30 60 5 6
M T R0 # DR0# CTS1# D T R 1# R266 1 0K
M T R0 # 31 61 + 3V 7 8
3 IND EX# MTR0# DTR1#_BOUT1/BADDR R I1 # 3
INDEX# 32 62
INDEX# RI1# *1 8 0 P X4
33
DENSEL
SET ADDRESS
34 R N66
DRATE0/IRSL3 A FD#
70 1 2 A F D# _ PR (34)
IRTX INIT #
69 3 4 IN IT # _PR (34)
IRRX1 A CK #
95 68 5 6 A C K # _PR (34)
XA0/GPIO20 IRRX2_IRSL0 S L I N#
94 67 7 8 S L IN # _PR (34)
XA1/GPIO21 IRSL1 CP 6
93 66
XA2/GPIO22 IRSL2/PWUREQ# 8 P 4 R-0
92 1 2
XA3/GPIO23
91 3 4
XA4/GPIO24/XSTB0#
90 3 5 6
XA5/GPIO25/XSTB1#/XCNF2 XD0/GPIO00/JOYABTN1
87 2 7 8
XA6/GPIO26/PRIQA/XSTB2# XD1/GPIO01/JOYBBTN1
86 1
XA7/GPIO27/PIRQB XD2/GPIO02/JOYAY *1 8 0 P X4
85 100
XA8/GPIO30/PIRQC XD3/GPIO03/JOYBY
84 99
XA9/GPIO31/MTR1#/PIRQD XD4/GPIO04/JOYBX R N64
83 98
XA10/GPIO32/XIORD#/MDRX XD5/GPIO05/JOYAX E R ROR#
82 97 1 2 E R R O R # _ P R (3 4 )
R I2 # XA11/GPIO33/XIOWR#/MDTX XD6/GPIO06/JOYBBTN0 P D5
RI2 # 81 96 3 4 P D 5 _ PR (34)
D T R 2# XA12/GPIO10/JOYABTN1/RI2# XD7/GPIO07/JOYABTN0 P D7
(1 0 ) D T R 2# 80 5 6 P D 7 _ PR (34)
B : S wa p C TS2# XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2 S L CT
CTS2# 79 4 7 8 S L C T_PR (34)
T X D2 XA14/GPIO12/JOYAY/CTS2# XER#/XCNF1 CP 4
(1 0 ) TXD2 78 5
R TS2# XA15/GPIO13/JOYBY/SOUT2 XRD#/GPIO34/WDO# 8 P 4 R-0
(1 0 ) RTS2# 77 73 1 2
RX D2 XA16/GPIO14/JOYBX/RTS2# XIOWR#/XCS1#/MTR1#/DRATE0
(1 0 ) R X D2 76 71 3 4
DS R2# XA17/GPIO15/JOYAX/SIN2 XIORD#/GPIO37/IRSL2/DR1#
DS R2# 75 72 5 6
D C D2# 74 XA18/GPIO16/JOYBBTN0/DSR2# XCS0#/DR1#/XDRY 7 8
D C D2# XA19/DCD2#/JOYABTN0/GPIO17
*1 8 0 P X4
VSS
VSS
VSS
VSS

R N65
P D6 1 2
2 P D6 _ PR (34) 2
pin 65 P C 87391 PE 3 4 P E _PR (34)
13
38
64
89

P D4 5 6 P D4 _ PR (34)
B US Y 7 8 B US Y _P R (34)
CP 5
8 P 4 R-0 1 2
3 4
5 6
7 8

P C L K _ S IO 1 4 M_S IO *1 8 0 P X4

R279 R 2 53
33 *33

P C L K _ S IO-1 1 4 M_S IO-1


U 16
RN 61 8 P 4 R-0
C392 C 3 48 + 5V R TS1# 14 9 M RT S 1 # M RI1 1 2
T1I T1O M R I 1 _ P R ( 2 1 ,3 4 )
1 5P *10P D T R 1# 13 10 M D T R1 # M RT S 1 # 3 4
T2I T2O M R T S1#_PR (34)
T X D1 12 11 MT X D1 M D S R 1# 5 6
T3I T3O M D S R 1 # _PR (34)
M DCD1# 7 8 M D C D 1 # _ P R (34)
DS R1# 19 4 M D S R 1#
R 2 09 RX D1 RIO R1I M R X D1 M D T R1 #
18 5 1 2 M D T R 1 #_PR (34)
10K C TS1# 17 R2O R2I 6 M CT S 1 # M R X D1 3 4
R3O R3I M R X D 1_PR (34)
+3V D C D1# 16 7 M DCD1# MT X D1 5 6
R4O R4I M T X D1 _ P R ( 3 4 )
R I1 # 15 8 M RI1 M CT S 1 # 7 8
R5O R5I M C T S1#_PR (34)
1 1
F O R CE ON 23 RN 62 8 P 4 R-0
IND EX# R 2 37 *1K FORCEON
22
7
5
3
1

7
5
3
1

FORCEOFF#
21
R N 6 7 * 8 P 4 R-1 K
C 2 40 .1 U
C 1+
20
INVAILD#
R2OUTB
+ 5V C P1
*1 8 0 P X 4
C P2
*1 8 0 P X 4
QUANTA
T RK 0 #
W P#
RDA TA#
1
3
5
2
4
6
C 1-
C 2+
28
24
1
C1+
C1-
VCC
V+
26
27
3
3243V+
3243V- T i tle
COMPUTER
8
6
4
2

8
6
4
2

D S K CHG# C2+ V-
7 8 2 25 SUPER I/O NS37391
C 2- C2- GND
C 2 3 5 . 4 7 U /2 5 V MA X 3 243 C234 C228 C173 S ize D o c u m e n t N umber R ev
. 4 7 U /2 5 V . 4 7 U /2 5 V . 4 7 U /2 5 V A3 1B
OA8
Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 33 of 34
A B C D E
5 4 3 2 1

Port Replicator SAME AS EH1.


R176 *0 CN5 8 DC Jack (19V)
(21) S P DIF 30 120 L I N E I N P L G _ P R (2 3 ) 4 NC M olex p/n:
(23) S P K_L_PR 60 90 H P S E N C E _ P R (2 2 ) 8 CRT port(VGA)
(23) S P K _ R_ P R P R _ M I C _ O F F # (2 3 ) 4 TV port(AV & S-Video) 674521200 DFHDC0MS107 HM1.
R186 0 29 119
(23) P R_ M IC 10 Audi o jacks(Headphone , Li ne in) 674791200 DFHSC0FS201 EH1.
R175 0 A U D G N D _ P R 1 59 89
10 LAN (reserve 1G LAN)
(23) A U D G N D _ P R 28 118
R141 0 L I N E I N L _ P R1 4 KB/Mouse,Seri al port
(23) L IN E IN L _PR
R142 0 L I N E I N R _ P R 1 58 88
9 3XUSB(2,3)
(23) L IN E IN R _ P R 27 117
57 87 4 Power (5VSUS)
26 116 2 Power (+5V)
56 86 2 Power (3VSUS)
D B : A d d t h e n e t f o r d e te c t to L OW p i n 5 6 . 2 Power (+3V) D
25 115
55 85 17 Paral l el port
+ 5 V_PR 24 114 S T RB # (3 3 ) 8 COM port
54 84 A F D # _ P R (3 3 ) 1 Detect
3V_S5 23 113 P D 0 _ P R (3 3 ) 2 SMB
+ 3V 53 83 E R R O R # _ P R (33) 20 G ND
22 112 P D 1 _ P R (3 3 )
(33) IN IT # _PR 52 82 P D 2 _ P R (3 3 ) 114
(33) S L IN # _PR 21 111 P D 3 _ P R (3 3 )
(33) P D4 _ PR 51 81 P D 5 _ P R (3 3 ) PRINTER
(33) P D6 _ PR 20 110 P D 7 _ P R (3 3 )
(33) A C K # _PR 50 80 B U S Y _ P R (3 3 )
(33) P E _PR 19 109 S L C T _ P R (3 3 )
49 79
( 2 1 ,3 3 ) M R I 1 _ P R 18 108 M D T R 1#_PR (33)
(33) M C T S1#_PR 48 78 M T X D1 _ P R (3 3 )
(33) M R T S1#_PR 17 107 M R X D 1_PR (33)
(33) M D S R 1 #_PR 47 77 M D C D 1 # _ PR (33)
16 106 RS232
(11) C R T _VS2 46 76 P R _ R E D (1 0 )
C : C h a n g e t h e n e t to V GA . (p ro te c tion)
(11) CRT_HS2 15 105 P R _ G R N (1 0 )
( 6 ,1 1 ) D D C CLK 45 75 P R _ B L U (1 0 ) CRT_Analog
( 6 ,1 1 ) D D CDA T 14 104 T V _ L UMA (1 1 )
( 1 1 ,1 3 ) C R T _ S E NS E # 44 74 T V _ C H ROMA ( 1 1 ) TV
(11) T V -GND 13 103 T V _ COMP (1 1 )
P R _ I N S E RT # 2 1
( 1 0 ,2 4 ) P R _ I N S E RT # 43 73 X-TX0P (2 0 )
D18 P D A T _ S MB _ P R
12 102 X-TX0N (2 0 )
1SS355 P C L K _ S MB _ P R
42 72 X-TX1P (2 0 )
X-TX2P
11 101 T89
C X-TX2N LAN C
( 2 0 ) L A N _ A CT L E D# 41 71 T90
( 2 0 ) L A N _ S P DL E D# 10 100 X-TX1N (2 0 )
X-TX3P
( 2 0 ) L A N _ L IL E D# 40 70 T87
X-TX3N T88
9 99
39 69
(13) U S B O C 3# 8 98
(13) U S B O C 4# 38 68 U S BP3- (1 3 )
(13) U S B O C 5# 7 97 U S BP3+ (1 3 )
5 V S US 37 67 U S BP4+ (1 3 ) USB
6 96 U S BP4- (1 3 )
36 66 U S BP5+ (1 3 )
5 95 U S BP5- (1 3 )
35 65
(24) K P DA T A 4 94 M S DA T A (24)
(24) K P CL K 34 64 M S CL K (24)
L 18
PS2
3 93 B K 2 1 2 5 -3 3T
V A _PR 33 63 V A _PR
2 92 VA
32 62
1 91 C194 C 1 84
31 61 .1 U /50V_0805 .1 U /50V_0805
121 122
MOLE X -5 4 145

Even pins are Long pin


+ 12V
B Odd pins are short pin B

R163
*1 00K

P R _ I N S E RT -2

3
USB3 Q 20
USB2 2
*2 N 7002E

+ 5V

1
R 1 47
U10 0 -0 8 0 5
P C L K _ S MB 3 2 P C L K _ S MB _ P R + 12V
( 3 ,9 ,1 3 ) P C L K _ S MB 1B 1A + 5 V _PR
P DA T _ S MB 6 5 P D A T _ S MB _ P R
( 3 ,9 ,1 3 ) P DA T _ S MB 2B 2A
C 1 64
8 1 .1 U _0402
+5V VCC 1OE# S M _ E N# (13)
4 7 R150
GND 2OE# *1 00K

1
2
5
6
C 1 63 C 1 66
.1 U _0402 S N 7 4 CB T D3 3 0 6 .1 U _0402
P R _ I N S E RT -1 3
A A
3

Q19
* S I 3 4 5 6 DV

4
P R _ I N S E RT # 2 C179
( 1 0 ,2 4 ) P R _ I N S E RT #
Q16
*. 1U QUANTA
+ 5 V _PR
* D T C 1 4 4 E UA
COMPUTER
1

T itle
PORT REPLICATOR(152P)
S ize D o c u m e n t N umber R ev
A3 1A

Da te : S a t u r d a y , Octo b e r 1 1 , 2 0 0 3 S heet 34 of 34
5 4 3 2 1

Das könnte Ihnen auch gefallen