Sie sind auf Seite 1von 53

CONTENTS

January • February 2018 DEPARTMENTS


Volume 22, Number 1
Technology Fan-out panel-level packaging proceeds apace,
regardless of standards 5
Trends Paul Werbaneth Intevac, Inc.

Trends in test
Guest Editorial Ira Feldman Feldman Engineering Corp.
7

FEATURE ARTICLES
A 300mm wafer and a 510 x 515mm panel
are about to be electroplated for packaging. Challenges of ECD panel fan-out in high volume and potential solutions
Electroplating at the panel scale is no longer a Jon Hander, Demetrius Papapanayiotou, Robert Moon, Arthur Keigler, Michelle
barrier for form factor adoption in packaging.
Schulberg, Mani Sobhian, Bryce Chen, Tyler Barbera, Cristina Chu
9
Tokyo Electron has partnered with customers
to develop cutting-edge processing equipment,
TEL Advanced Packaging
such as the StratusTM P300 and P500 that can
process the substrates shown to create fine
packaging features at superior uniformities.
3D IC heterogeneous integration by FOWLP 16
John H. Lau ASM Pacific Technology Ltd.
Cover photo courtesy of Tokyo Electron.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 1


Solutions for

3D CHIP STACKING APPLICATIONS


IN HIGH VOLUME MANUFACTURING

Enabling Leading-Edge Applications, Including 3D Stacked Image Sensors,


Memory Stacking and Die-Partitioning for Next-Generation 3D System-on-Chip Devices

Industry Leading Wafer-to-Wafer Alignment Accuracy for Fusion & Hybrid Bonding

Temporary Bonding as well as Slide-Off, Mechanical and Laser Debonding


for Thin Wafer Processing

GET IN TOUCH to discuss your manufacturing needs


www.EVGroup.com
CONTENTS
Volume 22, Number 1
FEATURE ARTICLES (continued)
The International Magazine for Device and Wafer-level Test,
Assembly, and Packaging Addressing
High-density Interconnection of Microelectronic IC's including Laser debonding processes enable wafer-level packaging advances
3D packages, MEMS, MOEMS, Thomas Uhrmann, Boris Považay, Mathias Pichler, 23
RF/Wireless, Optoelectronic and Other Florian Schmidseder, Daniel Burgstaller EV Group
Wafer-fabricated Devices for the 21st Century.

Surface analysis as a “blueprint” for semiconductor


STAFF 28
package manufacturing
Kim Newman Publisher Jaimal Williamson Texas Instruments
knewman@chipscalereview.com
Lawrence Michaels Managing Director/Editor
lmichaels@chipscalereview.com
Debra Vogler Senior Technical Editor Total control of the bump process to ensure reliability of stacked devices 32
dvogler@chipscalereview.com Matt Wilson Rudolph Technologies, Inc.

CONTRIBUTING EDITORS
Roger H. Grace - MEMS
rgrace@rgrace.com Reducing wafer test time 36
Klemens Reitinger ERS electronic GmbH
Dr. Ephraim Suhir - Reliability
suhire@aol.com
Steffen Kröhnert - Advanced Packaging
Steffen.Kroehnert@amkor.com The “More than Moore” semiconductor industry is changing the
transportation paradigm 39
EDITORIAL ADVISORS Jérôme Azémar, Guillaume Girardin, Yohann Tschudi Yole Développement
Dr. Andy Mackie (Chair) Indium Corporation
Dr. Rolf Aschenbrenner Fraunhofer Institute
Joseph Fjelstad Verdant Electronics
Epoxy adhesives: mechanical versatility by design 43
Dr. Arun Gowda GE Global Research Jonathan Knotts, Daniel Morgan Creative Materials, Inc.
Dr. John Lau ASM Pacific Technology
Dr. Leon Lin Tingyu National Center for Advanced Packaging
(NCAP China)

SUBSCRIPTION--INQUIRIES
Chip Scale Review
All subscription changes, additions, deletions to any and
all subscriptions should be made by email only to
subs@chipscalereview.com

Advertising Production Inquiries:


Lawrence Michaels
lmichaels@chipscalereview.com

Copyright © 2017 Haley Publishing Inc.


Chip Scale Review (ISSN 1526-1344) is a registered trademark of
Haley Publishing Inc. All rights reserved.

Subscriptions in the U.S. are available without charge to qualified individuals in


the electronics industry. In the U.S. subscriptions by first class mail are $125
per year. Subscriptions outside of the United States are $225 per year to other
countries.

Chip Scale Review, (ISSN 1526-1344), is published six times a


year with issues in January-February, March-April, May-June, July-
August, September-October and November-December. Periodical
postage paid at Los Angeles, Calif., and additional offices.

POSTMASTER: Send address changes to Chip Scale Review magazine


P.O. Box 2165, Morgan Hill, CA 95038

Printed in the United States

E-Tec Interconnect AG, Mr. Pablo Rodriguez, Lengnau Switzerland


Phone : +41 32 654 15 50, E-mail: p.rodriguez@e-tec.com

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 3


TECHNOLOGY TRENDS
Fan-out panel-level packaging proceeds
apace, regardless of standards
By Paul Werbaneth [Intevac, Inc.]

T here is compelling historical


evidence for t he not ion
that i ndust r ies of var ious
persuasions enjoy g reater
success in aggregate, as industries, once
hearing more and more about the success
fan-out wafer-level packaging (FOWLP)
has achieved to date. There are forecasts for
a rosy future in a variety of applications,
including further inroads into smartphones
clear, from a capital equipment supplier’s
perspective, on which of the first, or early,
movers is it best to place development bets?
Bet ween FOW LP a nd FOPLP t he
choice could be, “From an architecture
they have converged around common sets and wearables, increased traction in st andpoi nt, it’s probably a piece of
of standards, than they did when acting as automotive applications, and fan-out’s equipment that addresses the wafer format
ecosystems comprising disjointed players. suitability for 5G communication when applications…And then, it’s another
The fan-out panel-level packaging (FOPLP) that rollout occurs. From a manufacturing piece of equipment that is panel directed.
industry should be no different. perspective, FOWLP lives pretty much If an equipment vendor is doing what’s
A l i s s a Fit zge r a ld , Ph D, of A.M. in a post-standardization world. Wafer right for their customers, you would try
Fitzgerald & Associates, in her talk on “The dimensions are f ixed, and a capital and make it as universal as possible, so
Business Case for MEMS Standardization” equipment supply chain, for example you could do multiple applications in the
from SEMICON West 2015, gave several photolithography tools, coating systems, same platform [4].” But why even make
examples of industries that have benefitted and the like, is already up and running to separate process tools for FOWLP and
from standardization over the last 150 years, serve the packaging houses that provide FOPLP, or, within FOPLP, tools that work
including the steam boiler/pressure vessel commercial fan-out packaging services. for only one panel size?
industry, the aircraft industry, and the For those following FOPLP, however, As heard recently du r i ng its t al k
aerospace industry [1]. Fitzgerald proposed it’s a different story. It’s a pre-standards at SEM ICON Japa n 2017, Sh ibau ra
that, once accepted standards come into world. FOPLP, of course, enjoys the benefit Mechatronics, maker of automated die
being, standards have positive benefits of a running start because the basic process bonders, spoke of bonding die to panels
in many areas important to commerce, flow(s) for it already exists – FOPLP will of various sizes, without discrimination,
including these: interchangeability of be die-first, or die-last; there will be mold following the lead of each of the three
components (improved); public safety compound molding; there will be wafer FOPLP “launch” customers (identified
(better); communication of essential stepper stepping, and PVD sputtering, and as Deca Technologies, “Original1” and
information across language and cultural electroplating plating; and there will be “Original2”) whom Shibaura is following.
gaps (smoother); and overall market reconstituted panel singulation, and the Lithog raphy tool suppliers are of
efficiencies (enhanced). singulated fan-out die will ship to customers, a similar mind regarding panels. For
SEMI, the industry organization we just as in FOWLP today. The quandary example, Rudolph Technologies supplies a
often think of only in terms of its highly though for FOPLP is that, unlike FOWLP 2X reduction stepper capable of producing
visible public face, namely its calendar of and its standardized 300mm round wafer, photo patterns on square or rectangular
trade shows and conferences, has another panel-level packaging is still considering panel sizes up to and including 650mm x
function—the setting and keeping current its options when it comes to a standard 720mm, the format known as Gen 3.5 in the
semiconductor manufacturing standards. panel size. This situation is creating market LCD industry, a cousin of sorts to panel-
These activities have done as much to inefficiencies, real or imagined. level packaging.
ensure the semiconductor manufacturing Nonetheless, panel-level packaging From the perspective of materials
industry’s vibrant success than just about proceeds apace. Among the proposed or suppliers, for example Nagase ChemteX,
any other single factor of consequence actual panel sizes you will observe in the a l s o he a rd f r om d u r i ng t he r e c e nt
contributed since the time of our industry’s FOPLP wild are: 320mm x 320mm; 510mm SEMICON Japan series of technology
beginning. According to SEMI, “Standards x 410mm; 300mm x 300mm; 610mm x seminars, the liquid molding compound
increase industry efficiency by reducing/ 456mm; and others [3]. FOPLP is racing to (epoxy mold compound, or EMC) used
eliminating duplication of efforts, defining market even without a body of standards for wafer-level fan-out packaging is the
new markets, and promoting competition covering details as basic as the size of same as used in panel-level, any size panel,
by lowering barriers to entry [2].” And the panels. This leaves the FOPLP supply chain with perhaps some additional dispense
proof is all around us. in a bit of a quandary. Very often, it is the optimization required to accommodate
Attendees and participants at recent first mover who ends up defining industry relatively larger substrates.
and upcoming conferences devoted to standards, if only because the first mover At Intevac, Inc., our thinking is that for
semiconductor device packaging are moved first. However, if the “tells” aren’t the PVD steps that create the barrier/seed

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 5


layers in fan-out packaging upon which c ost s. Howeve r, t he w ide r a nge of In the meantime, Intevac has developed
redistribution layers (RDLs) are formed, subst r ate si zes a nd for m factor s is sputter deposition processes for barrier/
why not just use a carrier-based linear hampering widespread implementation of seed layer applications in the fan-out
transport PVD system that works for both FOPLP in semiconductors. packaging market using our car rier-
300mm round (and larger) fan-out wafers According to James Amano, Senior based linear transport PVD system, and
and for fan-out panels too? Even for panels Director, International Standards at SEMI, demonstrated successful PVD results
up to and including sizes like 600mm x “SEMI recently surveyed the industry, on both 300mm round wafers and on
600mm square, exemplifying, at least in the and a strong majority of respondents 600mm x 600mm glass panels, changing
PVD tool space, the spirit of “Equipment were in favor of developing a standard only the transport carriers used to hold
as universal as possible, doing multiple substrate specification, and work is now the material – no in-vacuum changes
applications in the same platform [4].” underway in the newly-formed Fan-Out were performed, nor were they required.
Having a standard panel size, in the Panel Level Packaging (FOPLP) Panel Other members of the FOPLP supply
case of Shibaura, Rudolph, Nagase, or Task Force.” At SEMI’s 3D Packaging chain have made similar progress for
Intevac, would make life easier perhaps & Integration (3DP&I) North America the other unit operations, or materials,
in general, but even lacking standards Technical Committee Chapter Meeting, used in panel-level packaging. Work
as yet, this part of the FOPLP supply held on November 7, 2017, the committee by these first movers in FOPLP may
chain is proceeding apace. In parallel, approved the formation of a new task force end up defining industry standards, or
recognizing the importance of, and the to develop standards for the panels used not, but we’re likely making progress
need for, standardization in the FOPLP for FOPLP, with initial focus on panel on the improved interchangeability of
industry, SEMI began a new effort, in dimensions. The Task Force will also components, smoother communication
November 2017, to just such effect. SEMI consider other parameters suggested by of essential information across language
recognizes that FOPLP technology has the wider community as being appropriate and cultural gaps, and enhanced overall
the potential to significantly improve fo r s t a n d a r d i z a t i o n . E x p e c t m o r e ma rket ef f iciencies t hat a re su rely
manufacturing efficiencies and reduce to come. coming, indeed are inevitable, for panel-
level packaging. But even before the
standards are complete, fan-out panel-
level packaging proceeds apace.

References
1. A. Fitzgerald, “The business case for
MEMS standardization,” SEMICON
West MIG/SEMI Workshop, San
Francisco, CA, July 15, 2015.
2. Retrieved from http://www.semi.org/
en/Standards.
3. F r a u n h o f e r I Z M / Te c h S e a r c h
International, retrieved from https://
www.izm.fraunhofer.de/content/dam/
izm/de/documents/ News-Events/
Events/2016/PLP/PLP_white_paper_
small-1.pdf
4. M. LaPedus, “Cheaper fan-outs ahead,”
retrieved from https://semiengineering.
com/cheaper-fan-outs-ahead/ on 11
Aug. 2017.

Biography
Pau l We r ba net h re ceive d t he BS
degree in Chemical Engineering from
Cornell U., Ithaca, NY, USA, and recently
completed studies in spoken Japanese
f rom the Cor nell Sum mer FALCON
Program, and in marketing strategy, also
through Cornell. He is Global Product
Marketing Director at Intevac, Inc.
pwerbaneth@intevac.com

6 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


GUEST EDITORIAL
Trends in test
By Ira Feldman [Feldman Engineering Corp.]

T est: the necessary evil! From


the early days of vacuum tube
logic, test has been an essential
a ct iv it y pe r for med u nde r
intense cost pressure. Meanwhile, product-
optical transceivers in development.
These transceivers and their components
are tested using light-based stimulus and
response. Eventually, the light is converted
back to/from electrical signals. Hence,
of semiconductor devices driven by the
increase in electronic products and greater
“silicon” content per product.
Current wireless test challenges include
everything from ultra-low-cost ultra-high-
and technology-specific test requirements the test fundamentals remain the same volume Internet of Things (IoT) devices to
have continuously changed, forcing the regardless of the signal domain. millimeter wave devices (77 GHz and above)
development of new test methodologies More recent statistical-based approaches for 5G mobile connectivity and automotive
and operational approaches. It is important have significantly altered test operations. radar. These applications are in their infancy
to understand recent and potential future Many devices have changed from 100% test with many additional challenges ahead as
changes to make sure the right test solutions to sampling methods, adaptive testing to production volumes increase.
are available. The wrong approach or dynamically select tests, and inferring device Test engineering is a “practical art.”
technology can doom the success of any quality based upon “neighbors,” among other Roadmaps like the International Technology
product. Test quality, cost, and time-to- approaches. Burn-in (temperature-controlled Roadmap for Semiconductors (ITRS) and
market need to be balanced to ensure accelerated testing) quickly moved to its successor, the Heterogeneous Integration
smooth product validation, debug, product sampling or outright elimination for many Roadmap (HIR), are great at identifying
ramp, and on-going product quality. classes of parts significantly reducing total the trends and high-level requirements.
W hile elect ronic test basics have test time and cost. What is notable is that Academic papers and internet research are
remained the same, its application has recently, more sophisticated 100% burn- good for theory. However, a test engineer
changed. Test is based upon a stimulus and in has “returned” for some devices in high- requires solutions that are an exact fit for
response model. Electrical stimulus – i.e., reliability applications, such as automotive. a specific product. And suppliers need to
an arbitrary electrical signal – is presented Ne w t e s t t e ch nolog ie s a r e of t e n cost- effectively provide the right hardware
to a device under test (DUT) such as a developed t o suppor t new pa ck age – capital equipment (ATE, handlers,
packaged semiconductor or die on a wafer types. Wafer-level chip-scale packaging wafer probers, burn-in chambers, etc.),
in a repeatable fashion. The response of the ( W LCSP) has disr upted the market consumables (sockets, contactors, load
DUT is then measured and compared to the to achieve ext remely small package boards, wafer probe cards, etc.), and services.
expected value to determine pass or fail. si zes a nd h ig her per for ma nce w it h A great way to gain practical knowledge
The rapid rise in non-electrical stimulus signif icantly lower packaging costs. is to attend a test-focused event such as the
testing illustrates the magnitude of test Beyond the challenges of sh r in king Burn-in & Test Strategies Workshop (BiTS
technology changes. As smartphone sales connection (pad, ball, bump) pitch, test 2018) March 4-7 in Mesa, Arizona. BiTS
grew exponentially, an entire market of solutions for WLCSP have similarly provides a casual environment in which one
microelectromechanical systems (MEMS) disrupted the test consumables industry. can learn how others have solved emerging
sensors was born. These MEMS devices, The dominant WLCSP solution is now problems, network with colleagues, and
such as accelerometers, gyroscopes, spring-pin based “contactors” deployed meet vendors for hands-on discussions of
compasses, and microphones, are all tested in wafer probe by traditional socket your organization’s test challenges.
using non-electrical stimuli including companies. A nd several alter native A s Publicat ion S pon sor of BiTS ,
motion, rotation, magnetic fields, sound ha ndlers such as test-i n-t ray a nd Chip Scale Review has arranged
waves, etc. Each sensor (DUT) converts the strip have been introduced to further a $50 di sco u nt on Profe ssional
stimuli into an electrical signal, which is address quality and cost issues. It will Registration using code “CSR50” at
then measured for correctness. Specialized be exciting to see how these solutions https://bitsworkshop.org/register.
automatic test equipment (ATE) and change as panel-level processing (PLP)
material handling was developed or adopted demand grows. Biography
for these devices but the fundamentals of Recently, system-level test (SLT) has Ira Feldman earned BS and Master of
test remained the same. been developed for ultra-high-performance Engineering degrees from Harvey Mudd
T he explosion i n d at a generat ion devices that cannot be cost-effectively tested College. He is a principal consultant at
and consumption by personal mobile on traditional ATE. SLT is also being used Feldman Engineering Corp. and supports
devices drove the development of mega for at-speed subsystem testing for system- clients with technical marketing and
data centers and the unsatisfied desire in-package (SiP) devices and memory/ product generation processes. Mr. Feldman
for increased bandwidth. In response, processor package-on-package (PoP) is the General Chair of the Burn-in &
high-speed fiber-optic data connections stacks. These and other new test solutions Test Strategies (BiTS) Workshop; email
were brought to market with ever faster will need to address the exploding number ira@feldmanengineering.com

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 7


Challenges of ECD panel fan-out in high volume and
potential solutions
By Jon Hander, Demetrius Papapanayiotou, Robert Moon, Arthur Keigler, Michelle Schulberg, Mani Sobhian, Bryce Chen,
Tyler Barbera, Cristina Chu [TEL Advanced Packaging]

M ulti-chip packages
using fan-out assembly
tech niques continue
to approach the size and performance
compensate for local layout variation can
be used to deliver within-die uniformity
improvements. One drawback of these
advanced chemistries is that they are by
forecasted growth early on was high
because of the technical capabilities
t h i s p a c k a g i n g m e t h o d of f e r s . I n
subsequent years however, forecasts
offered by heterogeneous through-silicon nature more reactive and can degrade slumped as the cost and a few
vias (TSVs) at a fraction of the cost. over time. In wafer-level processing, Cu technical issues stagnated the growth
The electronics industry has historically chemistry typically represents about 30- outlook. This trend is similar to the
delivered cost reductions, as well as 40% of the total cost of an ECD deposition f r o n t- e n d - of-l i n e low- k d iele c t r ic
performance improvements at a steady step. Plating costs can be minimized and outlook in the late nineties. In that
pace, and manufacturers are still striving process control can be extended over the case, dielect r ic mater ials were
t o s up ply lowe r p r ic e s for 30 0 m m life of the plating baths by using plating originally projected to be the leading
wafer-based fan-out subst rates than cells with ion-exchange-membranes. A solution for RC constant reduction.
are currently available. This situation transition from 300mm wafer-scale fan-out T he ba r r ie r s t o t h is st r at eg y we r e
has slowed t he adopt ion of fa n- out production to a panel scale would require u ncovered , a nd t he t ra nsit ion f rom
technology in high-volume commercial the use of membranes for stability and aluminum to copper emerged as the
products such as mobile devices, cost savings. TEL Advanced Packaging solution at the 130nm generation [2].
processors, and memory. Migration from believes that the ECD process will not be a Fa n - out h a s ex i st e d a s a si ng le -
300mm wafer substrates to larger 510 barrier to high-volume fan-out panel-level chip packaging solution for roughly 20
x 515mm panel substrates could deliver packaging manufacturing. years [3]. With recent adoption of the
the cost-down required for high-volume technology, it has emerged as a low-
adoption of fan-out technologies, but the Introduction cost, multi-chip solution. Performance
technical challenges associated would TSV ha s been a v iable a dva nced and scaling improvements are not quite
have to be overcome. packaging technology for several years as significant as those offered by TSV,
When plated Cu feature sizes shrink, [1]. The technical advantages of TSV but the cost and ease of integration make
t h i n ner seed layers a re requi red to are compelling, but our industry is still fan-out more attractive for moderate
electroplate trenches and vias. This results seeking lower price-to-perfor mance cost high-volume commercial products.
in a terminal effect, a new challenge for ratios for packaging solutions for high- Figure 1 shows fan-out market forecasts
panel-level plating that is well-known in volume commercial products. Fan-out is have increased eightfold since 2012 while
wafer-level processing. The terminal effect one solution for multi-chip packages that the TSV market forecast has decreased.
can cause increases in Cu thickness near the is growing faster than
electrical contact zone in an electrochemical originally predicted on
deposition (ECD) tool resulting in poor account of its significant
cross-panel uniformity. Some wafer- cost advantages.
level solutions for the terminal effect can Figure 1 shows
be applied to panel ECD tools, but their several market
efficacy can be diminished on account of the fo r e c a s t s fo r g r ow t h
scale and shape of the panel. Two solutions two years from
that show promise are the adoption of multi- any given date. A
zone anodes and the placement of dummy c o m p a r i s o n of t h r e e
structures near the contact terminals. sets of estimates
Within-die uniformity becomes more s h ow s t h a t o n e ve r y
challenging as feature sizes shrink and occasion TSV g row th
more complex design rules change feature was pushed out
shape morphology. Wafer-scale chemistries another t wo years
make the fabrication of the finer fan-out and adjusted dow n,
features possible, but adoption of these wh ile fan- out g row th
requires complete isolation of anodes. increased dramatically.
Organic additive packages that actively I n t h e c a s e o f T S V, Figure 1: Comparison of market expectations for TSV and fan-out from 2012–2017.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 9


in number of die or packages per substrate for panel compared to
wafers increases as the size of the unit grows. This is particularly
important for multi-chip fan-out structures, which by their nature
are larger than single-die packages. This is plotted in Figure 2 for
6mm die up to 32mm die.
The cost reduction is compelling for a transition from a 300mm
wafer to a 510 x 515m m panel, but meeti ng the tech nolog y
requirements now and in the future [6] for high-yielding panel-
level fan-out must be proven. Cu line formation is one of the more
demanding applications prohibiting the transition from wafer- to
panel-scale fan-out manufacturing. High density interconnect (HDI)
printed circuit board type panel-scale electroplating tools can support
a 10µm line/space (L/S) feature size, but 5µm L/S is now required.
Also gaining traction in the next few years, multi-chip fan-out
technical requirements will include a feature size reduction from
10 to 2µm L/S and cost reduction achieved through a substrate
transition from wafer to panel substrates. For this reason, the design
features commonly found on wafer-level ECD tools will need to
be ported to panel-scale equipment. Thin-seed plating hardware
Figure 2: Productivity comparison of a 300mm wafer with a 510 x 515mm panel
for various die/package sizes.
(<5000Å) compatible with advanced chemistries will be critical to
deliver improved within-die performance.

Thin seed plating


There is a trend towards greater heterogeneity in advanced packaging
structures, which leads to pattern and density variations that present
challenges to uniform deposition across the panel substrate. Concurrently,
the market is moving towards smaller features, which require thinner
seeds. However, these thinner seeds can pose greater uniformity challenges
for deposition because there are higher resistances in thin seed plating
that lead to greater terminal effect. Two strategies can combat these panel
plating challenges: 1) multi-zone anodes, which provide the capability to
fine tune currents on specific areas of the panel, and 2) the use of dummy
die, which move higher deposition areas away from active die.
Terminal effect. The terminal effect is a phenomenon that occurs due
to the resistance of the seed layer on the substrate. There are typically
localized higher current densities at the edge compared to the center.
These higher current densities lead to thicker deposition.
As linewidths shrink below 10µm, Cu seed layer thicknesses in ECD
must reach sub-micron levels. This transition causes what is known
as a terminal effect, where the thickness near the contact area has a
Figure 3: Current density profile across 250mm half-panels (i.e., from center of
significantly lower resistance than the areas located farther from the
panel to edge) plated at the same average current; 30µm seed and 0.2µm seed.
contacts resulting in increased ECD thickness. This phenomenon is
Wa f e r- l e v e l f a n - o u t p a c k a g i n g modeled in Figure 3.
represents a substantially lower price Multi-zone anodes. Multi-zone anodes provide the ability to adjust current
point compared to TSV [4], but it is density to fine tune deposition to specific sections of the panel to accommodate a
still cost prohibitive for many products variety of patterns.
espe cially for mu lt i- ch ip pa ck ages The terminal effect in conjunction with changes linked to the dummy die near the edge
that are typically greater than 20mm of the wafer can cause large shifts in the plated Cu thickness near the contact region.
in size. An increase in substrate size Figure 3 shows the variation in near-edge film thickness for a thin-seed and thick-seed
has been one way the integrated circuit condition. Because the productivity of panel-scale ECD tools for multi-chip fan-out is so
(IC) industry has delivered significant high, it is unlikely that there will be enough manufacturing demand for dedicated process
cost reductions historically [5]. Fan- tools that can be statically configured to support a single seed thickness or dummy
out tech nolog ies would enable t h is structure. Therefore, there is a need to dynamically adjust the ECD cell to provide
trend to continue at the package level uniform plating thickness independent of seed thickness or dummy structure.
even thoug h wafer subst rates seem One way to deliver this dynamic tuning capability is with multi-zone anodes.
to have reached a plateau at 300mm. Multi-zone anodes provide the ability to adjust the current density within a certain
Panel substrates 510 x 515mm in size area of the substrate independent of current crowding effects observed with shielding.
re present a g reater t ha n t h ree -fold Multi-zone anodes also offer the flexibility to make panel-to-panel adjustments or
increase in substrate area for less than even achieve a desired plated thickness profile during the plating of a single panel. A
a 50% increase in cost. This multiplier five-zone anode array may be arranged as shown in Figure 4.

10 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


The current to the edge anodes can On patterned panels, multi-zone anodes Insoluble anodes also offer benefits
be adjusted lower than the center three arranged in a similar fashion can fine-tune t h a t d i r e c t l y i m p a c t h i g h -vol u m e
anodes to correct the high plated thickness the current density profile to meet required manufacturing (HVM):
associated with the terminal effect in the bump height performance values of <5%
configuration of multi-zone anodes shown in R/2M. In the case shown in Figure 6, a • Anode replacement is practically
Figure 4. Alternative anode configurations severely thin edge was observed when eliminated;
are also possible. Figure 5 shows how plating the first panel with a uniform • No “black f ilm” maintenance is
each anode arranged in a vertical fashion plating current density profile across all required for P-doped Cu anodes to be
contributes to regional deposition and how five anodes. The second panel was adjusted effective, thereby eliminating long
the composite profile is flat. to gauge the sensitivity of this device to the burn-in times; and
power density variation and resulted in an • A n o d e - g e n e r a t e d p a r t i c l e
edge thick profile. Anode current density contamination is eliminated.
was then adjusted to achieve improved
thickness uniformity. Final adjustments There are a number of methods to
to the current density were made for the maintain metal concentrations. CuO
fourth panel run to deliver a within-panel dosing is convenient in this regard because
bump height uniformity of <5% R/2M. it inherently balances the acid generated in
While similar results as those shown the anodic oxygen-evolution reaction.
i n Figure 6 could hy pothetically
be achieved using a single anode in Within-die coplanarity
combi nat ion w it h a n appropr iat ely For many devices (die) fabricated on
designed shield, the optimization would traditional wafer-level ECD tools, it is often
apply only to a specific panel layout and convenient to decouple considerations of
pattern density. Any changes in layout or within-wafer uniformity from those of
pattern density, within the process flow of within-die uniformity. For many packages
Figure 4: Vertical multi-zone anode arrangement for a single multi-layer panel product, could fabricated on panels, this decoupling
dynamic current density adjustment to improve edge render a given static shield useless. A is not as straightforward: die are often
thickness variation differences in seed thickness or the set of dynamically-tuned anodes can be large enough that gross within-panel
effects of dummy die. rebalanced in response nonuniformity gradients significantly
to substrate variations impact within-die uniformity over at least
without requi r i ng the some of the die or package units.
dow n time associated Thin seeds and overall cell geometries
with shield changes. are long-recognized factors affecting plating
The geometric uniformities, and methods to cope with
c o n f ig u r a t io n of t h e these are generally understood. In the wafer
anodes, in par ticular, space, an additional complicating factor
their location and has been the impact of die layout, more
shape, determines specifically the necessity to fit rectangular
the capability of die onto a round substrate (the wafer).
multi-zone anodes Panel geometry potentially allows for
t o t u n e u n i f o r m i t y. more efficient exploitation of the available
“Dimensionally-stable surface. However, it is often the case that
Figure 5: ECD deposition thickness contribution from each segment of a five- a nodes,” or i nsoluble the entire panel area cannot be utilized for
zone anode and the composite thickness. a no de s , a r e t he mo st die. Upstream or downstream integration
desirable for uniformity requirements often dictate that die are
cont rol. However, excluded from areas of the panel. In many
certain chemistries are cases, these excluded areas (major streets),
not compat ible with pose an opportunity for patterning of
d i m e n sio n a l ly- s t a ble so-called “dummy patterns.” Judicious
anodes. In these cases, use of such dummy patterns facilitates
anodes can change shape uniformity tuning across a panel, often
during processing and with beneficial impacts to within-die
compromise uniformity uniformity (or coplanarity).
results. While a number Figure 7 shows a panel quadrant with a
of options are available, hypothetical pattern (an array of die, each
i r id iu m- ox ide - coat e d die consisting of a periphery of patterned
titanium anodes metal surrounding an un-plated (resist-
have worked well for coated) inner area). The figure shows
Figure 6: Patterned panel thickness optimization shows progressive m a ny c o p p e r pl a t i n g the pattern (a 9 x 9 array) plated with an
improvement with each adjustment to the anode current distribution keeping chemistries. exclusion zone consisting only of resist.
the total current fixed.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 11


Figure 7: Simulation of plating of a 9 die x 9 die array. Note: Z height topography Figure 9: Calculation of die lost as a function of substrate and die size.
exaggerated for visual clarity. Plate-able area of projected die is shown in yellow. The
solid blue color corresponds to photoresist. 5x improvement in adoption of a more reactive additive
uniformity in addition package. Inherently more reactive additives
to a coplanarit y require specially-built ECD hardware to
i m p r ove m e n t t h a t minimize the breakdown of the additives,
provides higher yields. which can cause poor yields, extended
The cost of using maintenance times, and increase costs.
of dummy structures As features become smaller and pattern
to improve process densities increase, the performance of the
control is significantly plating chemistries becomes an increasingly
l owe r o n 510 x impor tant factor in meeting product
515m m s u b s t r a t e s specifications. Many of the more capable
than 300mm wafers additive suites are also more sensitive to
on a unit area basis. decomposition byproducts and require
The area advantage of careful process control.
panels is significant, While the specific byproduct formation
and provides a 30 - pathways vary depending on the chemical
50% reduction in cost nat u re of sp e cif ic a dd it ive s, ma ny
per die, which is the additives react adversely to anodes in the
main driver for any plating bath, either during electrolysis or
Figure 8: Same die array as in Figure 7 but with added patterned dummy structures substrate size increase. when the cell is idle. Therefore, isolation
at the outside edges of the quadrant. Large die, or packages, of the anode from the bulk plating bath
The nonuniformity is pronounced (both are more conducive is often advantageous. Ion exchange
within-panel and within-die). Also, the to fitting in panel geometries without membranes and discreet anolyte and
figure highlights the marked impact of the productivity losses associated with a catholyte chemistries can be an effective
within-panel nonuniformity on within-die wafer’s circumference. Figure 9 shows way of meeting isolation requirements.
coplanarity; this interaction is obviously a comparison of percent die loss when a
more pronounced for larger (packaged) die. 10mm dummy structure is incorporated into By-product management
Figure 8 shows the same array, plated wafer- and panel-scale fan-out processing Ion exchange membranes prevent the
under the same conditions, but with a for different die sizes. Aside from the reaction of organic additives with Cu
horizontal and vertical dummy pattern along intrinsic cost savings associated with a anodes, the evolving oxygen associated
the outside edges. The areas in blue show larger substrate, the added cost of dummy with insoluble anodes, or direct oxidation
the photoresist, while the adjacent areas in structure placement on a panel can be up to at either t y pe of anode. Chemist r y
white are targeted for plating. The addition twice as much for a wafer. selection can once again be based on
of a dummy pattern increases the proportion process performance criteria, rather than
of how much of the overall area of the panel Advanced chemistries considerations of undesired additive
will be plated. This figure demonstrates Within-die coplanarity (or general breakdowns at the anode or substrate.
how the topography in the region of interest, uniformity) specifications become more Ac c ele r a t o r a n d le vele r a r e t wo
the product-dice area, becomes more demanding as the number of metal layers common classes of organic additives
consistent across the panel. Terminal and increases. These tightening specifications used in Cu electroplating for advanced
current crowding effects are corrected. The coupled with larger density spans from packaging. Breakdown of these additives
dummy structures deliver a greater than smaller features represent a substantial occurs in the presence of Cu, which
reduction in plating rates, or call for the is usef ul at the substrate, but not at

12 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


anodes. Unwanted breakdown can also criteria include mechanical and chemical Feature-scale plating performance
occur in the presence of plating solution factors. Mechanical considerations that The nat ure of the various fan-out
s u p e r s a t u r a t e d w i t h ox yg e n f r o m determine whether or not a membrane and wafer-level packaging (WLP) or
insoluble anodes. Traditional vertical can be used in HVM include: mechanical panel-level packaging (PLP) products,
continuous plating (VCP) tools cannot strength, wear, cracking, resistance, both u nder production and u nder
protect f rom additive breakdow n at a nd sh r i n k age. Chem ical sele ct ion development, requi res that an ECD
the anode, thereby restricting additive criteria such as diffusion rates, fouling, tool be capable of deliver i ng R DL,
selections to formulations with minimal resistance uniformity and stability, and microbump, macrobump, blind-via fill,
breakdown rates. If a dual reser voir additive reactivity determine whether and through-via fill. Depending on the
bath exists that isolates the chemistry at the membrane can be used at all. Certain product type, and layer (in the case of
the anode from that of the cathode, size membranes have demonstrated benign build-up processes), combinations of
exclusion membranes can be used to behavior with certain organic additives, features may even be present at the same
prevent migration of leveler additives into while reacting vigorously with others, so step (e.g., blind via and fine line). To
the anolyte chemistry and subsequent ion exchange membranes need to be paired ensure high yields, plating must meet the
reactions with the anode. However, with specific organic additive packages. required fidelity to all of these features
accelerator additives are more diffusive (fill, height, shape, finish) with minimum
in nature, so these membranes do not Modular tool approach variation across the die.
prevent their breakdown. Ion exchange VCP tools typically utilize single large Plating chemistries often determine
membranes can completely isolate the bath volumes that often exceed 20,000 whether or not a feature-level plating
organic additives in the catholyte from liters/bath. These sizable bath volumes specif ication can be met or not. As
the anolyte chemistry, which eliminates result in large initial pour-up costs, an example, for Cu, the levels of the
breakdown at the anodes or from evolved increased tool size, weight, containment inorganic constituents affect the plating
oxygen in the anolyte. A comparison of costs, and significant overall chemical capability of the bath to some extent.
accelerator and leveler breakdown rates running costs. Furthermore, running More impor tantly, however, are the
for a planarizing advanced packaging chemical additive design of experiment levels and types of organic additives.
chemistry is shown in Figure 10. There (DOE) studies or next-generation chemical The organic additives can determine the
is roughly an eightfold reduction in evaluations is proportional to the bath shape of plated features, whether void-
additive breakdown for an ion exchange volume and therefore cost prohibitive on free fills can be accomplished, the surface
membrane cell compared to a traditional large chemical bath systems. roughness of critical features (lines,
VCP tool. Introduction of size exclusion In contrast, a modular plating tool bumps), and the overall coplanarity of
membranes along with the separation used most often in wafer plating systems plating across a die.
of anolyte and catholyte approaches the allows for an approximate 100 times
leveler consumption performance of an reduction in bath volume as compared Summary
ion exchange membrane tool, but does not w i t h a t y p i c a l VC P s y s t e m . T h i s IC packaging has traditionally played a
help with the accelerator additive. reduction in overall bath volume along supporting role in the continuous struggle
A number of suppliers offer many with the ability to add single cell baths to deliver more advanced technologies
different ion exchange membranes. The within a high-volume manufacturing and cost improvements at the pace set
uses for these membranes are diverse, and system greatly reduces development by Moore’s law. Substrate size changes
electroplating of semiconductors is not costs and increases the overall flexibility such a s t he t r a n sit ion f rom 20 0 t o
a significant market for these products. of the plating system. 300mm wafers have made significant
For t h is re a son , sele ct ion of t he se In addition, a modular type cont r ibutions to cost reductions.
membranes can be difficult. Selection architecture Material transitions, such as the shift
approach has from aluminum to copper wiring, have
additional potential similarly improved performance while
benefits such reducing costs. The int roduction of
as multi-metal technologies such as multi-chip fan-
st ack capabilit y out packaging has been called “more
within a single t h a n Mo ore” a nd of fe r s m a ny new
plating system manufacturing techniques. One way to
(i.e., Cu/Ni/Au) or deliver higher performance in smaller
different additive packages at lower cost is to migrate to
m i xt u res or bath a larger substrate size. 510 x 515mm
temperat ures on panels have been used in the high-density
d if fe re nt plat i ng interconnect market and could deliver
baths located a significant cost reduction to advanced
w it h i n t h e s a m e packaging products as compared to
tool, which would 300mm wafers. This shift from wafer-
provide enhanced scale to panel-scale could deliver the cost
process tuning savings needed to justify HVM for fan-
Figure 10: Organic additive consumption of planarizing advanced packaging capability. out processing.
additives for different ECD cell generations.

14 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


As fan-out package feat ures sizes Institute of Technology, a BA in Chemistry Field Applicat ion Eng i neer at T EL
continue to shrink, there are certain from Harvard U., and is Principal Process Advanced Packaging.
challenges to creating those features Engineer at TEL Advanced Packaging. Tyler Barbera received his BS i n
at a panel scale. Because wafer-scale Mani Sobhian received his BSEE Chemical Engineering from the U. of
chemistries must be used for finer feature f r o m t h e U. o f M a s s a c h u s e t t s a t Massachusetts Amherst and is Key Account
development and these often require Amherst, an MBA from Boston U., and Technologist at TEL Advanced Packaging.
membranes, panel-plating tools must is Principal Process Engineer at TEL Cristina Chu received her Masters in
accommodate membranes to keep these Advanced Packaging. Architecture from Harvard U., and BA
chemistries stable. Additional panel-scale Br yce Chen received his Master’s from Dartmouth College, and is Director
process improvements can be made by in Mater ial Science Engineer ing of Strategic Business Development at TEL
strategically adding dummy structures to f rom Nat ional Tsi ng Hu a U. a nd is Advanced Packaging.
panels and using multi-zone anodes.
TEL Advanced Packaging believes that
the ECD process will not be a barrier to
high-volume fan-out panel-level packaging
manufacturing. A modular ECD tool can
effectively produce multi-metal stack fan-
out applications because each reservoir can
be dedicated to a given metal layer. Multi-
zone anodes can help counter the process
challenges that stem from the terminal
effects associated with larger substrate
a r e a s a nd r e c t a ng u la r ge ome t r ie s.
Finally, lower volume plating reservoirs
can significantly reduce chemical costs
when compared to conventional vertical
continuous plating equipment. Applying
al l of t he se t e ch n ique s, me mbr a ne
plating cells, multi-zone anodes and
strategic dummy die placement could
deliver the cost-down required for high-
volume adoption of fan-out, as well as
resolving many of the technical challenges
associated with panel-scale plating.

Biographies
Jon Hander received his BS in Mechanical
Engineering Technology from Texas
A&M U., an MBA from U. of Phoenix,
and is Director of Advanced Products
at TEL Advanced Packaging; email
jon.hander@us.tel.com
Demetrius Papapanayiotou received his
PhD in Chemical Engineering from the U.
of Illinois and is a Process Engineer at TEL
Advanced Packaging.
Robe r t Moon re ceive d h is BS i n
Chemical Engineering from the U. of
Delaware, and is Senior Process Engineer
at TEL Advanced Packaging.
Arthur Keigler received his MS in
Mecha n ical Engi neer i ng, a n MS i n
Management, and an MS in Materials
Science from Massachusetts Institute of
Technology; and a BS in Applied and
Engineering Physics from Cornell U.
He is Chief Technology Officer at TEL
Advanced Packaging.
Michelle Schulberg received her PhD in
Physical Chemistry from Massachusetts

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 15


3D IC heterogeneous integration by FOWLP
By John H. Lau [ASM Pacific Technology Ltd.]

I n t h i s s t u d y, t wo 3D I C
heterogeneous integrations by fan-
out wafer-level packaging (FOWLP)
technology are presented. The emphasis of
more of a higher level
of heterogeneous
integration, whether
it is for time-to-
the first such method is on the design, and market, performance,
of the other method, the emphasis is on the fo r m f a c t o r, p owe r
manufacturing method. consumption, sig nal
i n t e g r i t y, o r c o s t .
System-on-chip Heterogeneous
Moore’s law [1] has been driving the i nteg rat ion is goi ng
system-on-chip (SoC) platform. Especially to t a ke some of t he
in the past 10 years, SoCs have been very market share away
popular for smartphones, tablets, and the f rom SoCs on high- Figure 1: SoC platforms for the A10 and A11 application processors.
like. SoCs integrate different-function end applications such as
ICs into a single chip for a system or high-end smartphones,
subsystem. Two typical SoC examples t a bl e t s , we a r a bl e s ,
are shown in Figure 1. The application net working devices,
processor A10 is designed by Apple and telecommunications,
manufactured by TSMC using its 16nm and computing
process technology. It consists of a 6-core devices. How should
graphics processor unit (GPU), two dual- these dissimilar chips
core central processing units (CPUs), 2 t a l k t o e a c h o t h e r,
blocks of static random access memories however? The answer
(SRAMs), etc. The chip area is 125mm 2. is: redistribution layers
The application processor A11 is also (RDLs)! How should
designed by Apple and manufactured using those RDLs be made?
TSMC’s 10nm process technology. The A11 In this study, we use
consists of more functions, including a tri- FOWLP technology. Figure 2: Heterogeneous integration or SiP.
core Apple-designed GPU, etc. However,
the chip area is about 30% smaller than
that of the A10 because of Moore’s law,
i.e., the feature size is from 16nm down
to 10nm.

Heterogeneous integration
Why is heterogeneous integration of
such great interest? One of the key reasons
is because the end of Moore’s law is fast
approaching and it is more and more
difficult and costly to reduce the feature
size (to do the scaling) to make SoCs.
Heterogeneous integration contrasts
with SoCs as follows. Heterogeneous
Figure 3: PoP for packaging the application processor and mobile memory.
integration uses packaging technology to
integrate dissimilar chips with different 3D IC heterogeneous integration by d y n a m ic r a nd om a c c e s s me mor ie s
functions from different foundries, wafer ( D R A M s) a r e w i r e b o n d e d o n a
FOWLP
sizes, and feature sizes (as shown in Figure 3-layer core-less package subst rate
T h e A 1 0 a n d A 11 a p p l i c a t i o n
2) into a system or subsystem, rather than and the substrate is area-array solder
processors are packaged using TSMC’s
integrating most of the functions into a balled on top of t he applicat ion
InFO (integrated fan-out) wafer-level
single chip and going for a finer feature processor package – a package - on-
packaging method [2-5]. The mobile
size. For the next few years, we will see

16 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


Figure 4: 3D IC heterogeneous integration by FOWLP.

Figure 8: Wire bonding memory chip at the bottom of the application processor
Figure 5: 3D IC heterogeneous integration to package the application processor chipset. package on a wafer.

Figure 9: 3D IC heterogeneous integration to package the application processor chipset.

Figure 6: Manufacturing process for 3D IC heterogeneous integration to package


the application processor chipset.
Figure 10: 2D IC heterogeneous integration to package the application processor chipset.

package (PoP) format as shown schematically in Figure 3. The


interconnections between the application processor and the
mobile DRAMs are mainly through the RDLs, through-InFO
vias (TIVs), solder balls, and core-less substrate.
A new 3D IC heterogeneous integ ration by FOW LP, as
shown in Figure 4, is proposed in this study. It consists of the
SoC, chips, and the mobile DRAMs. Their interconnections
are mainly through the RDLs, which can be fabricated by the
FOWLP method. Depending on the number of layer of the
RDLs, usually the total thickness of a 3-layer RDL is about
40µm. The DRAMs (≤50µm-thick) are cross-stacked with wire
bonds and then encapsulated. The diameter of the solder ball is
usually 200µm.
Figure 5 shows a special case of Figure 4 (when there is no
Figure 7: Wire bonding memory chip at the bottom of the individual application other chip and the SoC is the application processor). Comparing
processor package.

18 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


of less interconnects; 4) the new design has better electrical
performance; and 5) the new design leads to lower cost.
T h e m a nu f a c t u r i ng p r o c e s s of t h e p r o p o s e d 3D IC
heterogeneous integration is very simple. First, the device
wafer has to be modif ied by sput ter ing an u nder bu mp
metallurgy (UBM) and electroplating a Cu contact pad (for
building the RDLs later), as shown in Figure 6. This step is
followed by spin coating a polymer on top of the device wafer
and laminating a die-attach film (DAF) at the bottom of the
device wafer. Meanwhile, a light-to-heat conversion (LTHC)
layer is spin-coated onto the temporary glass carrier wafer.
Then the individual known-good die (KGD) (chip) from the
device wafer is placed face-up on the LTHC carrier. This step
is followed by epoxy molding compound (EMC) dispensing,
compression molding, and finally, post-mold cure (PMC).
These steps are followed by backgrinding the EMC and
polymer to expose the Cu-contact pad for making the RDLs
Figure 11: 3D IC high-performance heterogeneous integration by FOWLP.
and for mounting the solder balls, as shown in Figure 6. This
is the conventional FOWLP method to package the application
processor [2-12].
There are two methods to attach the mobile DRAMs to
the bottom of the application processor fan-out wafer-level
package. The first method comprises the following steps: 1)
removing the glass carrier by a laser (Figure 7a); 2) dicing the
reconstituted wafer carrier into individual packages (Figure
7b); 3) wire bonding the memory chips to the bottom side of
the individual package (Figures 7c and 7d); 4) and then glob
topping the wires and memory chips with an encapsulant
(Figures 7c and 7d).
The second method to attach the mobile DRAMs to the
bottom of the application processor fan-out wafer-level package
comprises the following steps: 1) wire bonding the memory
chips to the bottom side of every package on the reconstituted
wafer carrier; 2) glob topping the wires and memory chips
with an encapsulant; and 3) then dicing the reconstituted wafer
carrier into individual packages (Figure 8).
Figure 9 is a special case of Figure 4. This is when it is
difficult and costly to reduce the feature size to make the SoC.
Therefore, some of the function (for example, the GPU) is not
integrated into the SoC and the GPU chip is placed side-by-
side with the SoC.
In [13] we asked the question: “What if there is no PoP for
the application processor chipset?” We proposed to place the
application processor and the mobile DRAMs side-by-side on
a build-up package substrate. The memory chips can be either
cross-stacked or individually placed by wire bonding. Also, the
memory chips can be placed individually by solder bumped flip
chips. The memory chips can even be stacked and have TSVs.
In this study, because we used the FOWLP method to construct
the RDLs for the interconnections between the SoC and mobile
DRAMs as shown in Figure 10, the build-up package substrate
was eliminated.

Achieving high-performance
Figure 12: Manufacturing method for 3D IC high-performance Figure 11 schematically shows a 3D IC high-performance
heterogeneous integration. heterogeneous integration by FOWLP technology. It can be
seen that it consists of a GPU, a FPGA (field-programmable
the new design (Figure 5) with that of Figure 3 (the 3D IC g r id a r r ay), CPU, or a h ig h-p e r for m a nce ap pl icat ion-
heterogeneous integration vs. the PoP), it is obvious that: 1) the specif ic integrated circuit (ASIC), and is sur rounded by
new design leads to a lower package profile; 2) the new design high-bandwidth memor y (HBM) cubes. Each HBM cube
has less interconnects; 3) the new design is more reliable because

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 19


consists of four DR AMs and a logic the individual KGDs are picked and scheme with the FOWLP technology
base with through-silicon vias (TSVs) pla ce d fa ce -up on a met al s uch a s results in fewer assembly steps, lower
[14-16] straight th rough them. Each copper, aluminum, steel, and an alloy cost, faster time-to-market, and
D R A M c h i p h a s >5 0 0 T S Vs . T h e 42 (with thermal expansion coefficient higher assembly yield. Also, because
intercon nections bet ween the GPU/ = 8 to 10x10 -6/˚C) carrier about 1mm- of the metal car rier, the war page is
F P G A / C P U /A S I C a n d H B M s a r e t h ick ; 2) mold i ng t he EMC on t he reduced during all the process steps.
through the RDLs. The major heat path reconstit uted wafer is accomplished Fu r t her more, becau se of t he met al
of this structure is from the backside by using the compression method and carrier, the individual package size can
of the GPU/ FPGA /CPU/ASIC to the then post-mold curing (PMC) of the be larger.
h e a t s p r e a d e r. A h e a t si n k c a n b e EMC; 3) backgrinding the EMC and
added on top of the heat spreader if it p oly me r t o ex p o s e t he C u - c ont a c t Summary
is necessary. pad; 4) bu ild i ng up t he R DLs; a nd Tw o 3 D I C h e t e r o g e n e o u s
In this case, the emphasis is 5) mounting the solder balls. Then, integ rations by FOW LP tech nolog y
placed on the manufacturing method the reconstituted wafer is diced into h ave b e e n pre se nt e d . T he f i r st 3D
(process) of this structure. This method i n d i v i d u a l p a c k a g e s ( F i g u r e 1 2). IC heterogeneous integ ration is
comprises these steps: 1) testing for (Note: this process is different from emphasized on the design and the other
KGD of device wafers; 2) sputtering the conventional method, which used a 3D IC high-performance heterogeneous
UBM; 3) electroplating the Cu-contact glass carrier and was coated with an integration is on the manufact uring
pad; 4) spin coating a polymer on top LTHC release layer). method. Some important results and
of the device wafers; and 5) painting a It should be emphasized that unlike recommendations are as follows:
thermal interface material (TIM) on the the conventional method, there is no • A 3 D I C h e t e r o g e n e o u s
bottom (backside) of the device wafers debonding of the car rier. The metal i nt eg r a t io n of t he a p pl ic a t io n
(Figure 12). The last step is different c a r r ie r b e c ome s t he he at s p r e a d e r processor chipset has been
from the conventional method (the first of the individual high-per for mance proposed. The interconnections
case), which is laminating a DAF on the heterogeneous integ ration package. between the application
bottom of the device wafers. T his new method of manufact u r ing proce ssor a nd mobi le DR A Ms
After the steps outlined above are high-performance chips and memory a r e t h r o u g h t h e R DL s , w h ich
completed, the following are done: 1) cubes in a heterogeneous integration are fabricated using the FOWLP

20 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


method. The manufacturing processes for making the 3D IC heterogeneous 12. X . Hu a , H . Xu , Z . Li Z h a n g ,
integration have also been presented. D. Chen, K. Tan, J. H. Lau, et
• When it is difficult and costly to reduce the feature size to make the SoC, al., “Development of chip-f irst
one way is not to integrate some of the functions (for example, the GPU) and die-up fan- out wafer-level
into the SoC and instead place the GPU chip side-by-side with the SoC. packaging,” IEEE/ EPTC Proc.,
• The simplest heterogeneous integration of the application processor chipset Dec. 2017, pp. S23_1-6.
is to place the application processor and the mobile DRAMs side-by-side on 13. J . H . L a u , “ T h e f u t u r e o f
RDLs. One consideration is that the package size could be too large to be interposer for semiconductor IC
reliable. One of the alternatives is to stack up the mobile DRAMs. packaging,” Chip Scale Review,
• A 3D IC high-performance heterogeneous integration of GPU/FPGA/CPU/ Vol. 18, No. 1, Jan-Feb, 2014, pp.
32-36.
ASIC and HBM/HBM2 by FOWLP technology has been proposed. Emphasis
14. J . H . L a u , “ R e l i a b i l i t y o f
is placed on a simple and effective manufacturing method to fabricate
Ro H S c o m p li a n t 2D & 3D IC
the structure. Unlike the conventional method, there is no debonding of Inte rc on ne ct s,” McG r aw-H i l l,
the temporary carrier. The metal carrier becomes the heat spreader of the New York, 2011.
individual high-performance heterogeneous integration package. 15. J. H . L a u , “ T h r o u g h - S i l i c o n
• T h e a d v a n t a ge s of h e t e r oge n e o u s i n t e g r a t io n a r e t i m e - t o - m a r ke t , Via (TSV) for 3D Integration,”
performance, form factor, power consumption, signal integrity, and cost. McGraw-Hill, New York, 2013.
• In order to lower the package profile of the application processor chipset for 16. J. H. Lau, “3D IC Integration and
mobile applications such as smartphones and tablets, the current PoP format Packaging,” McGraw-Hill, New
should be eliminated. York, 2016.

References Biography
1. G. Mo ore, “Cr a m m i ng more c omp one nt s ont o i nt eg r at e d ci rcu it s ,” Joh n H. Lau received h is Ph D
Electronics, Vol. 38, No. 8, April 19, 1965. degree from the U. of Illinois, Urbana
2. J. H. Lau, “Patent issues of fan-out wafer/panel-level packaging,” Chip and is a Senior Technical Advisor at
Scale Review, Vol. 19, Nov/Dec 2015, pp. 42-46. ASM Pacific Technology Ltd.; email
3. J. H. Lau, N. Fan, M. Li, “Design, material, process, and equipment of john.lau@asmpt.com
embedded fan-out wafer/panel-level packaging,” Chip Scale Review, Vol.
20, May/June 2016, pp. 38-44.
4. C. Tseng, C. Liu, C. Wu, D. Yu, “InFO (wafer-level
integrated fan-out) technology,” IEEE/ECTC Proc.,
June 2016, pp. 1- 6.
5. C. Hsieh, C. Wu, D. Yu, “Analysis and comparison
of t h e r m a l p e r fo r m a n c e of a d v a n c e d p a c k a g i n g
technologies for state-of-the-art mobile applications,”
IEEE/ECTC Proc., June 2016, pp. 1430-1438.
6. J. H. Lau, M. Li, D. Tian, N. Fan, E. Kuah, K. Wu, et
al., “Warpage and thermal characterization of fan-out
wafer-level packaging,” IEEE/ECTC Proc., 2017, pp.
595-602. Also, IEEE Trans. on CPMT, Vol. 7, No. 10,
Oct. 2017, pp. 1729-1938.
7. J. H. Lau, M. Li, N. Fan, E. Kuah, Z. Li, K. Tan, T.
Chen, et al., “Fan-out wafer-level packaging (FOWLP)
of la rge ch ip w it h mu lt iple r e d i st r ibut ion -laye r s
(RDLs),” IMAPS Proc., Oct. 2017, pp. 576-583. Also,
accepted for IM A PS Jour. of Microelect ronics and
Electronic Packaging.
8. M. Li, Q. Li, J. H. Lau, N. Fan, E. Kuah, K. Wu, et al.,
“Characterizations of fan-out wafer-level packaging,”
IMAPS Proc., Oct. 2017, pp. 557-562.
9. E. Kuah, J. Hao, C. Chan, K. Wu, N. Fan, M. Li, et al.,
“Challenges of large format packaging and some of its
assembly solutions,” IMAPS Proc., Oct. 2017, pp. 747-753.
10. S. Lim, Y. Liu, J. H. Lau, M. Li, “Challenges of ball-
attach process using f lux for fan-out wafer/panel level
(FOWL/PLP) packaging,” Proc. of International Wafer-
Level Packaging Conference (IWLPC), Oct. 2017, pp.
S10_P3_1-7.
11. E. Kuah, W. Chan, J. Hao, N. Fan, M. Li, J. H. Lau, et
al., “Dispensing challenges of large format packaging
and some of its possible solutions,” IEEE/EPTC Proc.,
Dec. 2017, pp. S27_1-6.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 21


Laser debonding processes enable wafer-level
packaging advances
By Thomas Uhrmann, Boris Považay, Mathias Pichler, Florian Schmidseder, Daniel Burgstaller [EV Group]

T emporar y wafer car r ier


tech nolog ies have gai ned
c o n sid e r a ble i m p o r t a n c e
in the semiconductor industry over the
are embedded into epoxy
mold, forming freestanding
mold wafers as a basis for
redistribution and bumping.
past several years in response to the This process is frequently
increasing adoption and proliferation of r efe r r e d t o a s e mb e d d e d
new packaging architectures, including wafer-level ball grid array
st acked (3D) packagi ng, to suppor t (eW LB). I n t he ch ip -la st
increased device f u nctionalit y. The a p p r o a ch , r e d i s t r i bu t io n
initial approach to 3D-packaging using layers are processed
through-silicon vias (TSVs) that allow f i r st , before t he d ies a re
the functionalization and stacking of thin individually at tached and
device structures has experienced slower- over-molded. In both process
than-expected adoption due to relatively f l o w s , t e m p o r a r y w a f e r Figure 1: Selection of temporary bonding processes differentiated by
high process costs. As a result, only a carrier technologies play a application, temperature stability and debonding temperature.
few high-performance devices with TSVs
have thus far passed the threshold to
mass manufacturing. More cost-sensitive
applications have driven the development
of alternative integration processes better
suited to their cost requirements. Fan-
out wafer-level packaging (FOWLP), in
particular, is well-suited for a majority of
mobile applications due to its ability to
simultaneously address performance, form
factor and cost needs. Other devices, such
as those in the radio frequency (RF) space,
also followed this trend, enabling RF
front-end functionality of band selection,
filtering and amplification modularized
within a single package, thereby reducing
cross talk and RF compatibility issues
in mobile devices [1-3]. Whereas early
FOWLP devices contained individual
dies with the need to expand area for
redistribution, in recent years, FOWLP
became a universal packaging platform. Figure 2: Classification of temporary bonding and debonding processes.
Te m p o r a r y w a fe r b o n d i n g i s a n
essent ial ste p to suppor t a dva nced crucial role. For chip-first (or RDL-last), wafer process flow. Contrary to this, chip-
packaging approaches, such as FOWLP, temporary carriers are used for package- last is a pure build-up process, where the
by enabling the mounting of product on-package (PoP) technology, where temporary bonding step forms the base
wafers onto carrier wafers for wafer thinning device wafers below 400µm layer. The package is built successively
thin ning and back-side build-up, or does not allow for the use of freestanding on top of the car rier wafer, and UV
to serve as a processing platform for mold wafer handling, as discussed by laser debonding is the final process step
redistribution-layer (RDL) first processes. Campos, et al. [4-6]. Here, temporary separating the devices from the carrier.
FOWLP process f lows typically fall carrier technology is used to handle thin Therefore, fundamental knowledge of
under two basic integration categories, wafers throughout the full redistribution critical process parameters for UV laser
called chip-first and chip-last. With the process. Slide off debonding has been debonding is key to ensuring a high
chip-first approach, individual chips found to work reliably within the mold overall process yield.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 23


UV laser debonding on surface energy and adhesion properties process, local heating or stress necessary
Temporar y wafer bondi ng can be of the bonded surfaces to ensure proper for debonding may occur at medium and
classified by the mechanisms that break the debonding, while UV debonding relies solely higher power settings. At the beam center,
temporary bond interface and their point on the selective decomposition of the laser however, significant overexposure can lead
of interaction: 1) direct chemical bond release layer. The substrate is kept at room to pronounced carbonization. Carbon locally
release by laser radiation (laser debonding) temperature during debonding, meaning that increases absorption and scattering, which
via ablation or gas generation; 2) direct no thermal activation is needed. This allows nonlinearly increases the local temperature
or indirect mechanical force (mechanical for the use of a wide variety of temporary and leads to even further carbonization.
debonding); or 3) elevated temperature bonding adhesives types, which can be made Together with the exposure profile, pulsed
to soften or at higher levels to thermally with very low adhesion properties because exposure leads to inhomogeneous ablation,
dissociate the adhesive layer (slide-off and the separation of the product wafer from the but also has the advantage of confining local
lift-off debonding). As shown in Figure 1, carrier wafer is force-free after debonding. heating to the debond layer, if the thermal
these debonding approaches have different The combination of this instantaneous pulse propagation stays within the exposure
temperature stability and debonding bond release, avoidance of the thermal field during optical energy deposition. On
temperature characteristics for the device, activation step, as well as high scanning the other hand, the tails of the Gaussian
which influence the type of applications speed associated with UV diode-pumped distribution are below the threshold, which
solid-state (DPSS) lasers, allows up to a 5X leads to unnecessary global heating. Beam
for which they are best suited. Notably,
increase in debonding throughput compared shaping optics of the single-mode beam
the debonding temperature is only linked
to other debonding techniques. is one way to flatten the central energy
to the debonding mechanism for slide-off
A drawback of laser debonding is that it distribution and reduce the tail length.
and lift-off debonding, while mechanical,
The fine tuning of the spot-shape towards
ZoneBOND and laser-initiated debonding requires a UV transparent glass carrier in
a so-called flat-top beam profile together
decouple the debonding mechanism order to allow the laser light to initiate the
with exact relative laser spot positioning
from the global process temperature. laser debond process at the bond interface.
efficiently leads to homogenization and
Figure 2 provides more detail on each However, where sodium ion contamination
reduces overexposure effects. This flat-top
debonding process. or mismatch of glass properties have been
beam profile facilitates low maintenance and
U V la s e r d eb ond i ng h a s s eve r a l issues with this approach in the past, glass running cost of DPSS lasers and provides an
advantages over other debonding techniques manufacturers can now offer suitable glasses optimal approach to laser debonding [7].
t hat m a ke it a n ext re mely f lex ible that eliminate this obstacle. On account of the complex, but well
debonding process. For example, the laser predetermined, effects during the debond
debond process is completely decoupled Influencing parameters for DPSS process, the outcome is not only controlled
from the material requirements of the laser debonding by the laser beam shape but also by the
embedding layers for all available adhesive To limit the thermal input associated deposited laser fluence or radiant energy
systems. This way, the individual process with laser debonding, UV DPSS lasers are (measured in energy per area) per pulse.
requirements, including high temperature, used for the debonding step, which provide This important parameter impacts the
tension or pressure can be supported when the benefit of rapid absorption of the UV debond result by controlling the microscopic
selecting the optimal adhesive combination. light immediately at the glass release layer photochemical and photothermal dynamics
Further flexibility can be achieved by adding interface. Ideally, release layer materials as well as the debond forces that appear due
functionality to the debond layer that also feature a high absorption coefficient in the to the gas generation and heating within the
protects the substrate from side effects like relevant regime at the laser wavelength, debond material. Both laser power and pulse
thermal or mechanical stress, non-planarity, typically situated around the frequency- length determine the amount of energy per
or contamination. In contrast to mechanical tripled Nd:YAG laser at λ≈355nm. In pulse. While the laser power can be easily
or slide-off debonding, the adhesive in laser this case, penetration depth of light is tuned, pulse length is typically given by the
debonding has no additional function for designed to be less than 200nm for most specific laser source. Once these settings are
the debonding process. The only exceptions commercially available debond materials. optimized and controlled, and the process
to this rule are polyimides. Their chemical Furthermore, due to the lower peak power window for the individual shot area is set,
structure allows for both bonding of the of these lasers it is essential that the the laser scanner parameters are fine-tuned
materials as well as UV laser debonding. transmittance towards the bond interface to reach the optimum overlap between
This process latitude or temperature process is high and radiation can be concentrated successive shots or even via multiple, shifted
window allows the use of polyimides to reach the required irradiance. The beam scans. This means that the exposure pattern
with ver y high ther mal temperat ure quality factor of single mode DPSS lasers is is key to the process outcome. Depending
stability (approaching 400°C). This strong typically close to M2≈1, which means that on the release layer and the mechanism of
independency of the laser debond process the beam cross-section has a unidirectional response, either the full debond fluence
from the rest of the process flow, compared Gau ssia n bea m shape rea ch i ng t he can be applied in an individual shot, or
to mechanical or slide-off debonding, allows maximum possible power density. multiple shots may be overlapped to deposit
for a much more straightforward integration Because the photochemical dissociation the required energy for photochemical
of temporary carrier technology. is energy dependent and bleaches the decomposition and successful debonding
A not her key benef it of U V la ser debond material that can locally increase to find the optimum arrangement for
debonding is its insensitivity to different penetration depth, there is only a slim homogeneity, residual ablation roughness,
substrates types, passivation layers and process window for scanning Gaussian gas generation, heat distribution and
spot ablation. Depending on the individual carbonization. In comparison to longer
metals. Other debonding techniques rely
wavelengths in the green or infrared

24 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


Cross-sectioning
without destroying
your sample.
ZEISS Xradia 520 Versa

i s i t u s at a
V Chin
C O N
SE M I #212
7
B o o t h

Image courtesy of AMD Failure Analysis Lab, Singapore

Identify and visualize failure locations


2 µm crack in advanced packaging technologies.
Imagine seeing buried faults in high resolution …
non-destructively. ZEISS X-ray microscopes (XRM) provide
3D tomographic data with submicron resolution and
contrast for a wide variety of electronics samples,
regardless of sample size. With ZEISS XRM, resolution
does not degrade as sample sizes increase so whether
Virtual cross section
you are visualizing a 10 mm flip chip package or a
300 mm wafer, you can maintain close to one-micron
2-15 µm voids
resolution for any point on your sample.

www.zeiss.com/pcs

Virtual planar section


the glass surface diffuses through the stack,
delaying the temperature input to the device
surface by several laser shots. Phases 1 and 2
are displayed at a different time interval (in
the ns-range) where the pulse still heats the
release layer, while phases 3-6 are in the µs-
range when the heat dissipates.
The smaller size of DPSS laser spots is
beneficial for this debonding case, since the
field size is of the same order of magnitude
as the layer thicknesses. Therefore, heat
diffusion occurs in all directions in space,
and the in-plane component reduces the
temperature increase of the other layers
Figure 3: a) Bright-field microscope images of UV debonding without overexposure showing successful debonding
considerably. Measurements have shown that
without thermal carbonization; b) Laser fluence exceeding the allowed carbonization limit when increasing overlap
for better homogeneity without beam shaping.
this heating of the device surface is less than
+30°C due to the fast heat dissipation into the
surrounding regions. On the other hand, with
large area exposure such as generated by
excimer lasers, this 3D heat diffusion model
is not applicable anymore. Due to the large
debonding area at low repetition rate, the
generated heat can only diffuse orthogonal
to the sample surface, as the perimeter of
the debonding area is comparatively small.
In other words, controlling the temperature
impact is much easier in the small spot case.
Concentrating the energy in time and
space drastically improves efficiency,
especially in thermal-dominated materials
like polyimides, and improves the debond
speed to more than 1000mm2/s by covering
large areas at lower laser energy. As a result,
both throughput and thermal exposure of the
sensitive components are positively affected.
The debond gap opens not only due to
the ablation process and the removal of
material, but also due to the gas pressure
build-up, which can introduce local debond
forces of variable strength that are given
by the material and are controlled by laser
settings such as pulse energy, spot size, spot
Figure 4: Schematic of the temperature evolution during UV DPSS laser debonding. overlap and the timing. Again, the control of
the laser parameters and their fine tuning to
spect r u m, where debonding is only component of the debonding process. the material is crucial for a successful and
related to photothermal decomposition, Depicted in Figure 4a is a cross section homogenous delamination across the whole
UV wavelengths decompose the material with individual laser scan shots progressing substrate by exactly controlling the debond
into the gaseous state directly, as well as from left to right. The absorption of the laser force on a microscopic level. In practical
thermally, which significantly enlarges is shown in purple in Figure 4b, where terms, a successful and stable laser debond
the process window without carbonizing the laser penetration depth is inversely implies fine and precise control of the laser
the interface or inducing excess heat. A proportional to the absorption coefficient of process parameters, continuous monitoring,
comparison of an optimally-tuned UV laser the release layer. It should be noted that the and detailed and fast strategies to map out
debonding process versus an overexposed thermal peak close to the interface is directly the process window that is individually
photothermal carbonization is shown related to the energy deposited by the laser. tailored to the product requirements.
in Figure 3. As the laser is absorbed in the topmost layers In addition to maintaining exact control of
Even though laser debonding is a room of the debond layer, the heat is conducted into the debonding process, the cost of debonding
temperature process, it still introduces a the surrounding material and temperature must be minimized. UV DPSS lasers for
thermal component that can vary with wafer rapidly decays away from the glass/release debonding feature a high pulse repetition
parameters. The laser debonding process layer interface as well as laterally from the frequency, which results in a high debonding
described above, utilizing both a release heated spot. Figure 4c and 4d depict the throughput. Depending on the type of
layer and bond layer, is schematically shown temperature evolution during debonding, adhesive, the required dose as well as spot
in Figure 4 in order to highlight the thermal including how the temperature generated at size, typical debonding can be achieved in

26 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


© 2017 Brewer Science, Inc.
less than one minute for 300mm wafers. For panels, the debond time
scales according to the panel size.

Summary
UV laser debonding is a universal debonding process that is

Creating Safe
applicable to a very wide range of devices, wafer types and surfaces.
It offers high process latitude and temperature stability to ease the
integration of temporary carrier technology into the wafer production

Environments
flow for stacked device applications. The high repetition frequency,
low consumable costs and high throughput of DPSS lasers, and
high uptime and small footprint of the source, coupled with precise
and stable beam-shaping optics, provides a reliable and efficient
combination that enables debonding for cost-sensitive advanced
package types, such as FOWLP. Laser Release System
References In the laser release system, the device wafer
1. “Fan-Out: Technologies & Market Trends 2017 report” – Yole is bonded to a transparent glass carrier using
Développement, Oct. 2017.
2. T. Uhrmann, et al., “Temporary bonding on the move towards a bonding material and a release material.
high volume: a status update on cost-of-ownership.,” Proc. of Once processing is completed, the pair is
IWLPC, 2014.
3. P. Ramm, et al., Handbook of Wafer Bonding; Wiley VCH, 2012. separated by exposing the release material
4. M. Brunnbauer, I. Meyer, G. Ofner, K. Mueller, R. Hagen, with an excimer laser or solid-state laser. Low-
“Embedded wafer-level ball grid array (eWLB);” 33rd Inter.
Electr. Manufacturing Tech. Conf. 2008 (2008). stress separation coupled with high throughput
5. Y. Jin, X. Baraton, S. W. Yoon, Y. Lin, P. C. Marimuthu, “Next- make the laser release system suitable for all
generation eWLB (embedded wafer-level BGA) packaging;”
12th Elect. Packaging Tech. Conf. (2010).
production environments.
6. A. C. Jose Campos, “Temporary wafer carrier solutions for thin
FOWLP and eWLB-based PoP,” iWLPC San Jose, CA, SMTA
International (2015).
7. P. Andry, R. Budd, R. C. Polastre, B. D. Tsang, J. Knickerbocker,
Laser
M. Glodde, (2014). “Advanced wafer bonding and laser Transparent
Carrier
debonding,” ECTC (S. 883-887), Orlando, FL: IEEE 64th (2014). Thin Device Wafer
8. T. Lippert, “UV Laser Ablation of Polymers: From Structuring
Release Layer Bonding Material
to Thin Film Deposition. In A. Miotello, & P. M. Ossi, “Laser-
surface Interactions for New Materials Production “(S. 141 -
175); Berlin: Springer (2009).

Biographies
T homas U h r man n received his Engineer ing deg ree in
Mechatronics from the U. of Applied Sciences in Regensburg, and
a PhD in Semiconductor Physics from Vienna U. of Technology; Laser Release System Benefits:
he is Director of Business Development at EV Group; e-mail:
t.uhrmann@evgroup.com. •Highest-throughput system available with a
Boris Považay received his Master in Technical Physics, and his release time of less than 30 seconds
PhD in Electrical Engineering at the Vienna U. of Technology and
Medical U. of Vienna; he is an Optical Systems Expert in R&D- •Ultraviolet laser does not heat or penetrate
Project Management at EV Group. the bulk bonded structure
Mathias Pichler graduated in Chemical Engineering at the
Johannes Kepler U. in Linz, Austria, and is a Process Technology •Low-stress processing through use of CTE-
Engineer in the Technology Development & IP team at EV Group. matched carrier and room temperature
Flor ian Sch midseder completed vocational t raining in separation
Electrical Energy Engineering in Austria and is a member of
the Product Engineering team at EV Group.
Daniel Burgstaller received his degree in Electrical Engineering Compatible with: 308 nm 343 nm 355 nm
from the College for Higher Technical Education in Braunau,
Austria and is a Product Manager with a focus on temporary
bonding, debonding and lamination equipment as well as thin
w w w. b r e w e r s c i e n c e . c o m
wafer handling topics at EV Group.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 27


Surface analysis as a “blueprint” for semiconductor
package manufacturing
By Jaimal Williamson [Texas Instruments]

V a r i o u s a n a l y t i c a l t o ol s
popularized by what seem
li ke my r iad pr i meti me
c r i m e - s c e n e i n v e s t i g a t i o n (C S I )
television shows have glamorized aspects
of materials science and its prowess in
discovering clues to a mystery. Similar
to a CSI, use of analytical tools like
t i me - of-f l ig ht se c ond a r y ion m a s s
spectrometr y (ToF-SIMS) and X-ray
photoelectron spectroscopy (XPS) can
reduce the time gap to problem solving. Figure 1: Example of an FCBGA device.
For instance, on account of the surface
sensitivity of ToF-SIMS and XPS, these
tools can guide a packaging engineer
from the ostensibly impossible “finding
a needle in a haystack” scenario to a
more positive outlook of f inding the
“elephant in the room” based on tool
det e ct abil it y at t he na nomet e r a nd
monolayer scale. In this analogy (and
focus of the article), the needle in the
haystack scenario is finding the source of
organic contamination forming between
metallic layers within a f lip-chip ball
grid array (FCBGA) build-up substrate
(see Figure 1), where the contamination
degrades bonding strength. Like a CSI
where ma ny i nst r u ment al tools a re Figure 2: Chart illustration of ToF normalized intensity vs. organic fragments or ions detected at the anomalous
used to solve unknowns about a case, substrate interconnect structure.
the aforementioned surface-sensitive
analytical techniques facilitated mapping layers of the FCBGA substrate is of were inspected, where ToF-SIMS and
a blue pr i nt for t he elu sive orga n ic utmost importance to ensure reliable XPS identified surface contamination
species under investigation. Specifically, metal-to-metal or poly mer-to-metal reveali ng orga n ic f rag ment s a nd
leveraging the advantages of the surface bonding. Critical interfaces within the chemical functionality associated with
analytical techniques enabled mapping composite substrate between polymeric specific process steps of the FCBGA
a blueprint to decipher chemistry of the dielect r ic and copper layers and manufacturing process. With chemical
contamination despite limited chemical copper-to-copper interfaces (that are structure partly known, these details
information from the chemical supplier embedded within substrate interconnect were correlated to chemistry found in
due to intellectual property restrictions. structures) are examples where organic the material safety data sheet (MSDS)
The FCBGA substrate manufacturing contamination can form and become pertinent to the process step of interest.
process is a n i nt r icate ser ies of det r imental to the reliabilit y of the This article focuses on unearthing the
sequential steps where the plethora aforementioned interfaces. source of organic contamination at a
of or g a n ic m at e r ia l s u s e d t o for m Employ i ng t he u se of su r fa ce - substrate interconnect structure within
t he composite st r uct u re ca n create sensitive analytical tools like ToF-SIMS an FCBGA substrate. Surface analysis
a labyrinth of challenges in meeting and XPS enabled a synergistic approach aided in narrowing the source of the
stringent reliability requirements for to elucidate surface chemistry between organic contamination manifesting itself
automotive and commercial devices. layers where interfacial quality was during a front-end FCBGA substrate
Interfacial adhesive quality between questioned. Specif ically, anomalous manufacturing process step.
subst rate intercon nect st r uct u res

28 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


Surface analysis results
Multiple sets of ToF-SIMS analyses
were performed to study the normalized
i nten sit ies of d if ferent f r ag mented
organic compounds/elements detected
at an anomalous substrate interconnect
structure (i.e., connecting layers within the
substrate). The goal was to correlate the
organic contamination to the specific front-
end FCBGA substrate process step causing
the issue. Based on ToF-SIMS results (see
Figure 2) the surface chemistry detected
was similar across multiple process lots
at the substrate interconnect structure of
interest. Because the ToF-SIMS results
showed commonality in surface chemistry
across multiple lots, this narrowed the
focus of the investigation to two specific
manufacturing process steps as the source Figure 3: Chart illustration of ToF normalized intensity showing commonality of neat materials forming at the
of the organic contamination. anomalous substrate interconnect structure.
To supplement the f indings noted dedicated samples were prepared directly subsequent process steps. This approach
above and drive manufacturing process after each of two process steps that were enabled a more precise correlation of
improvements, a back-door approach of suspected as sources of contamination the surface chemistry at the anomalous
isolating the neat organic materials from for ToF-SIMS analysis. This approach substrate interconnect structure. The key
the aforementioned two process steps (i.e., was carried out to isolate the chemistry point of isolating the neat materials was
suspected of contamination) was repeated associated with the two process steps to analyze the materials in their purest
through ToF-SIMS analysis. Specifically, suspected as sources of contamination from form and enable a process of elimination

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 29


vehicle, helped correlate surface chemistry
of the neat materials to chemistry of the
contaminant at the anomalous substrate
interconnect structure within an actual unit.
Figure 3 provides an illustration of the
results showing commonality in the surface
chemistry of neat materials, as simulated
on a test vehicle, to organic contamination
from actual units. For example, material
A and material B are neat materials
specif ic to the t wo aforement ioned
process steps, which were also detected
at the anomalous substrate interconnect
structure. These results provided evidence
that the two process steps suspected of the
organic contamination are contributors to
compromising the integrity of the substrate
interconnect structure.
Figure 4: Example of XPS high-resolution C1s peak. XPS was used to study the atomic
concentration of carbon at the anomalous
interface as well as identify chemical
states of the organic contaminant by
c o m p a r i ng che m ic a l f u n c t io n a l it y
produce d f rom t he h ig h-re solut ion
carbon 1s scans (refer to Figure 4).
Comparing the percentage of carbon
atomic concentrations at the anomalous
substrate interconnect structures was used
as a gauge to understand the magnitude of
the organic contamination as a function
of lot. Variation in the percentage of
carbon atomic concent ration across
multiple process lots is illustrated in
Figure 5. Results in Figure 5 confirmed
Figure 5: Chart illustrating the atomic concentration of carbon across different lots. that an organic material was the dominant
source of contamination based on the
high percentage of carbon detected. More
detailed inspection of the high-resolution
carbon 1s scans confirmed similar chemical
functionality of the organic contaminant as
detected from ToF-SIMS analysis.
In addition, XPS was used to quantify
the efficacy of the process improvement
implemented, where the atomic
concent ration of copper oxides was
studied to ensure no deleterious effects. In
particular, both copper oxides of cuprous
(Cu 2O) and cupric (CuO) were studied
with observation of photoelectron and
Auger lines from XPS spectra [1,2]. This
investigation enabled distinguishing the
copper metal from copper oxide based
on the Cu LMM Auger line in the XPS
spectra. As a result, Figure 6 shows that
no statistical differences were observed
in copper oxide formation at substrate
intercon nect st r uct ure based on the
Figure 6: Statistical data showing no differences in copper oxide formation based on different test conditions. different conditions evaluated for process
to distinguish the contamination from the FCBGA manufacturing process. These improvement. Data points were collected
plethora of organic materials used in the simulations, organized on a dedicated test and measured via XPS across the diagonal

30 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


surface of a specially prepared squared- source of the organic contamination. the proverbial needle in the haystack where
shaped test vehicle to compare any S u r f a c e a n a l y s i s r e s u lt s p r ov id e d chemical identification can be impeded due
variation in atomic concentration of copper corroborating details to the root cause of to proprietary restrictions.
oxide values. organic contamination as the chemistry of
the neat materials matched the chemistry Acknowledgments
Summary at the anomalous substrate interconnect The author would like to acknowledge
ToF-SI MS and X PS were used as st r uct ure. These f indings enabled a the Physical Electronics team and Aaron
complement a r y su r face -sensit ive process improvement to be implemented. Clubb of Texas Instruments for surface
a naly t ical tools to met hodically XPS was used to quantify the atomic analysis support.
investigate organic contamination at concentration of carbon at the anomalous
an anomalous substrate interconnect subst r ate i ntercon nect st r uct u re to References
st r u c t u r e. T he s e s u r fa c e - s e n sit ive understand the magnitude of the organic 1. J. F. Moulder, et al., “Handbook
tools enabled a pat h to ci rcu mvent contamination across multiple process of X-ray Photoelect ron
the inability for full disclosure of the lots. To ensure the process improvements Spectroscopy,” 1992.
source of the organic contamination did not cause any deleterious effects in 2. XPS Photoelectron Spectroscopy
due to supplier intellectual property the quality of the substrate interconnect (XPS) reference pages, http://www.
restrictions. The chemistry obtained from structure, the atomic concentration of xpsfitting.com/2012/01/copper.html
ToF-SIMS and XPS at the anomalous copper oxide was studied via XPS. XPS
substrate interconnect str uct ure confirmed no statistical difference in Biography
provided a blueprint to map the chemical copper oxide formation before and after Jaimal Williamson received a BS
functionality to components in the MSDS process improvement. in Chemistr y f rom Grambling State
to discover the source of the organic ToF-SIMS and XPS are well-known U. and an MS in Polymers from the
contamination. ToF-SIMS analysis was surface-sensitive analytical tools used Georgia Instit ute of Technology; he
carried out on neat materials associated in various aspects of microelectronics is a Packaging Engineer and Member
with two process steps in the front-end characterization at both silicon and package Group Technical Staff within Texas
of the FCBGA substrate manufacturing levels. Each tool has specific outputs based Instruments’ Worldwide Semiconductor
process, which were suspected as the on the fundamentals of its application, but Packaging group. Email jaimal@ti.com
when used in tandem, its synergy can find

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 31


Total control of the bump process to ensure reliability
of stacked devices
By Matt Wilson [Rudolph Technologies, Inc.]

A s electronic devices
become ever more
per vasive i n ou r d aily
lives, ensu r i ng t hei r qu alit y a nd
Vertical integration
The microelectronics industr y has
followed a familiar narrative since its
birth—put more computing power and
Total bump process control
As these bumps have become smaller
and denser, fabricating them reliably has
required the adoption of process control
reliability has become not just functionality in less space; only now technologies, including extensive inspection
desi rable, but i n some cases, a life it has entered, quite literally, a new and metrology. Total bump process control
or death requirement— consider the dimension—vertical. refers collectively to the 2D/3D inspection
possible consequences of a catastrophic Packaging these vertically-integrated and metrology requirements of this class of
fa i lu r e i n a me d ic a l d ev ic e or t he die requires the need to provide interlayer technologies. Controlling these processes
control system of an autonomous car. c o n n e c t io n s t h a t a r e a s s m a l l a nd is critical to ensuring the reliability of the
Electronics manufacturers are under reliable as the multilayer interconnect finished device and requires the combination
growing pressure to build quality and t e ch nolog ies u sed w it h i n t he ch ip. of standard 2D techniques with a new class
reliability into their devices and that T h is need for ve r t ical con nect ion s of 3D technologies. As dimensions shrink,
pressure is magnified by the increasing h a s c r e a t e d a w h ol e n e w cl a s s of repeatability and resolution requirements
cr iticalit y of the applications. technologies – advanced packaging – will increase, surpassing the capability of
Although this is not a new demand, with a whole new lexicon of terms (and white light techniques and requiring the
it is made more challengi ng by the acronyms): through-silicon vias (TSVs), use of laser-based technologies. But total
appea ra nce of new process a nd redistribution layers (RDLs), bumps, bump process control requires more than
p a c k a g i n g t e c h n olog ie s t h a t p a c k pillars, nails, under bump metallization just new sensors, it requires a comprehensive
greater functionality into less space (UBM), wafer-level packaging (WFP), approach using advanced analytics—
th rough ver tical integ ration. All of fan-in, fan-out, and many more. All distilling classified, usable results from
these technologies require connections these technologies serve the purpose of the torrent of raw data created by these
in the third dimension, above or below providing reliable, electrically isolated, new technologies. As shown in Figure 1,
the die, thus adding, quite literally, vertical connections, and most, at some software to visualize bump coplanarity
a new di mension to i nspect ion and point, involve the creation of a conductive gives users a powerful tool to quickly assess
metrology requirements. “bump” protruding through an insulating various bump heights within a set tolerance.
layer to carry the signal to the next layer In addition, inspection and metrology
Reliability above or below. systems must have the flexibility to control
Consumer tolerance for device failure
is at an all-time low, as they demand more
functionality and more convenience from
their electronic devices. The results of
failure range from minor inconvenience
– a wait in a long line because a dead cell
phone could not retrieve a boarding pass
– to catastrophe from a failed pacemaker
or wrecked car. The automotive industry
is an excellent example. Its relentless
focus on reliability is driven not only by
the cost of failure in human terms, but
also by market forces such as costly recall
and foreign competition implications.
When cars contained only a few electronic
components, a failure rate of one in a
million might have been acceptable.
Autonomous cars may each have tens
of thousands of electronic components,
mak ing one-in-a million not nearly
good enough.
Figure 1: Coplanarity wafer map visually alerts users when bump height tolerances are too high or too low.

32 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


all kinds of bumping-related processes:
wafer-level chip-scale packaging (WLCSP),
ball drop, micro-solder, Cu pillar, RDL
height, UBM height, and more.

Total bump process control requires


both 2D and 3D technologies to fully
understand the process (Figures 2-3):
• 2D defect detection – voids and shorts,
foreign material, misprocessing;
• 2D metrology – bump diameter, bump
Figure 3: a) Sample die with bumps; b) die data view (top); and c) die data profile view showing that the bump is too tall.
position, bump presence;
• 3D inspection – bump too tall, bump
too short, statistical process control
(SPC); and
• Auto classifications – data must make
sense and be easy to interpret.

Figure 2: a) 2D bump diameter summary; b) 3D


bump height summary.

Resolution: TSV in stacked memory


Feature sizes continue to shrink in all
dimensions, requiring ever-increasing
resolution capability for inspection and

P
measurement. TSVs are no exception.
Although TSVs have not found the broad
application they were initially expected RoHS
to achieve, they have been embraced by
memory manufacturers in devices that
stack multiple chips to achieve increased
storage density. One type of TSV process
creates the TSV by etching the via, filling
it with conductor and then revealing the
TSV with a backside polishing process. The

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 33


height of the revealed TSV nail is critical. The laser-based sensor combines the can also measure step heights at the edges of
In advanced processes, the height may be principles of interferometry and reflectometry opaque materials underlying a transparent
only a few microns with a diameter of less to accurately detect the top of the bump, the layer. The sensor is capable of nanometer scale
than 10µm, requiring a laser-based optical top of the PL and the bottom of the PL. It accuracy and repeatability. It is particularly
system. In this case, white light provides strong, relative to other measurement
insufficient resolution and throughput. technologies, such as chromatic confocal (CC),
in its ability to measure thin films. As films
Determining true bump height become thin, the intensity peaks returned by
Solder bumps are another technology CC measurements begin to overlap, making
used for vertical connections. They achieved it difficult to distinguish top and bottom. PL
wide acceptance in flip-chip processes and films are typically in the 3-6μm range—
are transitioning to wafer-level chip-scale too thin for accurate CC measurements. In
packaging (WLCSP). Some recent predictions contrast, the peaks returned by the laser-based
have WLCSP surpassing conventional flip- sensor are sharp and easily distinguished on
chip as soon as 2018. Widely known, bump films of this thickness. Figure 5 shows results
height and coplanarity are critical to ensuring of the true bump height measurements amid
Figure 4: Laser-based measurements of the bump the PL, accurately measuring from the top of
reliable connections. A bump that is not
through the protection layer gives accurate bump
high enough will not connect, while one the bump to the bottom of the PL.
height where white light techniques fail.
that is too tall may prevent connection by
neighboring bumps. Even the slightest bump
height variations can cause weak connections
leading to detrimental field failure at the
consumer level, becoming more costly in the
end through recalls and field returns.
Bump height measurement has become
more challenging with the introduction of
processes that eliminate the UBM layer,
which is used in conventional WLCSP
to improve the bond between the solder
ball and the copper redirect pad. WLCSP
packages have been limited in chip size
and ball pitch by the fragility of the solder
ball/redirect connection. The intermetallic
compounds (IMC) for med there are
mechanically weak and subject to fracture
under the thermally-induced mechanical
stress generated by the different expansion
coefficients of the silicon die and the
package substrate. UBM-free integration
(UFI) eliminates the UBM and the solder Figure 5: Bump height wafer map returns accurate bump height measurements amid the protection layer.
connects directly to the redirect pad.
A thick polymer protection layer (PL),
usually polyimide (PI) or polybenzoxazole
(PBO), helps secure the solder in place and
provides stress relief between the chip and
the substrate. In addition to eliminating the
IMC as a source of failure, UFI reduces
package cost and cycle time by eliminating
layers, and allows a significant reduction
in final package thickness. Unfortunately,
the PL layer, which is semitransparent and
varies in thickness, introduces errors in
bump height measurements [1].
A new a p p r oa ch t o bu mp heig ht
measurement uses an interferometric
technique to accurately measure bump height
and PL thickness at representative locations
across the wafer, and then calculates offsets
to apply to subsequent high speed, 100%
inspection (Figure 4). Figure 6: Automated binning and classification delivers easy to interpret charts and wafer maps call attention
to problem areas.

34 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


Throughput bump process control. The system includes m e a s u r e m e n t ,” S o l i d S t a t e
3D inspection has typically been a a laser-based optical system to provide Technology, Dec. 2016.
bottleneck for process control in advanced sufficient resolution, new sensors for 3D
packaging. To achieve broad acceptance, measurements specific to bump process Biography
3D techniques must achieve production- (Truebump™ Technology), automatic Matt Wilson received his Bachelor of
worthy throughputs. The higher frequency/ defect classif ication, extensive data Science Electronic Engineering degree
shorter wavelength of the new laser-based analytics, and can provide production- from the U. of Minnesota, and is Senior
sensor and the elimination of the spectral worthy throughput. Director, Inspection Product Management
noise generated by broadband (white light) a t R u d o l p h Te c h n o l o g i e s ; e m a i l
technologies not only improves resolution, Reference matt.wilson@rudolphtech.com.
but also greatly increases throughput. 1. S. Balak, “Improving the accuracy
The laser-based technique can offer of bump height and coplanar it y
throughput up to twice as fast as pre-existing
technologies that have been broadly available
and accepted in the market to date.

Repeatability
As dimensions sh r in k, so also do
repeatability requirements for measurement
systems. Generally, to be considered “gauge
capable,” a system must demonstrate 3σ
repeatability less than 10% of the process
tolerance. A 20µm feature with a ±2µm
process tolerance requires metrology that
is capable of 0.2µm repeatability or better,
which is well within the precision capability
of the laser-based sensor discussed here. It
is not unusual to see precision to tolerance
ratios below 5% on many applications.

Automatic classification and analytics


Metrology and inspection systems can
generate raw data at an incredible rate, but
the data is useless without advanced analytics
that can quickly extract significant, actionable
information from the data stream. For
inspection, this means automatic classification
of detected defects to immediately direct
operators’ attention to important process
excursions, helping to identify probable root
causes and suggest pathways to problem
resolution. For metrology, this means real-
time binning of measurement data that falls
outside of the acceptable range. As shown in
Figure 6, the ability to seamlessly integrate
both classified inspection data and binned
metrology data into a single analysis enables
meaningful decision-making about process
optimization changes.

Summary
As advanced packaging processes
follow the familiar path to smaller, denser
features, they will require increased
process control to remain within the
process window. A unique feature of these
processes is the use of bumps to provide DL Technology has been the leader in micro dispensing technology for over 15 years. For more
vertical connections. We have described information, or for size or specifications on our line of needles, pumps or valves, visit
the types of inspection and metrology www.dltechnology.com
bumps require, and shown results from
a new system (Dragonf ly™ System)
216 River Street, Haverhill, MA 01832 • P: 978.374.6451 • F: 978.372.4889 • sales@dltechnology.com
designed to provide the comprehensive
2D/3D measurements needed for total

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 35


Reducing wafer test time
By Klemens Reitinger [ERS electronic GmbH]

D uring a typical thermal wafer


test set up, the temperature will
influence every other boundary
condition, like the mechanical accuracy or
hundreds or even thousands of chips, smaller and smaller, the simple transition
which is cost-effective. However, as soon time of the chuck is subject to temperature
as the wafer is singulated into individual influences that significantly slows down
devices, the subsequent development the process. With an increasing demand
electrical performance. There are different becomes substantially more expensive. for smaller measurements, the industry is
ways to deal with these influences, but they The next steps are usually performed on now facing complex challenges to a much
all require long “adaption times” in which a tiny piece of silicon, which is a time- greater extent than before.
the test cell is just waiting for the system to consuming process operated by intricate
reach the right temperature. This article will and costly machinery. Mechanical accuracy
explain why it is so important to generate new To know the status and performance If you change the temperat ure on
solutions that reduce the expensive waiting of every die on the wafer previous to the chuck top from 25°C to 200°C, the
time in the overall test process, and how singulation is an important element to environment, especially the bottom side
ERS’ new thermal wafer test chuck system is improve yield. Therefore, the wafer has of the chuck, will also get very hot. This
designed to improve this longstanding issue. to go through a process called wafer leads to mechanical thermal drift in the
Data and discussion about a new generation test, that ensures that only the good area of the wafer prober and probe card.
of thermal chucks will be presented that dies are further processed. The wafer One might think this temperature range
will confirm how the technology can help test is performed in a test cell, which is too “small” to have any major effect
shorten the time needed for a test set up to be consists of an elect r ical tester (the on the wafer test, however, this is not
“ready for test.” The article concludes with most expensive piece of equipment), an the case.
a discussion of the outlook for the future of electromechanical interface to the wafer T he li near coeff icient of ther mal
semiconductor testing in general, and also (a probe card), a wafer chuck (in this expansion (CTE) for different materials
as it relates to emerging products such as case, the source of temperature for the is very low, especially for ceramics or
microelectromechanical systems (MEMS) wafer test) and a wafer manipulator, also metals. The value for stainless steel
and sensors. called a wafer prober. As we are talking is 14x10 - 6 K-1 , wh ich me a n s t h at by
about semiconductors, it is obvious, that changing the temperat ure of a 1mm
Background discussion temperature is a key parameter for this piece of steel by 1°C, the length will
Wafer test is an integral part of every test. Today, there are many tendencies change by 0.000014m m. This might
wafer fabrication line today (Figure 1). suggesting that temperature is getting not sound like a lot, but if you calculate
There are numerous steps performed on more and more impor tant, and that, a temperature change of 100°C on a
a silicon wafer – 300mm diameter being at some p oi nt it m ay eve n be come 100mm long device, it will amount to
the industry standard today – until the the most important parameter of all. a 0.14mm change, which is 140µm. If
devices are fully functional. A common Additionally, the temperature range is you assume that a pad size of 50µm has
characteristic of all these process steps getting wider and wider, as many of to be contacted by a needle, it becomes
is that they are performed at the wafer the microelectronic devices are now obvious that this is far too much for a
level, so ever y process step creates being used in rougher environments, stable operation.
for example, for autonomous driving, Today, there are multiple ways to deal
I nt e r net of T h i ngs ( IoT ), or powe r with the problem noted above, and the
electronics for regenerative
energy harvesting.
H o w e v e r, d e a l i n g w i t h
temperature is one of the less
explored areas in electrical
testing of a device, one of the
reasons being that a testing
process usually means a lot
of nonproduct ive t i me. I n
the past, the test time was
very simply calculated by the
time the chuck needs for the
temperature transition, e.g.,
Figure 1: A typical semiconductor manufacturing from 25°C to 200°C. Now, Figure 2: Soak time comparison of a regular chuck system and the
process. as the structures are getting new solution.

36 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


three most common ways are: passive shielding in the wafer prober or at the probe
card, pre-soaking, and optical realignment to contact the pads after temperature
change. The AirCool® PRIME solution goes one step further: an active, dynamic
shield is integrated inside the wafer chuck and eliminates the inf luences of
temperature directly at the source. The result is a much lower heat dissipation
into adjacent parts that significantly reduces the time needed to reach the thermal
balance (Figure 2).
Although the solution described above improves the accuracy of the machine, it
does not manage to get rid of the problem entirely, as the heat transferred from the
chuck top/wafer into the probe card is still there. To avoid this from occurring to
such an extent, the aforementioned active shield can be attached to the probe card
or the wafer prober’s head plate to considerably reduce this influence. Field studies
have shown that the number of realignments can be reduced from 71 realignments
to 13 realignments per wafer. The shield, called DTS (Dynamic Thermal Shield),
is different from passive thermal shielding in that it reacts to the position of the
hot chuck during probing. Because this position is very hard to predict, the passive
shieldings are only able to reduce the temperature influence, and can therefore not
fully cool down the overheated areas. When you are able to cool the probe card Figure 3: a)Comparison of thermal impact on
exactly at the position where the heat is brought in, you can avoid a nonuniform mechanical behavior between a chuck without, and b)
temperature distribution and provide mechanical stability (Figure 3). These with the Dynamic Thermo Shield.
examples show the thermal impact on mechanical behavior. But there are more will affect the temperature accuracy
issues to discuss as noted below. of the device, especially at higher test
temperatures. For example, if you test
Electrical accuracy at +150°C with a contactor contacting
Some of the devices tested in wafer test are highly sensitive. Very low currents the device with more than 200 needles,
are measured, sometimes as low as into the femtoampere range, which is 1x10 - the first device tested will surely have
15
A. To achieve this ability, both components close to the wafer (the thermal a lower test temperature than the last
chuck and the probe card) use ver y advanced mater ials
for electrical isolation and shielding. Common to all these
materials, which are mostly highly-engineered plastics or
ceramics, is the degrading of their electrical properties at
higher temperatures. Alumina ceramic, for example, has a
specific resistance of 1x1012Ωm at 20°C and only 1x1010 Ωm at
200°C—only one hundredth of its desired value. This means
that if you cannot reduce the temperature inf luence of the
required test temperature, the electrical performance of the
whole system will rapidly decrease.
There are materials that have good electrical properties at
elevated temperatures. However, the disadvantage of these
materials is their hygroscopic behavior, meaning the tendency
to absorb/attract moisture from the air. Consequently, if
customers want to take f ull advantage of the elect r ical
performance, they will first have to “bake” their chucks at
high temperatures until all humidity is removed, which,
again, is a very time-consuming task. With the new AirCool®
PRIME technology, thanks to the dynamic shielding and
thermal isolation, the “pollution” of heat in the environment
is reduced. Additionally, the new solution offers a controlled
environment inside the wafer chuck, which eliminates the
need for tedious baking cycles.

Temperature accuracy
The current IC devices need much higher absolute temperature
accuracy during testing. This is not only true for temperature
sensors—there are also a lot of other devices that require a high-
temperature precision while being tested for other parameters.
Think of pressure, gas or humidity sensors—all these measuring
sizes are very strong depending on temperature.
During a test, equipment touching the wafers (needles or
contactors) or other activity in close proximity to the wafer,

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 37


these solutions have in common is that
they tr y to deal with the inf luences
t hat t he temperat u re creates. A lot
of these solutions are optics (camera
and realig n ment of the position) i n
combination with software. You can,
for example, prog ram a machine to
optically check the contact’s position
f rom time to time and make an
automatic correction if the contact is
in the wrong position.
A not he r p o s sible solut ion i s t he
so cal le d “ pre - soa k i ng,” whe re al l
machine components are brought to
the expected final temperature, e.g., by
external heaters.
The current solutions are
therefore relatively time-consuming
workarounds, like optical realignment,
software compensation and automated
soak time calculation. In addition, most
of the available solutions today are not
dealing with the heating problem at
its source. The concept of our solution
Figure 4: Total time needed after temperature change for the chuck to be ready-for-test: a) comparison of a is different: it practically removes the
regular chuck, and b) the new solution. disturbing effect of the temperature to
the whole set up and offers additional
one, just by war ming up the needle a n a dd it ional i mpa ct. A s t he pa r t s control feat ures for the components
during the test. Furthermore, air f low close to the chuck are getting less hot, close to the device under test.
around the wafer can have an effect on they consequently are not using the We th i n k that, with th is method ,
the temperature accuracy across the energy for heating, which means all the time needed to be ready for test
wafer. As in a chimney, cold air will heating energ y can go directly into af ter a temperat u re change, will be
f low at the edge of the wafer and will the chuck top, ultimately reducing the considerably shorter. Additionally, we
cause lower temperatures than in the transition times. believe it will create the mechanical,
center of the wafer. A l l t he is s ue s not e d ab ove we r e electrical and thermal accuracy needed
t a ke n i nt o c o n sid e r a t io n wh e n we for future products.
Thermal Transition Time were working on the AirCool® PRIME We e x p e c t t h a t a l o t m o r e c h i p
Of cou rse, the ther mal t ransition design. Additional components, like testing will be moved to wafer test. As
t i m e of t h e c h u c k i t s e l f i s s t i l l a the aforementioned DTS, or a cooling the packaging of such components is
big p or t ion of t he id le t i me of t he device, can be connected to the PRIME a quite expensive process, we assume
test equipment. In a production temperat u re cont roller a nd t hereby that the importance of testing devices
environ ment, it is t y pically less take care of environmental inf luences b efore t hey a re f u r t he r pro ce sse d ,
important because the test temperature such as the probe card temperature. A w i l l i n c r e a s e . We a l s o e x p e c t a n
is r a rely ch a nge d compa re d t o t he special thermal guard is implemented increase in demand for temperat ure
volu me of wafer s tested. However, into the chuck design to eliminate the testing at the wafer level. The range
reducing waiting time from one hour to temperat ure difference bet ween the will increase from todays -40°C up to
25 minutes, might still be considered a center and the outside ring of the chuck +150°C, to -55°C up to 250°C, or even
significant difference. at high-temperature tests. above. A concept that deals with the
In analytical probing, during temperature inf luences at its source
cha racter i zat ion or i n development Summary and not with the heat distribution will
labs, the amou nt of waiting time is There are indications that most likely be able to offer better and
critical. One device is frequently tested temper at u re test at t he wafer level faster solutions.
at different temperatures, and therefore is getting more important than ever.
the transition time has a big inf luence, A d d i t i o n a l l y, n e w p r o d u c t s f o r Biography
and may even determine whether a test automotive, IoT and renewable energy K le m e n s Re it i n g e r r e c e ive d h i s
can be made in one day instead of two. have to be tested over a ver y broad M a s t e r ’s d e g r e e i n M e c h a n i c a l
With our technology, this transition temper at u re r a nge. A ll t he present Engi neer i ng at FH Vien na and is
time is kept as low as possible solutions on the market that deal with CEO at ERS electronic GmbH; email
(Figure 4). The thermal mass in the the challenges resulting from this need kreitinger@ers-gmbh.de
chuck i s ke pt low a nd t he a l r e a dy for temper at u re test i ng a re mai n ly
described shielding technology gives made by machine manufacturers. What

38 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


The “More than Moore” semiconductor industry is
changing the transportation paradigm
By Jérôme Azémar, Guillaume Girardin, Yohann Tschudi [Yole Développement]

O ve r t h e p a s t o n e a n d a
half centuries automobile
t e c h n olog y h a s
revolutionized many indust r ies and
paradigm, with its oppor tunities for
shared mobility (Figure 1). This leads
us to the fashionable autonomous car,
which is seen as the Holy Grail of the
Lyft, and Baidu and will address new
types of use. Born as a level 4, or even 5,
these “sensitive” robots are created with
one objective: to fulfill the autonomous
impacted the evolution and creation of automotive market, but the situation is driving (AD) requirements of being able
new trends in our daily lives. Today, considerably more complex than was to drive everywhere, as a new type of
another revolution is in progress in the f irst thought. Two major automotive personal transportation. These vehicles
automotive world, with a race for “More trends are evolving in parallel. are expected to become fully operational
t h a n Mo or e” s e m ic ond u c t or s , t h at F i rst , automated cars “as we in restricted areas before evolving to
started just a few decades ago. know them.” Based on our traditional a true level 5 (Figure 2). Autonomous
W h a t ’s b e e n h a p p e n i n g w i t h automobile, these vehicles will evolve driving is arguably the most exciting
a u t o m o b i l e s o v e r t h e y e a r s? T h e through different stages of automation, development in the industry today and
semiconductor industry has started to f rom level 1 to level 5, th rough the the future of the automotive industry is
flood cars with electronic injection chips, a dd it ion of pre - embedded sen sor s, clearly to address these new challenges.
par tly in response to environmental i nclud i ng r a d a r s a nd ca me r a s, a nd
ef f icie ncy r e qu i r e me nt s. T h is wa s new ones such as light detection and “More than Moore” semiconductors
followed by security features, which r a ng i ng syst em s ( Li DA R s), helped for sensing
have been the biggest driver ever since, by powerful computing capacity that L e t ’s t a ke a lo o k a t t h e c u r r e nt
with the addition of anti-lock brake will solve problems and ensu re the battlefront. A majority of automotive
systems (A BS), elect ron ic st abilit y car reacts effectively to any situations industr y players are cur rently
control (ESC), tire pressure monitoring occurring on the roads. These features, developing sensor-based solutions to
systems (TPMS), etc. The new trend for known as advanced driver assistance increase vehicle safety in high and low
electrification has given a new thrust to s y s t e m s (A DA S ) , a r e c u r r e n t l y speed zones. T hese A DAS systems
the automotive semiconductor market focusing all the attention of original use a combination of advanced sensors
by trying to solve the environmental equipment manufacturers (OEMs) and c o m b i n e d w i t h a c t u a t o r s , c o n t r ol
issue for good. The advent of digital tier one suppliers. units and integrating software. They
con nect ivit y w it h sma r t phones has Second, there are “robotic cars.” enable the driver and car to monitor
given rise to new business models and a Based on a robotic approach, these cars and respond to the surroundings. Some
different approach to the transportation are being developed by Google, Uber, ADAS features are already available.
These include land keeping assist (LKA)
a nd la ne ke e pi ng wa r n i ng ( LKW ),
adaptive cruise control, back-up alerts
and parking assistance. Many others are
still under development. To reach the
fully-automated level, advanced sensors
combined with actuators, control units
and integrating software will have to be
fully mastered. Sensing, computing and
actuating are the three major blocks at
the heart of this revolution. Let us focus
on the first two, as automotive players
have already mastered the last one.
For many years, the semiconductor
i ndu st r y h a s b e e n fo cu si ng on t he
“Moore approach.” The advent of the
“More t ha n Moore” sem iconductor
industry has led us to the sensing era
Figure 1: "Automotive market macro trends;” SOURCE: Imaging Technologies for Automotive report, Yole and the proliferation of sensors in the
Développement, 2016.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 39


Next came radars…
Radar-based sensors are among the
oldest add ressed by the automotive
industry and have been embedded in
vehicles for almost 30 years. For the
record, i n 1989 Toyot a was f i rst to
introduce a blind spot feature using
r a d a r d e t e c t i o n . H owe ve r, d u e t o
performance limitations (field of view)
a nd r el i a bi l it y i s s u e s (m e ch a n ic a l
parts), radar-based sensors have not had
much success in the automotive field
so far. Since then, radar-based sensors
have evolved, thanks to the solid-state
approach combined with beam-steering
and beam-for ming technology. With
frequencies from 24GHz or 77GHz, and
Figure 2: Automotive evolution: from the Ford Model T to autonomous vehicles. SOURCE: MEMS & Sensors for even 79GHz for more accurate object
Automotive report, Yole Développement, 2017. detection, combined with a SiGe or
CMOS approach, we anticipate good
growth for the radar business in terms
of both technological opportunities and
financial revenues. Finally, the ultimate
con f ig u r at ion for autonomou s ca r s
could present four adaptive CMOS-
based radar-based sensors at 77/79 GHz,
each with a 150° field of view, for short/
medium/long range detection covering
all the car’s surroundings. From US$3
billion by 2017, we expect a US$6 billion
market value by 2022, mostly driven by
ADAS features such as AEB, ACC and
blind spot detection.

…and LiDARs
Figure 3: Big picture of LiDAR market opportunities timeline. SOURCE: MEMS and Sensors for Automotive -
LiDARs are cur rently undergoing
Market and Technology Trends report, Yole Développement. a tech nological and indust r ial
revolution, thanks to companies like
automotive and consumer space. Indeed, t h e C MO S i m a ge s e n s o r. C o pyc a t Ve l o d y n e , Q u a n e r g y a n d S e n s L .
the number of sensors used to perform competition will probably pick up as the T h i s m ajo r c o m p o n e n t of L e vel 4
ADAS functions in cars is expected to market now justifies initial investment in a nd Level 5 d r iverless ca r s is i n
increase from 12 sensors on average design and technology. It is now a well- very short supply. Many OEMs from
per car, to 25 sensors per car by 2030. established fact that vision-based auto a rou nd t he world a re raci ng to f ill
The current big picture of the market emergency braking (AEB) is possible t h e L i DA R p r o d u c t i o n , p r i c e a n d
shows equal revenues from the US$ 3 and saves lives. Adoption of forward perfor mance void, either by organic
billion radar and US$ 3 billion camera ADAS cameras will therefore accelerate. development, inorganic development,
businesses, enjoying a 20% and 24% G row th of imaging for automobiles or acquisitions, which have escalated
compound annual growth rate (CAGR), is also being fueled by the park assist in recent months as follows:
respectively, over the next five years [1]. application, and 360° surround view • N o v e m b e r 2 0 1 7 : I n n o v i z
camera volume is therefore skyrocketing. Technologies extended Series B
Growth in ADAS was primarily the W hile it is becoming mandator y in funding to US$73 million;
result of camera use the United States to have a rearview • October 2017:
C a me r a s we r e i n it ia l ly mou nt e d camera by 2018, that uptake is dwarfed o G e ne r a l Mot or s a c q u i r e d
f o r A DA S p u r p o s e s o n h i g h - e n d by 360° surround view cameras, which Strobe, a star t-up focused on
vehicles, with deep lear ni ng image enable a “bird’s-eye view.” This trend driverless technology;
analysis techniques promoting early is of particular benefit to companies o Autoliv acqu i red Foton ic,
adoption. Israeli company Mobileye li ke Om nivision at the sensor level a Li DA R a nd t i me - of-f l ig ht ( ToF )
h a s b e e n i n st r u me nt al i n br i ng i ng and Panasonic and Valeo, which have camera specialist;
this technology to market, along with become two of the main manufacturers o Fo r d a c q u i r e d P r i n c e t o n
ON Semiconductor, which provided of automotive cameras. Lightwave, makers of LiDAR sensing

40 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


devices, one year after it had invested
in Velodyne;

• A u g u s t 2 0 1 7 : O r y x V i s i o n
raised US$50 million to build a
groundbreaking coherent LiDAR
for autonomous vehicles;
• J u l y 2 017: O s r a m i n v e s t e d i n
LiDAR expert LeddarTech;
• May 2017: TriLumina collaborates
with Analog Devices on a
n e x t - g e n e r a t i o n f l a s h L i DA R
illumination module; and looking
ahead, perhaps more to come.

P r o d u c t i o n o f L i DA R s e n s o r s
is se ei ng mont h s’ long pro duc t ion
bottlenecks, and suppliers are finding
it difficult to deliver the technology on Figure 4: ADAS* growth and value. SOURCE: Smart automotive: latest trends in LiDAR & sensors - A SEMI & Yole
time. Currently, most of the solutions Développement webinar, 2017.
available are based on a mechanical
ap p roa ch (m ic ro ele c t rome ch a n ica l se n sor s h a s le d t o a se n sor f u sion still much to be done. Indeed, because
[MEMS] micro-mir rors and prisms), approach (see Figure 5) adopted by most of them use cameras as primary
but prog ress is bei ng ma de t ha n k s g i a nt s l i ke I nt el , Re n e s a s , Nv id i a i n fo r m a t i o n g a t h e r e r s a n d r a d a r s
to a solid-st ate approach, wh ich is and Qualcomm/ NXP. The ecosystem and /or LiDARs for redundancy, low
expected to drive down the solution’s is g rowing rapidly, with in novative luminosity, or bad weather conditions
cost. By becoming solid-state, they f usion methods and incredibly high are still a huge challenge to reaching
ca n be con side red a s t r ue i mag i ng computing performances, but there is Level 5 autonomy.
devices, and cost reduction will be
a key d r ive r a s t he pu sh for se m i-
autonomous d r ivi ng is felt more
st rongly by ca r m a nu fa ct u re r s. A s
t h e p r i c e p o i n t o f L i DA R- b a s e d INDUSTRY
systems will fall quick ly, they will STRATEGY 4-6Mar 2018
consequently be adopted by the SYMPOSIUM Dublin
t o p e n d of t h e a u t o m o t ive m a r ke t Ireland
(Figure 3). They will become central
t o veh icle s w it h s e m i- aut onomou s
features, with a market value
forecasted at US$7 billion by 2030.

…and then there is computing


Even when the sensing block is fully
mastered , on ly half of the problem
Strategies and Innovations
REGISTER NOW!
INDUSTRY
STRATEGY
SYMPOSIUM

w ill be solved ( Fig ure 4). T he


amou nt of d at a sent by the sensors
to Compete Globally Strategies and Innovations to
Compete Globally
has to be dealt with/analyzed and, as
a consequence, a command must be A wide range of top European
4-6Mar 2018
Dublin companies
REGISTER will debate the best
NOW!
selected. This is where the computing ways to compete globally, along with discussions on successful
Ireland

block plays a leading role, supported manufacturing in Europe and mechanisms to support innovation.
by a whole new artificial intelligence
(AI)-based tech nology. For the past
few years, improvements in A I Best class Speakers from:
tech nologies have made it possible Intel, Google, CEA Tech, Mentor, a Siemens Business, Digital Leadership
for mach i nes to per for m heav y- Institute, and more.
duty computing tasks. However, this
situation still needs high-performance REGISTER NOW!
comput i ng, a nd t he need to couple Early Bird Pricing ends January 31.
multiple and redundant infor mation Contact: SEMI Europe, Denada Hodaj, dhodaj@semi.org
f rom completely d if ferent t y pes of www.semi.org/eu

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 41


and cost-efficient. In general, the
trend in the automotive industry is
to have increasing integration of
different components/functionalities
in one system, and this trend will
be addressed by system-in-package
(SiP) technology. Some existing
platfor ms like quad f lat no-lead
(QFN) are being further developed
to address these integration needs.
• Fo r “ r o b o t i c c a r s ,” a n d , m o r e
generally, highly connected and
highly autonomous cars, processing
power will become a priority. This
will be achieved th rough state-
of-t he -a r t packag i ng solut ions,
e n abl i ng opt i mu m con ne ct ion s
bet ween components for higher
Figure 5: From ISP* to sensor fusion processor. SOURCE: Smart automotive: latest trends in LiDAR & sensors - A bandwidth and lower latency. In this
SEMI & Yole Développement webinar, 2017. area, 3D through-silicon via (TSV)
P ac k aging challenge r equir es such as Infineon and NXP pushed for packaging and 2.5D approaches
optimization at the package level too. are ver y likely to appear, while
mas tering t alent to solve this numerous cost-reducing alternatives
giant puzzle Additionally, fan-out packaging was
introduced together with SiGe, replacing (fa n- out on subst r at e, et c.) a re
With the development of new sensing currently under investigation.
and computing capabilities, electronic gold-based wire bonding packages that
module perception is going one step were more expensive and offered lower
performance. The automotive industry Technical breakthroughs are expected
f ur ther. And going one step f ur ther in the automotive industry in order to
implies major changes and challenges being a cautious market, this technology
took several years to penetrate, but has sustain high expectations for the cars
r ega rd i ng t he pa ck ag i ng t o o. T h is of tomorrow—and they are starting to
had been obser ved by many players now convinced major radar suppliers and
created a sustainable business. Infineon appear. Exciting times are coming and
in the advanced packaging business. Yole Développement will follow them
Many questions remain unanswered, aims to ship 30 million fan-out packaged
chips in 2018. closely.
however, such as how to integrate the
complexity and diversity of needs while T h e e vol u t i o n a n d f o c u s of t h e
satisfying automotive specificities and automot ive i ndu st r y i n te r m s of Reference
packaging will be more and more critical 1. “MEMS and Sensors for Automotive
high st and ards. I ndeed, packagi ng,
in the coming years because acceptable - Market and Technology Trends 2017
and more generally microelectronics,
performance and cost will be achievable report,” Yole Développement, 2017.
have been significantly focused on the
mobile industry in recent years, and, only with optimum packaging platforms.
consequently, associated innovations Following the trends in applications Biographies
w e r e t o o . U n f o r t u n a t e l y, t h e s e described earlier in this article, it will Jérôme Azémar received his Master’s
i n novations do not suit the harsher take different directions as noted below: in Microelectronics and Applied Physics
automot ive envi ron ment a nd t he and is a senior member of the Advanced
higher need for lifetime reliability and • A u t o m a t e d c a r s “ a s w e k n o w Packaging & Manufacturing team of Yole
perfor mance, the consequence of an them” will require improvement Développement; email azemar@yole.fr
application dealing directly with human of existing packaging applications. Dr. Guillaume Girardin received his
safety. Electrification of cars has already MSc i n Tech nolog y and I n novation
With larger volumes of electronics se e n ef for t s bei ng ma de at t he Management f rom EM Lyon School
in the automotive industry and a more power t r ai n level, w it h power of Business, and a PhD in Physics and
diverse range of electronics to embed, module packages for start and stop Nanotechnology from Claude Bernard
packaging solutions will have to evolve systems, hybrid car traction, and U. Lyon 1; he is a Market & Technology
in order to address demanding requests. soon, more widely, for fully electric A nalyst for M EMS devices and
The changes have already begun in some cars. Radars will keep improving, technologies at Yole Développement.
areas: an illustrative example would with small form factor, high electric Dr. Yohann Tschudi has a PhD in High
be the spread of fan-out packaging for performance and high integration Energy Physics and a Master’s degree in
radars. The move towards SiGe-based s o l u t i o n s . Fa n - o u t i s a g o o d Physical Sciences from Claude Bernard
radars, as mentioned earlier, did not only candidate at the moment. Sensors U; he is a Software & Market Analyst at
occur at the IC level. In order to benefit in general will require bet ter Yole Développement, and is a member of
from the high-frequency capabilities packages that can interact with the the MEMS & Sensors business unit.
of SiG e ch ip s , le a d i n g c o m p a n ie s environment while being reliable

42 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


Epoxy adhesives: mechanical versatility by design
By Jonathan Knotts, Daniel Morgan [Creative Materials, Inc.]

I n a world held toget her i n


met als a nd pla st ics, e poxy
i s a r e c u r r i n g t h e m e. M a ny
people, even industr y professionals,
and gold, for potting and mechanical
at tach ment of st ress sensitive
components, for highly f lexible
traces, and can be used in electrically
Thermally conductive epoxies can be
used to insulate and protect conductive
traces from stress, abrasion, and harsh
chem icals, but ca n also be u sed to
u s e t h e t e r m e p ox y a n d a d h e s i ve conduct ive, elect r ically i nsulat i ng, bond components and housings that
interchangeably. Epoxies are used in a ther mally conduct ive, or ther mally require electrical insulation including
wide variety of applications including insulating applications (Figure 1). a p pl i c a t io n s s u c h a s h e r m e t i c l i d
m e d ic a l , a e r o s p a c e , c o n s t r u c t io n , sealing, mounting of copper or other
electronics, and even for home owner h e a t si n k s , a n d r e pl a c i ng t h e r m a l
projects and repairs. W hile met als, interface materials.
often used for soldering and welding, Flexible epoxy systems have much
can be alloyed or annealed to adjust of t he mecha n ical st reng t h of a
the mechanical properties, they have typical epoxy system, but can enable
a ver y na r row ra nge i n physical tech nologies on f lexible subst rates
properties. Even metals that are more such as PET, polycarbonate, Nomex
ductile can only tolerate small cyclic and Kapton for printed electronics and
elongations. Unlike other materials, stretchable technologies when properly
epoxies can be customized and tailored mod if ied ( Fig ure 3). Epoxies have
based on the individual mechanical the benefit of increased resistance to
demands of the application. abrasion over most other poly mers.
Designing an epoxy for nearly any Epoxies offer benef its such as lower
set of requirements is possible with processing temperatures and a wider
enough experience and with access to Figure 1: Conductive epoxy vias. range of mechanical properties when
the wide array of ingredients available.
Epoxies have an array of uses as they Epoxy systems are used in
can be designed to be as hard as metal, ci rcu it boa rd a nd ot her elect ron ics
as sof t as silicone, or a ny where i n manufact ur ing to con nect and bond
between. This is possible as epoxies components. Electrically conductive
are composed of many different sets adhesives based on epoxy technology
of building blocks and there are more are used to connect conductive pads to
possible combinations of ingredients for components in the manufacturing of
epoxies than any other material used for components, optoelectronics, and chip
bonding applications. bonding on circuits. Epoxy materials
Chip-level bonding in are also used in the manufact ure of
microelect romechanical systems pr i nted resistor s, st at ic d issipat ive Figure 3: A rigid LED bonded to flexible traces.
( M E M S), o p t o ele c t r o n ic s , a n d 3D coatings, and in the manufact ure of
interconnects requires ver y specif ic touch sensors and medical electrodes, compared to hard mater ials such as
mechanical properties that are unique and as a seed layer for plating (see polyimide technology.
to each constr uction. The variety of Figure 2). Lower modulus epoxy-based
materials that are bonded have different chemist r ies enable pot ting and
coef f icie nt s of t he r m al expa n sion , encapsulation of stress-sensitive devices
surface energies, and are often fragile and bonding of mismatched coefficient of
or are affected by stress. thermal expansion (CTE) surfaces such
as glass and aluminum, gold and nickel,
Applications using epoxies and silicon to polyimide. Fragile sensors,
Because of the highly customizable electronics, and other devices can safely
nat u re of e poxy product s, t hey a re be encapsulated by low modulus epoxies.
used in a large range of applications Stress from bonding of materials with
requiring highly tailored functionality. mismatched CTEs can be mitigated by
Epoxies can be modified for increased bonding with low modulus epoxies.
ad hesion to diff icult to stick to Epoxies have high thermal stability
substrates, such as indium tin oxide Figure 2: A chip bonded to conductive circuitry. and chemical resistance, which enables

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 43


use in applications requiring extreme t o t he fa b r ic at or s a nd a s s e mble r s. concer ns even when the adhesive is
exposure to the harshest conditions. Common issues include microcracking, well designed.
Other highly specialized applications delam i nat ion, low peel or shear Low peel or low shear strength is
for epoxy include adhesives for ovens strength, and warpage. typically a cohesive failure where the
and ther mal analysis equipment, Microcracking is a type of cohesive mater ial does not prov ide requi red
protective coatings for storage tanks, failure characterized by when le vel s of r e si s t a n c e t o p u s h i n g o r
radiopaque ma rk i ng i n ks for X-ray separation occurs within one of the peeli ng forces. It can also i ndicate
analysis, and n- and p-type layers for mater ials. W hen t he mater ial pulls a problem with delam i nation if the
electroluminescent panels. away from itself, it allows moist ure b o n d i n g i s w e a k (s e e F i g u r e 5 ) .
ingress, which in turn causes loss of R e j e c t i o n d u e t o l ow p e e l o r l ow
Defects mechanical strength or the fracturing shea r is of t e n more of a failu re t o
There are several types of defects of vital components (Figure 4). This
that commonly cause failures. Much of failure is prevalent when hard materials
the terminology becomes very specific and strong bonding are unable to be
mitigated or absorbed by compliant
materials. The cracks in the material
often initiate at stress centers like sharp
edges or corners, or planar separations
parallel to the bond line.
Delamination is a clean separation
at a boundar y between the adhesive
a nd one of t he s u b st r at e s u r fa c e s.
Delamination is an adhesive failure
t h at o c c u r s whe n t he st r e s s at t he
interface overcomes the strength of the
bonding. Proper surface preparation,
s u b s t r a t e p o r o s i t y, a n d s u r f a c e
Figure 4: Stress fractures on components. roug h ness ca n of t e n be ove r r u l i ng Figure 5: Cohesive failure due to poor bond strength.

44 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


meet criteria set by downstream users Understanding your application’s potential failure modes and identifying the limits
and is less often a true failure mode for both stress and strain allows for an acceptable range of modulus to be defined.
realized by parts in use. In attempts Many constructions involve delicate layers such as ultra-thin chips. Stress is
t o d e sig n a t e t h e r el i a bi l it y of t h e transmitted from more dimensionally-stable layers to layers with less dimensional
bond i ng, ma ny or ig i nal equ ipme nt stability. The transmission of stress dictates that thickness, as well as the modulus of
manufact u rers (OEMs) will requi re the bulk material, needs to be taken into consideration. Because the adhesive layer
certain levels of shear or peel forces rarely has a function based on the dimensions, it is best to have the stresses absorbed
b e m e t by c o n d u c t i n g d e s t r u c t ive as strain in the adhesive layer. This requires the dimensional stability to be lowest
testing of the bonded construction. In in the adhesive layer. By reducing the modulus of the adhesive, it will compress and
assemblies where there are movi ng elongate to relieve the stress from the surrounding materials.
parts with bonded connections, these Most chip-level adhesives are used on components, which will later be bonded into
requirements can be indicative of the housings or onto boards, where other stresses than shock or vibration mechanical
real performance requirements. stresses are unlikely. Many of the common failure modes are due to expansion and
Warpage is a common defect when contraction causing strains. It is possible to match CTEs from lower than 15ppm/°C
sh r i n kage of the ad hesive causes a to greater than 200ppm/°C (see Figure 6) through compositional controls allowing
mechanical deformation of a chip or for gaps to be maintained or stress to be managed. If the materials to be bonded have
substrate. Warping a device can cause CTE values that are close to each other, simply matching the adhesives’ CTE will
significant changes in performance as avoid failures caused by thermally-induced stress. If the substrates have differing CTE
signals and outputs will shift as the values, splitting the difference is often not enough and decreasing the modulus then
chip is f lexed. Warpage is a common becomes necessary. Epoxies are also able to take advantage of a property known as the
defect when thicker layers of adhesive glass transition temperature (Tg). At temperatures below Tg, the modulus is higher, and
a re used wit h t h i n ner or wea ker once the Tg is exceeded, the modulus drops. When stresses approach a critical limit as
substrate materials. a result of temperature change, choosing a Tg just below the temperature where failures
will occur can avoid catastrophic events. This property works similar to a pressure
Mechanics relief valve or expansion tank by allowing for a shift in properties.
Consideration for mechanical
requirements is critical for performance Epoxy formulation
a nd longev it y of t he e p ox y a nd of The potential for epoxy formulations is nearly infinite. Controlling properties through
the par t. The modulus of the epoxy epoxy formulation is possible due to the wide variety of polymers, monomers, oligomers,
will dictate the maximum elongation modifiers, and fillers that are available. It is common for chemists working with epoxy to
(strain) or maximum force (stress) that spend entire careers studying and developing new types of epoxies. The considerations
will cause a failu re. For par ts that for balancing the requirements for an epoxy are numerous and experienced epoxy
a re subjected to mecha n ical forces formulators draw on generations of experience to finely craft unique and intricate
such as a peeling or pulling action, a adhesives. Among the mechanical considerations discussed in this paper, properties
higher modulus adhesive is needed to such as rheology, ionics, cost, cure rate, shelf life, and many others, are important
provide the bond strength required to considerations in epoxy design.
overcome these forces. For materials Glass transition temperatures for epoxy can be specified at less than 10°C or more than
bonding mismatched CTE substrates and 180°C depending on the performance requirements. Formulators are able to program
surfaces, a lower modulus adhesive will the Tg by restricting or freeing the mobility of the backbone. It is the movement of the
allow for the higher strains required. polymer chains once thermal energy enables rotation around bonds that moves the epoxy
from a hard, glassy state into a soft, rubbery state. This
change does not cause any degradation and moving
regularly from one state to the other is not a negative
condition. Traditional characterization for glass transition
temperature is performed by running differential
scanning calorimetry (DSC). Results for Tg are most
clear when running a modulated DSC to ensure the heat
of transition is reversible (see Figure 7).
The ability to compress the epoxy to a repeatable
bond line is limited from a process and equipment
point of view, but formulators can include spacers
for bond line thickness control. The incorporation of
spacers allows the user to compress at a high force and
be assured that the spacer will limit the compression to
an identical bond line from part to part, and even batch
to batch. Removing a bonded part and checking the
thickness of the remaining adhesive with a micrometer
or by implementing other profilometry techniques
allows for easy verification of the effectiveness of
using spacers.
Figure 6: CTE comparison via TMA.

Chip Scale Review January • February • 2018 [ChipScaleReview.com] 45


schedule, degassing the material prior
to application, and with care in the
application of material. It is possible to
increase or decrease the shrinkage of
an epoxy by two mechanisms. First is
the ratio of the concentration of reactive
components to the concent ration of
nonreactive components. Second is the
change in bond length of the initial and
final state of the bonds that were changed
by the chemical reactions during the
curing phase. It is possible to both shrink
or expand at as low as parts per million,
or as high as several percent.
Coeff icient of ther mal expansion
requires careful consideration of the
overall composition of the epoxy adhesive
composite. The CTE of a material with
a Tg is different above and below Tg.
Values for CTE under Tg are referred to
CTE alpha 1, and CTE values above Tg
Figure 7: Tg comparison via DSC. are referred to as CTE alpha 2. The CTE
alpha 1 is always lower than CTE alpha 2
Cure shrinkage can often be difficult caused by volatiles in the epoxy system, as more mobile polymer chains increase
to accurately detect and measure, but excess gas f rom the manufact u r i ng their effective volume more rapidly than
casting a larger part will allow for easier process, or poor application technique. constrained polymer chains. Although
characterization. A challenge in making M i n i m i z i n g t h e voi d i n g i n a p a r t a wide range of CTE values is possible,
quality test parts is voiding, typically can be achieved with a ramped cure this property is more constraining on
other performance criteria when pushed
to extreme high and extreme low values.
Bond strength and flow properties will
The World’s M a r c h 4 — 7 , 2 0 1 8 • M e s a, a r i z o n a
suffer when high demands for customized
CTEs are requirements. Run ning
Premier Event for thermomechanical analysis of the epoxy
What’s Now & Next is the typical method for measuring and
monitoring CTE values.
in Test & Burn-in Working with skilled and experienced
epoxy formulators, as well as studying
of Packaged IC’s mechanical requirements will allow
designers to create robust and reliable
c o n s t r u c t i o n s b o n d e d w i t h e p ox y
adhesives. Specifying glass transition
temperature, bond line thickness, modulus,
The annual BiTS Workshop and CTE for an epoxy will prevent
warpage, delamination, and microcracking
brings together experts and in MEMS, optoelectronic devices, and
exhibitors in the field of test devices built with 3D interconnects.
and burn-in from around the
world. Join your colleagues in Biographies
Arizona for four stimulating Jonathan K notts received his BSc
days of speakers, technical in Plastics Engineering f rom the U.
of Massachusetts, Lowell and is the
programs, networking Applicat ions Eng i neer i ng Ma nager
opportunities, BiTS eXPo, a t C r e a t ive M a t e r i a l s , I n c.; e m a i l
and more! jknotts@creativematerials.com
Daniel Morgan received his BSc in
Premier SPonSor

Register now! Save $50 off using code “CSR50” Chemical Engineering from the U. of
New Hampshire and is an Applications
Engineer at Creative Materials, Inc.
w w w . b i t s w o r k s h o p. o r g

46 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


MORE THAN 350 HIGHLIGHTS
TECHNICAL PAPERS n 41 technical sessions
COVERING: including:
Fan-Out WLP & CSP • 5 interactive
3D & TSV Processing presentation sessions,
Don’t Miss Out on the Heterogeneous Integration
including one featuring
Industry’s Premier Event! Fine Pitch Flip-Chip
student presenters
n 18 CEU-approved
The only event that MEMS & Sensors Professional Development
Advanced Substrates Courses
encompasses the Advanced Wire Bonding n Technology Corner Exhibits,
diverse world of integrated Flexible & Wearable Devices featuring more than 100
systems packaging. RF Components
industry-leading vendors
Automotive Electronics n 6 special invited sessions
For more information, visit: n Several evening receptions
www.ectc.net
Harsh Environment
Bio/Medical Devices n 3 conference luncheons
Thermal/Mech Simulation n Multiple opportunities for
Conference Sponsors: Official Media Sponsor:
Interconnect Reliability networking
Optical Interconnects n Great location

ADVERTISER INDEX
Amkor Technology w w w. a mko r.c o m ..................................... 1 Plasma Etch w w w.plasmaetch.com ........................................ 37

BiT S Wor kshop w w w.bi t swor k shop.or g ................................ 4 6 SE MI w w w.semi.or g/even t s ................................................. 47

B r e w e r S c i e n c e w w w. b r e w e r s c i e n c e . c o m . . . . . . . . . . . . . . . . . . . . . . . . . 2 7 S E MI Eur op e w w w.s emi.or g/eu ........................................... 41

C&D Semiconductor w w w.c d s e mi.c o m ..................... 15 S e n s a t a Te c h n o l o g i e s w w w. q i n e x . c o m . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

D L Te c h n o l o g y w w w. d l t e c h n o l o g y. c o m . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 5 Smiths Interconnect w w w.smithsinterconnect/volta ................ 29

E-tec Interconnect w w w.e - t e c.c om .............................. 3 S oni x w w w. s oni x .c om ......................................................... 6

EC T C w w w.ec tc.ne t ................................................. 4 8 SUSS MicroTec SE w w w.suss.com ......................................... 13

EDA Industries w w w.eda - industries.net ................................ 2 0 Tes t Tooling Solutions Gr oup w w w.t t s - grp.com .................... 21

EV Group w w w.evgroup.com ................................................... 2 TEL Advanced Packaging w w w.tel.com ................................ 31

Indium Corporation w w w.indium.com/SiP/C S R ....................... 17 Winway Technology w w w.winwayglobal.com ........................... 22

Ir o nwo o d E le c t r o nic s w w w.ir onwo o d ele c t r onic s.c om ........... 3 3 Veeco Instruments w w w.veeco.com ....................................... IFC

I W L P C w w w. i w l p c .c o m .................................................... 4 4 Yield Engineering w w w.yieldengineering.com ........................... 8

Johnstech w w w.johns tech.com ........................................... I B C ZEIS S w w w.zeiss.com/pcs ................................................... 25

M R S I S y s t e m s w w w. m r s i s y s t e m s . c o m ............................ O B C

March April 2018


Space Close March 2nd | Materials Close March 9th
For Advertising Inquiries | ads@chipscalereview.com

48 Chip Scale Review January • February • 2018 [ChipScaleReview.com]


MORE THAN 350 HIGHLIGHTS
TECHNICAL PAPERS n 41 technical sessions
COVERING: including:
Fan-Out WLP & CSP • 5 interactive
3D & TSV Processing presentation sessions,
Don’t Miss Out on the Heterogeneous Integration
including one featuring
Industry’s Premier Event! Fine Pitch Flip-Chip
student presenters
n 18 CEU-approved
The only event that MEMS & Sensors Professional Development
Advanced Substrates Courses
encompasses the Advanced Wire Bonding n Technology Corner Exhibits,
diverse world of integrated Flexible & Wearable Devices featuring more than 100
systems packaging. RF Components
industry-leading vendors
Automotive Electronics n 6 special invited sessions
For more information, visit: n Several evening receptions
www.ectc.net
Harsh Environment
Bio/Medical Devices n 3 conference luncheons
Thermal/Mech Simulation n Multiple opportunities for
Conference Sponsors: Official Media Sponsor:
Interconnect Reliability networking
Optical Interconnects n Great location

ADVERTISER INDEX
Amkor Technology w w w. a mko r.c o m ..................................... 1 Plasma Etch w w w.plasmaetch.com ........................................ 37

BiT S Wor kshop w w w.bi t swor k shop.or g ................................ 4 6 SE MI w w w.semi.or g/even t s ................................................. 47

B r e w e r S c i e n c e w w w. b r e w e r s c i e n c e . c o m . . . . . . . . . . . . . . . . . . . . . . . . . 2 7 S E MI Eur op e w w w.s emi.or g/eu ........................................... 41

C&D Semiconductor w w w.c d s e mi.c o m ..................... 15 S e n s a t a Te c h n o l o g i e s w w w. q i n e x . c o m . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

D L Te c h n o l o g y w w w. d l t e c h n o l o g y. c o m . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 5 Smiths Interconnect www.smithsinterconnect.com/volta .............. 29

E-tec Interconnect w w w.e - t e c.c om .............................. 3 S oni x w w w. s oni x .c om ......................................................... 6

EC T C w w w.ec tc.ne t ................................................. 4 8 SUSS MicroTec SE w w w.suss.com ......................................... 13

EDA Industries w w w.eda - industries.net ................................ 2 0 Tes t Tooling Solutions Gr oup w w w.t t s - grp.com .................... 21

EV Group w w w.evgroup.com ................................................... 2 TEL Advanced Packaging w w w.tel.com ................................ 31

Indium Corporation w w w.indium.com/SiP/C S R ....................... 17 Winway Technology w w w.winwayglobal.com ........................... 22

Ir o nwo o d E le c t r o nic s w w w.ir onwo o d ele c t r onic s.c om ........... 3 3 Veeco Instruments w w w.veeco.com ....................................... IFC

I W L P C w w w. i w l p c .c o m .................................................... 4 4 Yield Engineering w w w.yieldengineering.com ........................... 8

Johnstech w w w.johns tech.com ........................................... I B C ZEIS S w w w.zeiss.com/pcs ................................................... 25

M R S I S y s t e m s w w w. m r s i s y s t e m s . c o m ............................ O B C

March April 2018


Space Close March 2nd | Materials Close March 9th
For Advertising Inquiries | ads@chipscalereview.com

48 Chip Scale Review January • February • 2018 [ChipScaleReview.com]

Das könnte Ihnen auch gefallen