Sie sind auf Seite 1von 10

Looking Ahead

for Resistive
Memory Technology
A broad perspective on ReRAM technology
for future storage and computing.

By Hai (Helen) Li,


Yiran Chen,
Chenchen Liu,
John Paul Strachan,
and Noraica Davila

©iStockphoto.com/sarawuth702

R
esistive random-access memory (ReRAM) is semiconductor (CMOS) technology. In addition to the data stor-
regarded as one of the most promising alternative non- age, ReRAM can also be used for logic operation and
volatile memory technologies for its advantages in computation, demonstrating a great potential in developing a
very-high-storage density, simple structure, low power non–von Neumann computing system. Extensive studies on
consumption, and long endurance, as well as good ReRAM technology, including material, device process, cell
compatibility with traditional complimentary metal–oxide– and array structure, circuit, and architecture, have been conduct-
ed in recent years. In this article, we provide a broad perspec-
Digital Object Identifier 10.1109/MCE.2016.2614523
tive on ReRAM technology for future storage and computing.
Date of publication: 14 December 2016 The models, challenges, and applications are also summarized.

94 IEEE Consumer Electronics Magazine ^ january 2017 2162-2248/17©2017IEEE


NONVOLATILE MEMORY: A BROAD PERSPECTIVE
Nonvolatile memory represents a large variety of memory
technologies that can retain the stored information even when
Resistive random access memory
they are not powered. Flash memory, first invented by Fujio is regarded as one of the most
Masuoka [2], is recognized as the most prominent nonvolatile ­promising alternative nonvolatile
memory technology. Since 1988, when it was commercial-
ized by Intel [3], flash memory has been widely adopted in memory technologies.
various applications, from massive data storage like solid-
state drives and memory cards, to consumer electronics such
as digital cameras, mobile phones, and digital audio players. ucts, which are advanced in all important aspects compared
As devices continue shrinking, however, the development of to the present nand circuit (nand) flash memory, as sum-
flash memory becomes very challenging due to the intrinsic marized in Figure 1 [1].
physical limits and the aggravated device reliability [4]. Besides storage class memory, ReRAM technology can
Alternative memory device technologies have been widely also be used to develop brain-like computing systems,
investigated and developed. Among them, ReRAM, which enabling a new computing solution with extremely high effi-
stores information by device resistance states [5], shows the ciency. For example, matrix computation can be realized
greatest potential in massive data storage. Various metal through ReRAM crosspoint array [8]. Both pure analog and
oxide materials demonstrate the resistive switching property. mixed analog–digital neuromorphic systems have been reported
Notably, in 2008, HP Labs [6] described the ReRAM devices [9]–[11]. Neuromorphic cores using ReRAM devices for in
with analog resistive states as memristors, approving the exis- situ learning have been successfully demonstrated [12], [13].
tence of the fourth basic circuit element predicted by Profes-
sor Leon Chua in 1971 [7]. Usually, the ReRAM devices are THE RESISTIVE MEMORY TECHNOLOGY
organized in a crosspoint array structure that offers ultradense
data storage. In 2015, a three-dimensional (3-D) XPoint ReRAM DEVICE AND OPERATION
memory technology demonstrating good stackability and fast In general, ReRAM denotes any RAM that uses resistance
switching was released by Intel Corp. and Micron Technolo- states for information storage. Commonly, two resistance levels,
gy Inc. Crossbar Inc. also released their 3-D ReRAM prod- that is, the high-resistance state (HRS) and the low-resistance

Manufacturability
Scalability BEOL Standard CMOS Fab
Sub 10 nm Easy 3-D Stacking
eFlash Perf and Rel Degrades < 25 nm Existing Tools and Process

Density
Low Cost Process
1F2 with MLC and 3-D Terabytes
2 Additional Backend Masking Steps
NAND 4.8F2 32 GBs
3~4% Incremental Cost Over Standard CMOS

Energy Byte Alterability


Forming-Free No Block Erase Needed
0.064 nJ–Write Energy eFlash >3 ms Per Block Erase
20 × NAND

Read Performance
Temperature
<30 ns Initial Latency for Code Memory
10-Year Retention at 125 °C
2 µs Initial Latency for Data Storage
Flash < 1 Year Below 55 °C
NAND ~75 µs Random Access

Security Write Performance


Filamentary Architecture 140 Mb/s Random Write
OTP/MTP/Fuse Capable NAND 7 Mb/s
Endurance
10 K–1 Billion Cycles
NAND < 1,000 Cycles

FIGURE 1. A summary of NAND flash memory versus crosspoint ReRAM [1].

january 2017 ^ IEEE Consumer Electronics Magazine 95


metal electrodes. By controlling the biasing condition on the
electrodes, the device can form (rupture) the filaments and
ReRAM, which stores information therefore obtain the LRS (HRS) [5].
by device resistance states, shows Programming and erasing are two basic ReRAM operations.
the ­greatest potential in massive The former describes the state transition from HRS to LRS, and
the latter is a reverse procedure. Depending on the switching
data storage. operation requirement, ReRAM devices can also be classified
into unipolar and bipolar types [14]. The unipolar operation
executes the programming/erasing by using short/long pulses
state (LRS), are realized in a device, representing logic 0 and or by using high/low voltage with the same voltage polarity,
1, respectively. By carefully controlling the programming while the operation of a bipolar switching ReRAM design is
voltage pulsewidth and/or amplitude, more than two resis- conducted by short pulses with opposite voltage polarities.
tance states can be obtained, offering even higher data stor-
age density. ReRAM CROSSPOINT ARRAY
The resistive switching property has been observed and The structure of a crosspoint array was originally used in tele-
studied in various metal oxide materials, such as communication switching systems. The nanometer ReRAM
Ti O x, A g O x, H f O x, and TaO x. Based on different switching design exploits a similar structure in which two layers of
mechanisms, these materials can be categorized to five class- metal wires are connected by memory devices at cross points.
es (Figure 2) [5]. Let’s take the electrochemical metalization As illustrated in Figure 3, the rows and columns of the
effect described by the filament concept as an example: in a crosspoint structure are called word lines and bit lines, respec-
metal–insulator–metal structural ReRAM, filaments inside an tively. These metal wires connect to periphery circuitry (e.g.,
insulator can be taken as the tiny paths that connect the two sensing circuits) to conduct the write and read operations.
Such a simple structure can achieve the minimal unit storage
area of 4F 2, where F represents technology feature size.
However, accessing such a passive resistive network inevi-
tably induces leakage current flows through unselected paths,
Electrostatic/
Electronic Effects or sneak paths [16], that can be seen in Figure 3. The extra
current on sneak paths can be regarded as noise that degrades
Electrochemical the effective programing voltage in write operation or con-
Resistive Switching

Bipolar
Metallization Effects
taminates the real information of the target cell during a read.
Valency Change The performance of a crosspoint array thereby depends on
Memory Effects the amount of sneak path leakage current, which is deter-
mined by the number of memory devices as well as the resis-
Thermochemical
Memory Effects tance value of these cells. A popular solution at device level
Unipolar is integrating each ReRAM device with a selective device
Phase Change such as a transistor or a bipolar nonlinear selector, construct-
Memory Effects
ing 1T1R or 1S1R cell structure, respectively.
Improvements in reading procedure and peripheral circuit
FIGURE 2. A general category of resistive switching memory. designs have also been widely explored. For example, multi-
stage reading was introduced by HP Labs [17], which is a
self-referencing technique. The unfolded architecture [18]
inserts a separate column for each ReRAM device to cancel
BL out the impact of sneak paths. Intel proposed to utilize an
WL Selected operational amplifier for data detecting [19]. The scheme
(Vdd)
can suppress the sneak path leakage efficiently, but the large
Unselected
(0) area of operational amplifier severely constrains the design
Unselected
(0) scalability. The issue can be addressed by the weighted sens-
Unselected ing scheme that assigns different weights to different cells
(0)
and reads them simultaneously with one shared operational
amplifier [20].

ReRAM FABRICATION PROCESS


Sensing Circuits Resistance Cell
The fabrication process of ReRAM technology is compatible
Selected Path Leakage Path
with traditional CMOS technology. For example, Figure 4
describes the back-end-of-line (BEOL) fabrication process for
FIGURE 3. The ReRAM crosspoint array structure [15]. ReRAM (memristor) and n-type metal–oxide–semiconductor

96 IEEE Consumer Electronics Magazine ^ january 2017


(a) (b) (c)

Electrodes/Vias Insulator Layer


Bottom Electrode Top Electrode
Switching Material

(d) (e) (f)

FIGURE 4. The BEOL fabrication process for ReRAM (memristor) and NMOS transistors integrated arrays. (a) An NMOS substrate from
the FEOL process with exposed vias, (b) a metal deposition and patterning of bottom electrodes, (c) an active layer deposition, (d) an
insulator layer deposition and memristor bit definition (nm or μm), and (e) a metal deposition for top electrode and patterning. (f) A
cross-section diagram of the integrated array.

(NMOS) transistors integrated arrays reported by HP Labs. It 3-D ReRAM STRUCTURES


starts with an NMOS substrate from the frond-end-of-line By leveraging the 3-D vertical stacking technology, the inte-
(FEOL) process with exposed vias, followed by the metal gration of a ReRAM array can further improve so that 3-D
deposition and patterning of bottom electrodes, the active ReRAM designs have higher storage density and lower fabri-
layer deposition, the insulator layer deposition and memristor cation cost.
bit definition (nm or μm), and metal deposition for top elec-
trode and patterning. Figure 4(f) is the cross-section diagram ReRAM DESIGN WITHOUT SELECTING DEVICE
of the integrated 1T1R ReRAM crosspoint array. The scan- The crosspoint array without switching devices has advantag-
ning electron microscope (SEM) image of the ReRAM es, such as the simple process and high array density. Three-
crosspoint array with a bit size of 2 μm in diameter is shown dimensional stacking by constructing multiple memory layers
in Figure 5. Note that HP Labs designed this ReRAM array vertically also benefits from such a design with thin device
for matrix-vector computation. Therefore, the 1T1R structure thickness. To overcome the sneak path leakage issue, it
with the active device (NMOS transistor) is adopted to elimi- requires the ReRAM material to exhibit a high resistance at
nate the sneak path leakage current and properly control the LRS (e.g., several KX) and a big resistance difference
ReRAM resistance levels. between HRS and LRS [16].

Bottom Electrodes
Metal Oxide Pillar Electrode
D
Access Electrodes

Vertical Plane Tox


Plane Electrode
Top Electrodes

Transistor Gate

(WL)

F
ReRAM Cell
Hi H
m

z
GND BL Access SL
100 mm
Terminal Transistor
y x
FIGURE 5. An SEM image of memristor-NMOS fabricated arrays
with a bit size of 2 μm in diameter. FIGURE 6. A schematic view of a 3-D-VRAM array [21].

january 2017 ^ IEEE Consumer Electronics Magazine 97


on a single-layer array. For example, a four-layer bipolar 3-D
stacking structure with a ReRAM cell only was proposed by
In general, ReRAM denotes any Unity Corp.
RAM that uses resistance states
for information storage. ReRAM DESIGN WITH ACTIVE SELECTING DEVICE
The 3-D ReRAM designs with an active switching device
such as a metal–oxide–semiconductor field-effect transistor
or a bipolar junction transistor are also widely developed.
Taking the 1T1R structure, for example, the gate of a transis-
tor is isolated from other terminals and can act as a throttle to
WL
turn on or off the current across the ReRAM device. Properly
RRAM Cell
controlling active devices can significantly reduce the leak-
age current and minimize the impacts of the sneak path.
Therefore, a large memory array can be built by using tran-
BL Diode
sistors as switching devices.
(a) (b) Figure 6 illustrates the 3-D vertical ReRAM (3-D-VRAM)
that enables an ultrahigh-density architecture as a flash
FIGURE 7. The stacking structure of unipolar ReRAM crossbar
replacement [21]. As can be seen from the figure, the mono-
array [26]. lithic 3-D multilayer structure improves effective bit density
dramatically. More importantly, the cost overheads associated
with additional layers are eliminated by the removal of some
The 3-D design can be achieved by building up multiple of the intermediate fabrication process, saving significant
memory layers with insulator in between. In such a design, fabrication cost compared to a 3-D horizontal ReRAM
every memory layer is independent of other layers. There- (3-D-HRAM) counterpart [22], [23]. This makes the
fore, the memory access is exactly the same as the operation 3-D-VRAM architecture a much more cost-efficient solution.

Embedded Stand-Alone
Dense Array

Wearable/Mobile Imaging/ Social Web SSD/Storage/Cold Archive


Computing Video in Consumer and Data Centers
512 Mb–2 Gb 4 Gb–Terabit
Customer Specific Dev. Under Development
(28 nm and Below) (28 nm)
Small Latency Array

Smartcard/ Internet of Things Industrial Automotive Consumer Storage


Class
NFC 256 Kb–8 Mb 16–128 Mb Memory

Ready Under Definition


(110 nm/55 nm/40 nm)

FIGURE 8. The target applications of ReRAM [28].

98 IEEE Consumer Electronics Magazine ^ january 2017


3-D XPoint Technology: An Innovative, High-Density Design

Cross-Point Structure Stackable


Perpendicular wires connect These thin layers of memory can be
submicroscopic columns. stacked to further boost density.
An individual memory cell can be
addressed by selecting its top
and bottom wire.

Selector
Nonvolatile Whereas DRAM requires a transistor
3-D XPoint Technology is at each memory cell—making it big
nonvolatile—which means your data and expensive—the amount of
doesn’t go away when your power goes voltage sent to each 3-D XPoint
away—making it a great choice Technology selector enables its
for storage. memory cell to be written to or read
without requiring a transistor.

High Endurance
Unlike other storage memory technologies,
3-D XPoint Technology is not significantly 1 Memory Cell
impacted by the number of write cycles it can Each memory cell can
endure, making it more durable. store a single bit of data.

Transforming the Memory Hierarchy ~8x to 10x Greater Density than DRAM
For the first time, there is a fast, inexpensive and 3-D XPoint Technology’s simple, stackable, transistor-less
nonvolatile memory technology that can serve as design packs more memory into less space, which is
system memory and storage. critical to reducing cost.

1 Gb 1 Gb 1 Gb
1 Gb 1 Gb 1 Gb 1 Gb
1 Gb 1 Gb 1 Gb

3-D XPoint Technology Processor DRAM 3-D XPoint Technology

FIGURE 9. A 3-D XPoint structure and performance [30].

ReRAM DESIGN WITH PASSIVE SELECTING DEVICE


Besides active devices, the passive devices, such as diodes
and nonohmic devices, can also be used as switching devices
in ReRAM design. Those two-terminal passive devices can
be integrated with ReRAM material during the fabrication
process to construct a crosspoint structure.
For example, a diode can be used as the switching device
for unipolar ReRAM [24]. A diode turns on only when the
driving voltage exceeds its threshold voltage in the forward
direction. Otherwise, only a small leakage current can go
through a diode. The vertical diode and heterojunction diode
are promising candidates for a ReRAM switching device
because they can maintain 4F 2 of a single cell area. A stacking
structure of unipolar ReRAM crossbar array was developed FIGURE 10. A 3-D XPoint wafer [31].
by Samsung in [25]. Figure 7 shows the stacking structure of
a unipolar ReRAM crossbar array [26]. Each single cell is
composed of one diode and one ReRAM device (1D1R). THE APPLICATIONS OF RESISTIVE MEMORY
Crossbar Inc. reported the integration of 3-D-stackable 1S1R
passive crossbar ReRAM arrays utilizing a field-assisted ReRAM FOR DATA STORAGE
superlinear threshold (FAST) selector [27]. The FAST selec- As the most promising technology to develop more scalable,
tor utilizes a superlinear threshold layer that provides bidirec- high-capacity, high-performance, and reliable storage solu-
tional volatile switching with large resistance ratio, high tions, resistive memory can be widely used in such areas as
turn-on current, and steep turn-on slope. consumer electronics, enterprise storage, automotive and

january 2017 ^ IEEE Consumer Electronics Magazine 99


VIN
Ni 1
g11 g12 g1n
g11 g12 g1n
g21 g22 g2n Ni 2
[x1 x2 ... xm ] g21 g22 g2n

gm1 gm2 gmn Nim


gm1 gm2 gmn
y1 y2 yn
IOUT
I1 = ∑ i = 1 gi1Vi
M
y1 = ∑ xi . gi1
No1 No2 Non

(a) (b)

FIGURE 11. A ReRAM crosspoint array for matrix-vector computing implementation. (a) The mathematical matrix-
vector computation and (b) the physical mapping to a crosspoint ReRAM array.

v0 g
WL
x0 w0 v1
w1 v2
x1 Σwi xi
w2
x2 v3
w3
x3 BL
Σgi vi

(a) (b)

CMOS Neurons Memristive Synapse

FIGURE 12. (a) and (b) The implementation of sums-of-product computation in a neural network on a ReRAM
crosspoint array [34].

medical, the Internet of Things, mobile computing, and wear- joining together to bring ReRAM (called memristor by
ables, as indicated in Figure 8. them) technology to the storage memory market. The appli-
Crossbar Inc. has developed stand-alone 3-D ReRAM cations of ReRAM in storage memory can be classified into
products targeting data storage solutions by integrating the following areas [32].
thousands of ReRAM cells in a crosspoint [29]. As the
milestone research directed by Intel Corp. and Micron Tech- USE AS ON-CHIP CACHES
nology, the 3-D XPoint technology with initial 128-Gb stor- As the fabrication technology node shrinks down to 28 nm or
age per die using the resistive switching technology was below, the high standby power and die size become critical
released in 2015 [30]. The 3-D structure and wafer view can issues. Compared to conventional static random-access mem-
be seen in Figures 9 and 10, respectively. It is claimed that ory (SRAM) technology, ReRAM with nonvolatile data stor-
up to 1,000 times lower latency and exponentially greater age and simple array structure can eliminate the standby
endurance than nand flash memory can be obtained by power and significantly reduce the die size. Particularly, the
the 3-D XPoint technology, and high-speed and high-capac- easy 3-D integration of ReRAM on top of a CMOS processor
ity data storage can be delivered. It is worthwhile to men- will further reduce the final die area and accelerate the com-
tion the Machine project, a long-term HP Labs research munication between logic units and caches. The utilization of
project that is memory-driven computing leveraging nonvolatile ReRAM caches will also enable an instant on/off
ReRAM cells for storage. Notably, HP and SanDisk are feature and make data restore and system recovery easier and

100 IEEE Consumer Electronics Magazine ^ january 2017


faster. Moreover, the cost structure of ReRAM is much lower
than that of SRAM. The higher latency of ReRAM caches N×M
could affect system performance, which can be overcome by

Image Inputs
W
larger cache size and fewer off-chip requests. (ReRAM Periphery Digital
Crosspoint Circuits Outputs
SERVE AS MEMORY REPLACEMENT Array)
Output
The chief value of ReRAM as a memory extension is its Weight Matrix
Image
lower cost compared to dynamic random-access memory
(DRAM) and other characteristics. Supplying more memory
FIGURE 13. The basic computation in neural network implemen-
increases data locality and therefore minimizes the impact of tation with a ReRAM crosspoint array.
interserver messaging over low-speed networks. At the
application level, this facilitates faster information retrieval
and more efficient data processing. The following are some ReRAM FOR LOGIC OPERATION
potential applications of resistive memory. ReRAM technology has been used as new logic operation
▼▼ Big data analytics: Data is currently being generated at media, for example, for field-programmable gate arrays
unprecedented rates and from a growing variety of sources. (FPGAs). Many new FPGA architectures that use ReRAM to
The requirement for accurate and real-time analysis, how- replace conventional SRAM have been proposed, demonstrat-
ever, makes the data process and management very chal- ing significant improvements on the density of integration,
lenging. With large-capacity ReRAM at lower costs, a accessing performance, and energy consumption, and so forth.
server can be equipped with much more memory than For example, Chen et al. presented an FPGA architecture that
DRAM-only solutions, enabling high operation parallelism can completely substitute ReRAM for SRAM in all the major
and high utilization of the computation resources. components, including the logic blocks, switch blocks, and
▼▼ In-memory database: In-memory databases leverage sys- connection blocks [33]. In particular, the lookup table design
tem memory to access and process data very rapidly. The in logic blocks can be engineered in 3-D stacking to maximize
memory availability is critical to the real-world performance the benefit from the high density of ReRAM. It naturally sup-
and effectiveness of these databases. Proper u­ tilization of ports bit-addressable access and can be used as DRAM, which
ReRAM will fill the gap. usually has limited utilization in the conventional SRAM-
▼▼ Relational databases: When deploying a modern relational based FPGAs due to high design complexity and large area.
database management system, designers must balance con-
flicting requirements. A general goal is to minimize solu- ReRAM FOR COMPUTING
tion cost while maintaining consistent quality of service. People attempt to develop very-large-scale integration hard-
To cope with these demanding workloads, currently data- ware to mimic neurobiological architecture and therefore
bases implement in-memory caches called buffer pools. obtain advanced computing systems with high efficiency.
The introduction of ReRAM can increase the capacity of This approach is called neuromorphic computing. The advan-
memory, buffer, and caches of each server, offering a more tages of ReRAM in high density, fast operation, low power
scalable solution. consumption, and analog to biological synapse make it
extremely attractive in neuromorphic system implementa-
MASSIVE STORAGE DEVICE tion. It is worthwhile to mention that ReRAM crosspoint
A ReRAM-based storage device can be deployed in a distrib- can be naturally used to implement the matrix-vector
uted fashion throughout the server and provide a storage computation (or sum-of-product), as depicted in Figure 11
solution at the lowest latencies possible. It would be a good [8]. Neural networks in which sum-of-product ­computations
option when extreme performance on the storage is needed, are a fundamental mathe­­matical component can be imple­
such as with database and visualization applications. mented efficiently, as can be seen in Figure 12 [34].
▼▼ 
Database applications have evolved to make efficient use
of faster networking, computing, and memory. Storage has
not kept pace, with current solutions being a bottleneck on
database performance. ReRAM provides a high-perform-
Properly controlling active devices
ing, scalable, and predictable solution for databases. can significantly reduce the leakage
▼▼ 
Visualization applications require performance. Whether current and minimize the impacts
accelerating databases or virtual desktop infrastructure
instances, providing predictable response times and high of the sneak path.
throughput is essential. ReRAM-based storage helps with
predictable low response times across virtual machines, effi- For example, when implementing an artificial neural net-
cient scaling by consolidating servers with more virtual work for image recognition, digital images are encoded in float-
machines per physical host, the lowest latency, and the high- ing-point format and are then mapped to analog or digital
est bandwidth in storage. voltage signals. Figure 13 demonstrates the basic computation

january 2017 ^ IEEE Consumer Electronics Magazine 101


patents granted. She is a Senior Member of the IEEE and a
ReRAM technology is expected senior member of the Association of Computing Machinery.
Yiran Chen (yiran.chen@pitt.edu) earned B.S and
to have great potential for M.S. degrees (both with honors) in electronic engineering
data ­storage and information from Tsinghua University in Beijing in 1998 and 2001,
respectively, and a Ph.D. degree in electrical and computer
processing. engineering from Purdue University in West Lafayette, Indi-
ana, in 2005. After five years in industry, he joined the Uni-
in neural network implementation based on ReRAM crosspoint versity of Pittsburgh, Pennsylvania, in 2010 as assistant
array. The synaptic weights in the neural network are represent- professor and was promoted to associate professor in 2014.
ed by the conductance of ReRAM cells. In this way, the He is now a bicentennial alumni faculty fellow and codirec-
crosspoint array conducts both network configuration (storage) tor of the Evolutionary Intelligence Lab in the Electrical
and computation. The output of the array will be sensed out by and Computer Engineering Department, focusing on the
periphery circuitry and transferred to the recognition result. research of nonvolatile memory and storage systems, neuro-
The mechanism was first proposed by Hu et al., and a pattern morphic computing, and mobile applications. He has pub-
recognition example was implemented in analog computation lished more than 280 journal and conference articles and
format [8]. Later on, spiking neuromorphic systems by lever- holds 90 U.S. patents.
aging a ReRAM crosspoint array was reported [9]. A neuro- Chenchen Liu (chl192@pitt.edu) is a Ph.D. student in
morphic core 64k cell with phase change memory as a the Department of Electrical and Computer Engineering,
synaptic device was reported by IBM in 2015 [13]. This is University of Pittsburgh, Pennsylvania. She earned an M.S.
the new neuromorphic chip released by IBM after the True- degree in electronics and communication engineering from
North system reported in 2011, in which SRAMs were adopt- Peking University, China, in 2010. Her research interests
ed for a synaptic device [35]. Very recently, scientists from include nonvolatile memory design, neuromorphic archi-
HP Labs reported a dot-product engine based on the 1T1R tecture for brain-inspired computing systems, and appli-
crosspoint array [10]. cation-specific integrated circuit and system-on-a-chip
hardware design.
CONCLUSIONS AND FUTURE DIRECTIONS John Paul Strachan (john-paul.strachan@hpe.com)
The ReRAM has been widely investigated in recent years, earned his B.S. degree in physics and electrical engineering
with continuous scaling down of nanotechnology. A 3-D from the Massachusetts Institute of Technology in Cambridge
ReRAM crosspoint array with or without switching cells is and a Ph.D. degree in applied physics from Stanford Univer-
widely developed and fabricated. Furthermore, new logic sity, California, in 2001 and 2007, respectively. He is a
and computing architectures are enabled by the similar researcher and manager at Hewlett Packard Labs in Palo
behavior of ReRAM devices and biological synapses and the Alto, California. He has published more than 45 peer-
nature mapping of ReRAM crosspoint array and matrix- reviewed articles and holds over 25 patents. His interests
vector computation. include studying novel electronic and ionic effects and taking
For future electronic devices, ReRAM technology is advantage of them for advanced computing and memory
expected to have great potential for data storage and informa- applications. He also enjoys finding new ways to probe mate-
tion processing. For example, it can be leveraged across all the rial and electronic properties at the nanoscale.
layers along memory hierarchy—used as on-chip caches; serv- Noraica Davila (noraica.davila@hpe.com) earned her
ing as memory replacement for big data analytics, in-memory B.S. degree in electrical engineering in 2011 from the Uni-
databases, and relational databases; adopted in massive storage versity of Puerto Rico–Mayagez, where she also completed a
devices, and so on. The ReRAM technology also inspires certification in materials science; she earned a Ph.D. degree
brain-like computing systems, which could result in a revolu- in electrical engineering in 2015 from Michigan State Uni-
tionary change in the making of future electronic devices. versity in East Lansing, studying infrared electro-thermo-
optical devices based on vanadium dioxide. She is a
ABOUT THE AUTHORS postdoctoral researcher at Hewlett Packard Labs and has
Hai (Helen) Li (hal66@pitt.edu) is an associate professor with more than 20 peer-reviewed journal and conference publica-
the Department of Electrical and Computer Engineering, Uni- tions and holds four patents. Her research interests include
versity of Pittsburgh, Pennsylvania. Previously, she was with new materials and processes, memristor and semiconductor
Qualcomm Inc., Intel Corp., Seagate Technology, and Poly- devices, c­ omputation hardware, microsystems and optical
technic Institute of New York University. Her research interests systems for materials, and device characterization.
include memory design and architecture, neuromorphic archi-
tecture for brain-inspired computing systems, and architecture/
REFERENCES
circuit/device cross-layer optimization for low power and high [1] Crossbar Inc. [Online]. Available: http://www.crossbar-inc.com/
performance. She has had more than 160 technical articles assets/resource/image/High-Capacity-High-Performance-Non-Volatile-
published in refereed journals and conferences and 76 U.S. Memory.jpg

102 IEEE Consumer Electronics Magazine ^ january 2017


[2] F. Masuoka, M. Asano, H. Iwahashi, T. Komuro, and S. Tanaka, “A [20] C. Liu and H. Li, “A weighted sensing scheme for ReRAM-based
new flash E2PROM cell using triple polysilicon technology,” in Proc. cross-point memory array,” in Proc. 2014 IEEE Computer Society Annu.
1984 Int. Electron Devices Meeting, pp. 464–467. Symp. VLSI (ISVLSI), pp. 65–70.
[3] V. N. Kynett, A. Baker, M. L. Fandrich, G. P. Hoekstra, O. Jungroth, [21] C. Xu, P. Chen, D. Niu, Y. Zheng, S. Yu, and Y. Xie, “Architecting 3-D
J. A. Kreifels, S. Wells, and M. D. Winston, “An in-system reprogramma- vertical resistive memory for next-generation storage systems,” in Proc.
ble 32 K × 8 CMOS flash memory,” IEEE J. Solid-State Circuits, vol. 23, 2014 IEEE/ACM Int. Conf. Computer-Aided Design (ICCAD), pp. 55–62.
no. 5, pp. 1157–1163, Oct. 1988. [22] I. G. Baek, C. J. Park, H. Ju, D. J. Seong, H. S. Ahn, J. H. Kim, M.
[4] H. Akinaga and H. Shima, “Resistive random access memory K. Yang, S. H. Song, E. M. Kim, S. O. Park, C. H. Park, C. W. Song, G. T.
(ReRAM) based on metal oxide,” Proc. IEEE, vol. 98, no. 12, pp. 2237– Jeong, S. Choi, H. K. Kang, and C. Chung, “Realization of vertical resis-
2251, Dec. 2010. tive memory (VRRAM) using cost effective 3-D process,” in Proc. 2011
[5] R. Waser, R. Dittmann, G. Staikov, and K. Szot, “Redox-based resistive IEEE Int. Electron Devices Meeting (IEDM), pp. 31.8.1–31.8.4.
switching memories–nanoionic mechanisms, prospects, and challenges,” [23] H. Y. Chen, S. Yu, B. Gao, P. Huang, J. Kang, and H. S. P. Wong,
Adv. Mater., vol. 21, no. 25/26, pp. 2632–2663, 2009. “Hf Ox based vertical resistive random access memory for cost-effective
[6] D. B. Strukov, G. S. Snider, D. R. Stewart, and R. S. Williams, “The 3-D cross-point architecture without cell selector,” in Proc. 2012 IEEE
missing memristor found,” Nature, vol. 453, no. 12, pp. 28–35, 2008. Int. Electron Devices Meeting (IEDM), pp. 20.7.1–20.7.4.
[7] L. O. Chua, “Memristor—The missing circuit element,” IEEE Trans. [24] S. E. Ahn, B. S. Kang, K. H. Kim, M. J. Lee, C. B. Lee, G. Stefa-
Circuit Theory, vol. 18, pp. 507–519, Sept. 1971. novich, C. J. Kim, and Y. Park, “Stackable all-oxide-based nonvolatile
memory with antifuse and diode,” IEEE Electron Device Lett., vol. 30,
[8] M. Hu, H. Li, Q. Wu, and G. S. Rose “Hardware realization of BSB
no. 5, pp. 550–552, May 2009.
recall function using memristor crossbar arrays,” in Proc. Design Automation
Conf. (DAC), 2012, pp. 498–503. [25] M. J. Lee, Y. Park, B. S. Kang, S. E. Ahn, C. Lee, K. Kim, W. Xianyu,
G. Stefanovich, J. H. Lee, S. J. Chung, Y. H. Kim, C. S. Lee, J. B. Park, I.
[9] C. Liu, B. Yan, C. Yang, L. Song, Z. Li, B. Liu, Y. Chen, H. Li, Q.
G. Baek, and I. K. Yoo, “2-stack 1D-1R cross-point structure with oxide
Wu, and H. Jiang, “A spiking neuromorphic design with resistive cross-
diodes as switch elements for high density resistance RAM applications,” in
bar,” in Proc. Design Automation Conf. (DAC), 2015, pp. 1–6.
Proc. 2007 IEEE Int. Electron Devices Meeting (IEDM), pp. 771–774.
[10] M. Hu, J. P. Strachan, Z. Li, E. M. Grafals, N. Davila, C. Graves,
[26] P. Sun, N. Lu, L. Li, H. Wang, H. Lv, Q. Liu, S. Long, S. Liu, and
S. Lam, N. Ge, J. Yang, and R. S. Williams, “Dot-product engine for
M. Liu, “Thermal crosstalk in 3-dimensional RRAM crossbar array,” Sci.
neuromorphic computing: Programming 1T1M crossbar to accelerate
Rep. vol. 5, p. 13504, Aug. 2015.
matrix-vector multiplication,” in Proc. Design Automation Conf.
(DAC), 2016, pp. 1–6. [27] S. H. Jo, T. Kumar, S. Narayanan, W. D. Lu, and H. Nazarian,
[11] C. Liu, Q. Yang, B. Yan, J. Yang, X. Du, W. Zhu, H. Jiang, Q. Wu, M. “3-D-stackable crossbar resistive memory based on field assisted super-
Barnell, and H. Li, “A memristor crossbar based computing engine linear threshold (FAST) selector,” in Proc. 2014 IEEE Int. Electron
optimized for high speed and accuracy,” in Proc. 2016 IEEE Computer Devices Meeting (IEDM), pp. 6.7.1–6.7.4.
Society Annu. Symp. Very Large Scale Integration (ISVLSI), pp. 110–115. [28] J. Hruska. (2014, Dec. 22). Resistive RAM nears launch: Still the
[12] M. Prezioso, F. Merrikh-Bayat, B. D. Hoskins, G. C. Adam, K. K. most likely candidate to replace NAND flash. EXTREMETECH. [Online].
Likharev, and D. B. Strukov, “Training and operation of an integrated Available: http://www.extremetech.com/computing/196335-resistive-ram-
neuromorphic network based on metal-oxide memristors,” Nature, vol. nears-launch-still-the-most-likely-candidate-to-replace-nand-flash
521, pp. 61–64, May 2015. [29] “Stand-alone 3D RRAM products targeting data storage solutions,”
[13] S. Kim, M. Ishii, S. Lewis, T. Perri, M. BrightSky, W. Kim, R. Jordan, Crossbar Inc. Tech. Rep. [Online]. Available: http://www.crossbar-inc.
G. W. Burr, N. Sosa, A. Ray, J. P. Han, C. Miller, K. Hosokawa, and C. com/products/3-D-rram/
Lam, “NVM neuromorphic core with 64k-cell (256-by-256) phase change [30] J. Hruska. (2015, July 28). Intel, Micron reveal Xpoint, a new mem-
memory synaptic array with on-chip neuron circuits for continuous in-situ ory architecture that could outclass DDR4 and NAND. EXTREME-
learning,” in Proc. 2015 IEEE Int. Electron Devices Meeting (IEDM), pp. TECH. [Online]. Available: http://www.extremetech.com/extreme
17.1.1–17.1.4. /211087-intel-micron-reveal-xpoint-a-new-memory-architecture-that-
[14] M. Rozenberg. (2011). Resistive switching. [Online]. Available: claims-to-outclass-both-ddr4-and-nand
http://www.scholarpedia.org/article/Resistive_switching [31] P. Brown. (2015, July 31). SSD manufacturers will not be threat-
[15] C. Liu, Y. Chen, and H. Li, “Neural processor design enabled by ened by 3-D XPoint storage…yet. Electronics 360. [Online]. Available:
memristor technology,” in Proc. IEEE Int. Conf. Rebooting Computing http://electronics360.globalspec.com/article/5535/ssd-manufacturers-
(ICRC), coming. will-not-be-threatened-by-3-D-xpoint-storage-yet
[16] J. Liang and H.-S. P. Wong, “Cross-point memory array without [32] Forward Insights. (2015, Nov.). Storage class memory: Coming to a
cell selectors—Device characteristics and data storage pattern depen- datacenter near you. Forward Insights. [Online]. Available: http://www.
dencies,” IEEE Trans. Electron Devices, vol. 57, no. 10, pp. 2531–2538, forward-insights.com/report86.html
Oct. 2010. [33] Y.-C. Chen, W. Wang, H. Li, and W. Zhang, “Non-volatile 3-D
[17] P. Vontobel, W. Robinett, P. J. Kuekes, D. R. Stewart, J. Straznicky, stacking RRAM-based FPGA,” in Proc. 22nd Int. Conf. Field Program-
and R. S. Williams, “Writing to and reading from a nano-scale crossbar mable Logic and Applications (FPL), 2012, pp. 367–372.
memory based on memristors,” Nanotech., vol. 20, no. 42, p. 425,204, [34] C. C. Liu, Q. Yang, C. Zhang, H. Jiang, Q. Wu, and H. Li, “A
2009. memristor based neuromorphic engine with a current sensing scheme
[18] H. Manem, G. S. Rose, X. He, and W. Wang, “Design consider- in artificial neural network application,” in Proc. 2017 22nd Asia
ations for variation tolerant multilevel CMOS/nano memristor memory,” and South Pacific Design Automation Conf. (ASP-DAC), submitted
in Proc. 20th Great Lakes Symp. Very Large Scale Integration , 2010, for publication.
pp. 287–292. [35] P. Merolla, J. Arthur, F. Akopyan, N. Imam, R. Manohar, and D. S.
[19] M. S. Qureshi, M. Pickett, F. Miao, and J. P. Strachan, “CMOS Modha, “A digital neurosynaptic core using embedded crossbar memory
interface circuits for reading and writing memristor crossbar array,” with 45 pJ per spike in 45 nm,” in Proc. 2011 IEEE Custom Integrated
in Proc. 2011 IEEE Int. Symp. Circuits and Systems (ISCAS), Circuits Conference (CICC), pp. 1–4.

pp. 2954–2957.

january 2017 ^ IEEE Consumer Electronics Magazine 103

Das könnte Ihnen auch gefallen