Sie sind auf Seite 1von 12

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO.

1, JANUARY 2010 93

Statistical Design of the 6T SRAM Bit Cell


Vasudha Gupta and Mohab Anis, Member, IEEE

Abstract—In this paper, a method for the statistical design of variations, which, in turn, are related to the bit-cell transistor di-
the static-random-access-memory bit cell is proposed to ensure a mensions. Therefore, to meet the specifications for all the bit-
high memory yield while meeting design specifications for perfor- cell design metrics (some of which are conflicting) in the min-
mance, stability, area, and leakage. The method generates the nom-
inal design parameters, i.e., the widths and lengths of the bit-cell
imum possible area, the widths and lengths of the bit-cell tran-
transistors, which provide maximum immunity to the variations sistors must be chosen optimally [12]. The impact of variability
in a transistor’s dimensions and intrinsic threshold-voltage fluc- on the design metrics should be considered up front during the
tuations. Moreover, the need to deviate from the conventional bit- design phase to maximize yield.
cell sizing strategy to obtain a high-yield low-leakage design in the However, the current industrial practice is to first develop a
nanometer regime is demonstrated. database, by simulations, which characterizes the design met-
Index Terms—Circuit optimization, design methodology, static- rics for various transistor sizes. This is used to carefully choose
random-access-memory (SRAM) chips. the sizes of the bit-cell transistors. Monte Carlo (MC) simula-
tions are run for the chosen design to verify if variations in the
design metrics such as the SNM are within the desirable bounds.
I. INTRODUCTION
The chosen design is updated, and MC simulations are run it-
URRENTLY, more than 50% of the area of system-on-
C chip designs is occupied by embedded memory [1]. This
is due to the increasing integration of functional blocks that re-
eratively, until all the design metrics meet the specifications.
Another approach is to develop analytical functions for the de-
sign metrics, as a function of the device sizes. These are then
quire large memories for data manipulation and storage. The use deployed to choose nominal transistor sizes. These procedures
of minimum-size transistors in static random access memories have many drawbacks. The characterization, through simula-
(SRAMs), along with technology scaling, increases the intrinsic tions, is quite time consuming. These methods are iterative and
variability, causing a large deviation in the transistor proper- rely on manual transistor-size selection. Moreover, the chosen
ties such as the threshold voltage . This and the varying design may not be optimal, it may be an overdesign with larger
transistor dimensions adversely impact the yield of SRAMs. area. In this paper, a systematic statistical design method to de-
Memory yield is the percentage of the number of bit cells that termine the optimal size of the bit-cell transistors is proposed.
meet all the functionality and performance requirements, even The proposed framework is capable of eliminating the charac-
under variability. For an SRAM, the bit cell is functional if it al- terization step completely. Currently, the electrical bit-cell de-
lows for a nondestructive read and a successful write. Tradition- sign in the industry takes about two to three weeks or even more.
ally, these have been evaluated as static noise margin (SNM) and However, with the proposed method, the optimal design can be
write trip voltage (Vtrip), respectively. The performance con- obtained in a day or two.
straints are the desired memory speed and leakage. The various causes of variability in transistor dimensions are
It should be noted that the SRAM design involves the evalu- subwavelength lithography, proximity effects, etc. [2], [3]. The
ation of several bit-cell architectures and layout topologies for intrinsic variability is caused by the atomistic-level differences
process–layout interactions. It also requires the choice of SRAM between the devices, even though they might have identical lay-
specific physical design rules and the assessment of their robust- outs and environments. This manifests primarily as variations
ness. At this level, process developers are involved. However, in the device . The main reasons for variations are fluc-
this phase of the bit-cell development is not analyzed in this tuations in the number and location of the dopant atoms in the
paper. This paper is of significance to the circuit designer, who channel [random dopant fluctuation (RDF)], line edge rough-
is involved in the ‘electrical’ design phase. This entails the op- ness, and oxide-thickness variations [4]. Of these, the most sig-
timal selection of the transistor sizes to avoid parametric failures nificant factor is observed to be the RDF [4], [5], [6], [8]. In addi-
such as destructive read, write, and access failures and excessive tion, the distribution due to RDF is normal, and the variance
leakage, which can occur due to variations in the transistor pa- is inversely proportional to the channel area. In [7], the
rameters. From now on, ‘bit-cell design’ refers to this electrical channel-area component of the for fabricated MOSFETs
design phase. is observed to be dominant.
In large measure, the variability in the bit-cell metrics, such Because of the intrinsic variations, the SNM, read current,
as the SNM, Vtrip, speed, and leakage, is caused by intrinsic and Vtrip are observed to have normal distributions [9]–[11].
Technology scaling has a twofold impact on the SRAM. First,
Manuscript received June 15, 2008; revised November 15, 2008. First pub- an increase in the due to scaling SRAM transistors causes
lished March 06, 2009; current version published January 22, 2010. . This paper the distributions of SNM, read current, and Vtrip to take a larger
was recommended by Associate Editor J. Pineda de Gyvez. sigma value. Second, the increase of the memory density at each
The authors are with the Department of Electrical and Computer Engineering,
University of Waterloo, Waterloo, ON N2L3G1, Canada (e-mail: vgupta@vlsi.
successive technology node requires the bit cell to tolerate a
uwaterloo.ca; manis@vlsi.uwaterloo.ca). larger number of sigma variations (e.g., – ) in the design
Digital Object Identifier 10.1109/TCSI.2009.2016633 characteristics [13] to ensure a satisfactory memory yield.
1549-8328/$26.00 © 2010 IEEE

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
94 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

However, little work has been done to propose a system-


atic framework to design the bit cell, while incorporating the
impact of the statistical variations in the design metrics, up
front. Methods to optimize one of the design metrics, e.g.,
read access or leakage, have been proposed [41]–[43], but
we could only find [12], which attempts to optimize the yield
considering all design metrics. Reference [12] uses the concept
of failure probability to choose the sizes of bit-cell transistors.
However, it ignores the joint failure probabilities (e.g., read
and speed failure occurring together) because of computational
complexity. Therefore, the obtained design solution need not
be optimal. On the other hand, in this paper, the bit-cell failures
are formulated as problem constraints. Because the solution
of the optimization problem requires that all the constraints
be satisfied simultaneously, the failure of the bit cell due to
the simultaneous occurrence of two or more reasons is also
accounted for. Additionally, [12] ignores interdie variations.
It also uses semianalytical models at three stages: 1) for the
transistor characteristics (such as the saturation current and
leakage); 2) for the design metrics such as the write time; and
3) for variability and yield. The modeling of the transistor
characteristics and design metrics not only induces approxima-
tion errors but also has limited usage in the industry, because
designers prefer available SPICE models. In this paper, the
proposed method does not use analytical modeling for either
the transistor characteristics or the design metrics. Modeling is
done only for variability and yield (i.e., stage 3). This reduces
approximation and makes the proposed method attractive and
practical for industrial usage.
In this paper, the proposed method provides nominal tran- Fig. 1. (a) 6T SRAM bit cell. (b) Sample SRAM bit-cell layout from [19].
sistor dimensions that provide the maximum immunity to the
intrinsic fluctuations due to RDF and the variability in the [17]. The bit cell is the most unstable (the least SNM) when the
transistor dimensions. It involves a minimal infrastructure for word line (WL) is turned ON for read. VL rises to an interme-
model building and mathematical computations and uses readily diate voltage level due to the voltage divider action between M1
available models and tools in the industry for simulation. More- and M5 and can flip the bit cell. Generally, the driver should
over, the proposed formulation imparts the necessary flexibility be stronger than the access transistor [12]–[14] to ensure a non-
to tune the design as per the specifications, as demonstrated destructive read since VL remains close to the ground. In this
in Section IV. High-performance moderate-leakage and low- paper, SNM is measured by dc simulation when .
leakage moderate-performance bit cells in the 45-nm CMOS 2) Vtrip: This is measured by dc simulation. To determine if
technology are designed and analyzed. It is shown that the con- the bit cell is writable, a dc sweep is applied on in Fig. 1(a),
ventional sizing is no longer sufficient to ensure a high yield for and the maximum bit-line voltage at which the bit cell flips is
a low-leakage bit-cell design. noted as the Vtrip [13], [14] or Vtrip. The bit cell should have
In Section II, the bit-cell design constraints are described. a reasonable value for the Vtrip [13] to guarantee that no unin-
Section III formulates the statistical design problem and de- tended write occurs during the read cycle and that write is not
scribes the yield optimization. The results and observations are too difficult. Typically, the access transistors should be stronger
discussed in Section IV. Section V concludes this paper. than the load devices.
3) Read Current: The bit-line current through the access and
II. PRELIMINARIES driver stack, i.e., M5 and M1 in Fig. 1(a), during the read cycle
is the performance metric for the bit cell [13]–[15]. To a large
A. Design Constraints extent, the read access time is governed by the time required
A typical 6T SRAM bit cell is shown in Fig. 1(a). M1 and M2 to develop a certain differential voltage between the bit lines as
are called drivers, M3 and M4 are load transistors, and M5 and one of them discharges during read. This is a function of the
M6 denote the access transistors. The output nodes of inverters bit-cell read current, which can also be measured by dc simula-
1 (M1 and M3) and 2 (M2 and M4) are called VL and VR, tion. During the read operation, leaks though the M6 tran-
respectively. For subsequent discussions, assume VL at logic sistor of idle bit cells (when in the idle bit cell) in
“0” and VR at logic “1.” A brief overview of the bit-cell design the column. If the voltage dips too much, it may cause er-
metrics, such as the SNM, Vtrip, read current, leakage, and area, roneous sensing. This can be prevented if the leakage is kept
follows. within reasonable limits. Therefore, to ensure a successful read,
1) SNM: SNM is the maximum static noise that the bit cell the designer also needs to carefully calculate the maximum tol-
can tolerate, while still maintaining reliable operation [13], [16], erable leakage limit.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
GUPTA AND ANIS: GUPTA AND ANIS: STATISTICAL DESIGN OF THE 6T SRAM BIT CELL 95

4) Bit-Cell Leakage: The leakage current in the bit cell is TABLE I


the primary contributor to the overall memory leakage. It is the WORST CASE OPERATING CONDITIONS FOR DESIGN CONSTRAINTS
sum of various components, e.g., the subthreshold leakage, gate
leakage, and band-to-band tunneling. In this paper, the total bit-
cell leakage, measured by dc simulation, is considered.
5) Area: The bit cell can have different types of layout
[12], [18], [19]. Researchers at IBM [21], Intel [22], and
Texas Instruments Incorporated [23] have proposed Restrictive
Design Rules (RDRs) such as single-orientation poly-silicon B. Preparatory Work
gates, resulting in geometries that are more regular with en-
hanced manufacturability [20]. Some of these have already The bit-cell design is constrained by the specifications for
been adopted as best practices for memory [23]. The layout SNM, Vtrip, read current, and leakage. Each of these should
topology in 45-nm technology from [19] is used in this paper be satisfied for a range of operating parameters (voltage and
and reproduced in Fig. 1(b). The corresponding tight design temperature), design parameters (transistor width/length), and
rules are also mentioned in [19]. The and dimensions of the statistical parameters (process fluctuations such as ).
bit-cell layout are calculated as a function of the layout rules as 1) Operating Parameters: These are often more critical and
follows: can be accounted for by evaluating the design metrics at their
respective worst case operating conditions. For example, the
leakage is the worst at high temperature (subthreshold leakage
being the primary component) and high supply voltage. Simi-
larly, read current should be simulated at the performance corner
to meet the timing goal. The number of performance corners
and the voltage and temperature for each performance corner
are determined by the intended set of applications, e.g., for mo-
bile applications, the operating temperature is lower than that
for high-performance applications. The performance corner in
this paper has low voltage and high temperature (for worst read
current). Table I documents the worst case operating conditions
(low or high) for all the design metrics.
Most of the behavior in Table I should remain the same for all
technologies. The worst case voltage condition for SNM (when
) is interesting for the 45-nm technology. At high
voltage and high temperature, the SNM begins to degrade as VR
storing “1” leaks excessively through M2. This behavior can be
(1) arrested, if the cell ratio (the ratio of the of the driver to
that of access) is increased, as shown in Fig. 2. With a higher ,
In Fig. 1(b), all diffusion contacts have a diffusion layer un- VL storing “0” remains closer to ground (stronger “0”), and the
derneath (not visible), but there is no diffusion layer overhung gate voltage of M2 reduces, thereby shutting it off more effec-
around the contact. This is because the design rules for SRAM tively. However, since the proposed solution explores the entire
are scaled beyond those of standard logic—process design rules space of the allowable transistor sizes, SNM is simulated at both
and several design rules are violated within the array to achieve high and low voltages. A nominal supply voltage of 1 V is as-
a competitive area [38]. Post-layout lithographic correction is sumed, and predictive technology models are employed in the
used to ensure a robust layout [39]. The rectangular contacts are 45-nm technology [28].
the coupled contacts, which are used to strap poly and diffusion 2) Design Parameters: These are the widths and lengths of
for cross-coupling without using metal [38]. It should be noted the driver, access, and load transistors. In this paper, the interdie
that (1) can be easily modified to formulate the and dimen- variations in the widths and lengths of transistors are consid-
sions of any different layout topology. ered. Since the gate length impacts significantly, interdie
The bit-cell area is very important from the economic per- threshold-voltage variations are also accounted for implicitly
spective. For a good SNM, the driver needs to be stronger than [24]. According to the International Technology Roadmap for
the access transistor. However, the access transistor cannot be Semiconductors (ITRS) [32], the gate-dimension variations have
made too small since this degrades the read current. Addition- a value of 12% of the physical gate length. With a physical
ally, the access transistor needs to be reasonably strong to enable gate length of 25 nm in the 45-nm technology [12], [32], the
a successful write. The strength of the load can be reduced to variation in the gate dimension is selected as 3 nm (a different
improve the Vtrip, but a very weak load deteriorates the SNM, transistor length can be chosen for the design, but the varia-
although the impact is small. The lengths of the driver and ac- tion remains fixed at 3 nm).
cess can be reduced to improve the read performance, but this 3) Statistical Parameters: is the most significant statis-
adversely impacts the leakage, which has become a serious con- tical parameter. Because of the small area of the SRAM bit cell,
cern these days. The problem is further compounded because of the close proximity of the transistors, the use of restricted de-
process variations. The aforementioned discussion outlines the sign rules, a highly regular layout, and fairly controlled process
bit-cell design problem. for the array fabrication, the effect of intradie variations in the

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
96 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Fig. 3. SNM (in volts) distribution as obtained from MC simulations.

metrics must be estimated. This can be done by using the Taylor


series expansion as follows [11], [12], [40]:

Fig. 2. SNM variation with voltage and temperature. (a) = 1. (b) = 1:3.
channel length and width is negligible [12]. Therefore, in this
paper, the intrinsic variation due to RDF is considered as
the main source of intradie variation. (3)
The variations of six transistors are considered to be six
independent and uncorrelated Gaussian random variables [10],
[12]. Moreover, —the standard deviation of the dis-
tribution of a minimum-sized transistor—is an input parameter.
is usually available in the process development kits of
vendors. Then, the for a transistor of width and length
is related to the transistor size as follows [4], [12]:

(2)

At the circuit-design level, the designer can specify only the (4)
nominal values of the geometrical transistor dimensions (de-
sign parameters) and has little control over statistical parameters
such as the variations due to mismatch. However, as shown Equations (3) and (4), contain the of the driver, access,
by (2), the choice of design parameters is used to control the ex- and load transistors, which can be calculated by (2). is
tent of device mismatch. the simulated SNM at mean values. The partial derivative
terms are also computed at mean values numerically by sim-
III. PROBLEM FORMULATION ulation. In the aforementioned two equations, the term ‘SNM’
can be replaced by Vtrip, Iread, or leakage.
A. Intradie Variation The results of modeling have been verified by MC simula-
To consider the impact of intrinsic fluctuation due to RDF, tions. For example, the SNM average and standard deviation
a conservative method is to calculate the worst case change from MC simulations are 131.8 and 22.1 mV, respectively
for each of the six transistors (e.g., sigma) and evaluate (Fig. 3). The corresponding estimated values from modeling
the design constraint for this case [34]. However, this approach are 132.1 and 21.6 mV, respectively. Similarly, Fig. 4(a) shows
overestimates variability and gives poor results in terms of bit- that the average leakage and standard deviation, from the 45-nm
cell area. Therefore, the statistical approach is applied in this bit-cell leakage MC results, are 77.2 and 8.9 nA, respectively.
paper to model the intradie variations. The estimated values from modeling are 77.7 and 8.57 nA, re-
Because of the intrinsic variations, the design met- spectively. For our purpose of modeling, this level of accuracy
rics—SNM, Vtrip, and read current—exhibit Gaussian distri- is sufficient.
butions [13]. To statistically model the impact of the intrinsic The coefficient of on the right-hand side (RHS) of (4)
variations due to RDF, on the design metrics, the statistical contains the slopes of SNM versus and . These will be
average and variance of the Gaussian distributions of the design interchanged if the opposite data value (1 instead of 0) is stored

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
GUPTA AND ANIS: GUPTA AND ANIS: STATISTICAL DESIGN OF THE 6T SRAM BIT CELL 97

Fig. 5. Pictorial representation of the SNM design constraint.

leakage of a sufficiently large number of bit cells normally [12].


Fig. 4 shows that the sum of the leakages of 16 bit cells ( of
every transistor in each of the 16 bit cells is a random variable)
displays a normal distribution. The use of 16 bit cells is reason-
able, since the deployment of memories as storage elements is
justified for only a certain minimum number of bits, because
of the associated overhead of the peripherals in memories. The
mean and sigma values of the sum of the leakages of 16 bit cells
is given as follows [40]:
Fig. 4. Leakage (in microamperes) distribution histogram and normal proba-
bility plot for (a) single-memory bit cell and (b) the sum of the leakages of 16
bit cells.
- -
- - (6)
in the bit cell, but the overall coefficient of and, hence, Now, the total leakage of 16 bit cells can be treated as a design
will remain the same, irrespective of the data value stored metric, and the corresponding constraint can be expressed as
in the bit cell.
- - -
Within-die variations cause each memory bit cell of millions , where can range from 4 to 5, depending on
in an array to differ slightly from all the others in the SNM, read the desired yield. Moreover, -
current, Vtrip, and leakage. The number of identical bit cells
- .
and the expected electrical yield to the specifications determine
the number of sigma , over which the bit cell must operate B. Yield Maximization
properly [11], [13]. Typically, the number of sigmas required
for the SNM, Vtrip, read current, and bit-cell leakage ranges The within-die threshold-voltage variations and the de-
from 4 to 5. This is used in the proposed problem formulation sign constraints are a function of the transistor sizes, as
to incorporate the effect of intradie variations. The constraints shown by (2)–(4). The transistor sizes (design parame-
of the optimization problem take the following form: ters)— —define a 6-D
parameter space. Within this parameter space, the design con-
straints (5) modeling within-die variations define a feasible
(5) region. The nominal design should be chosen somewhere in
this feasible region, so as to best satisfy the design constraints.
In (5), a constraint is put on the SNM yield instead of the At the same time, the variations in the transistor dimensions
SNM value. The value of is selected according to the re- should also be considered. This can be done as follows.
quired yield and redundancy. Both the and are If the spread of the design parameters is known (e.g.,
impacted by the choice of the nominal design parameters, as ob- value of normally distributed widths and lengths), the nominal
served from (2) to (4). The constraints for Vtrip and read current design can be specified within a certain imaginary box, called
are formulated in a similar way. the tolerance box. This is shown graphically in two dimensions
The constraint bounds, also called residuals, such as the (for illustration) in Fig. 6 for an arbitrary feasible region defined
in (5), impart the necessary flexibility to design by arbitrary constraints. The dimensions of the tolerance box are
different types of the bit cell. Such bounds on the read current determined by the spread of the design parameters. The center
(lower limit) and leakage (upper limit) enable the design of of the box is the nominal design (since the design parameters
a bit cell with a high or moderate performance and a low or are assumed to have a normal distribution, hence symmetrical).
ultralow leakage. The residuals on SNM and Vtrip are used to The smaller dots within this box represent all the possible de-
build margin for reliability. The constraint is shown in Fig. 5. sign realizations. The fraction of the area of the tolerance box
However, the bit-cell leakage does not have a normal distri- that overlaps the feasible region determines the yield. The tol-
bution with the variation, and (5) cannot be used directly. erance box should be moved (the nominal design moves with
Leakage has a lognormal distribution because of the exponential it) so as to ensure the maximum overlap of the box and the fea-
relationship of subthreshold leakage with the threshold voltage sible region (region of widths and lengths), which satisfy all the
(subthreshold leakage is the primary component). The usage of design constraints of within-die variations (5), thus maximizing
the central limit theorem [40] helps to model the sum of the the overall yield. The inner box in Fig. 6 captures the maximum

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
98 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

(7)

where is the th design parameter. The solution of (7) in-


volves the evaluation of a multidimensional probability integral
by quadrature or MC-based methods, which is computationally
expensive [25]. However, the problem is simplified if a closed-
form expression for CDF can be used. Because a Gaussian dis-
tribution does not have a closed-form CDF, a double-bounded
probability distribution function (DB-PDF), proposed by Ku-
maraswamy [26] is employed. This is appropriate for physically
bounded dimensions. With this model, the PDF is given by

Fig. 6. Simplified yield maximization method in two dimensions.


where (8)

rectangular overlap that can be attained between the feasible re- In (8), is the normalized value of , and and are the
gion and the tolerance box and can be used to estimate the yield lower and upper bounds, respectively, of the DB random vari-
directly [37]. In six dimensions (which is the case of bit-cell able . and are the shape parameters, and distributions such
design problem), the 6-D volume of the inner box, henceforth as uniform, triangular, and Gaussian can be obtained by using
called the yield box, defines the yield. different values of and . A truncated Gaussian shape is used
In this paper, the feasible region is determined implicitly, i.e., in this paper with variation as the design spread around the
whether a design point lies in the feasible region is determined nominal design . Therefore
by simulations and by checking if all the design constraints are
met. The typical design centering approach needs an explicit
feasible region, i.e., the design constraints should be expressed (9)
as analytical expressions. This is not always possible and re- In (9), represents the maximum spread on the design param-
quires either a polytope approximation or simulations for curve eter . The closed-form DB-CDF can be obtained by integrating
fitting the data in the region of interest, which becomes difficult and is given by
with the increase in the number of dimensions [25].
Qualitatively, the problem is reduced to finding and , the (10)
coordinates of the yield box in Fig. 6, such that the following
conditions are satisfied: 1) the maximum difference between Due to the symmetrical nature of the distribution of the design
and is not more than the maximum spread in design parame- parameters, the final optimized design solution is the center of
ters (the yield box should lie within the tolerance box) and 2) the the yield box and is computed as
yield box lies in the feasible region (all the points lying within
the box satisfy all the design constraints that cover within-die (11)
variations).
If the aforementioned conditions are met, then for the nom- Therefore, by using DB-CDF and (7), (10) and (11)
inal design placed at the center of the yield box, the probability
(yield) that the design constraints are satisfied in the presence of
parameter variations is estimated in two dimensions (for illus-
trative purposes) as follows:

- and

where , , , and form the coordinates of the


yield box (in two dimensions as in Fig. 6) and rep-
resents the cumulative distribution function of [33]. In six di-
mensions (which is the case of our problem) (12)

Equation (12) gives the probability of finding a design solu-


tion in the 6-D yield box, given the probability distributions of

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
GUPTA AND ANIS: GUPTA AND ANIS: STATISTICAL DESIGN OF THE 6T SRAM BIT CELL 99

 

Fig. 8. CDF plot of deviation in across dies. is a measure of the
within-die variation. It is observed that nearly 99.3% of the dies have their
6
within 1 mV of the nominal value.

be checked only at . To check this, 15 000 points are generated


with variation in the transistor dimensions around a nominal
design. Around a few of these design variants, a population of
5000 points with random variation in all six transistors is
Fig. 7. (a) SNM variation with W and W . (b) Constraint minimization.
generated. The value obtained from SNM simulations at
these 5000 points matches very well with the computed
using (2) and (4). Therefore, (2) and (4) can be reliably used to
the widths and lengths of the transistors. Maximizing this would evaluate at each of the 15 000 design variants. The results,
maximize the yield. shown in Fig. 8, indicate that 99.3% of the points have the SNM
Equation (12) expresses the yield as a function of and , sigma within 1 mV of the nominal value. This implies
the coordinates of the yield box. Our intent is to widen the di- that, for the interdie variants around ( , , and so on),
mensions of the yield box to approach the tolerance box. While can be assumed to be the same, but if a different nominal design
doing this, the yield box should lie in the feasible region the such as is chosen [Fig. 7(b)], then the can change
entire time. To achieve this, as a first-order condition, it is suffi- appreciably and should be reevaluated.
cient to check the constraint violation at the extreme corners of In other words, the within-die variation remains the
the yield box, which are given by . For example, in two same for all dies. Thus, the constraint for within-die variation,
dimensions, there are corner points for the yield box, as in (5), can be evaluated only at the die which constitutes the
shown in Fig. 7(b). Here, and are the possible nominal global worst case corner (worst ) such as the point
design solutions (center of the yield box). – are the corners in Fig. 7(b) in two dimensions. The same has been observed in
of the yield box around . In six dimensions, for each choice [35].
of the nominal design, it is required to simulate at
corners for each design constraint to ensure that the yield box C. Final Optimization Problem
lies in the feasible region. However, this number can be reduced
Fig. 9 shows the bit-cell design procedure in detail, with all
significantly by applying the design understanding, e.g., as the
the inputs, the objective function (yield), the design constraints,
driver transistor is made stronger, the SNM improves, but de-
and the steps to compute the design constraints.
grades with an increase in the strength of the access transistor,
A sequential-quadratic-programming-based optimizer [29]
as shown in Fig. 7(a). Clearly, the SNM should be checked at
is used to solve the constrained optimization problem in six di-
only in two dimensions, as shown in Fig. 7(b).
mensions. The BSIM4-based simulator with predictive 45-nm
For the other three corners, the SNM is only going to be better.
technology models [30], [31] has been used. However, the
Using this approach, the number of constraint evaluation cor-
method proposed in this paper can be applied to any tech-
ners can be minimized. By using constraint minimization in six
nology.
dimensions, the total number of constraint evaluations for every
choice of the nominal design is reduced to six. Finally, the fol-
lowing additional constraints are added: IV. RESULTS AND DISCUSSION
HSPICE template files were prepared to simulate the bit cell
(13) for the SNM, Vtrip, read current, and leakage. The optimization
(14) engine dynamically provides the lengths and widths of the load,
driver, and access transistors to these templates for simulation to
Consider Fig. 7(b) again. For the chosen nominal design , obtain updated values for the design constraints. This continues
it is considered sufficient to evaluate at , because until the optimizer arrives at an optimal set of the sizes for the
SNM is the worst at this point. and all other design points on bit-cell transistors. The following setup has been used in this
or within the yield box occur because of the interdie variation paper.
in the transistor dimensions. Since also varies with the 1) m , and mV[11]. Since
transistor dimensions, it is not clear if the constraint in (5) can different design constraints are evaluated at different worst

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
100 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

TABLE II
GENERAL-PURPOSE HIGH-PERFORMANCE DESIGN. OPTIMIZATION RESULTS FOR VARYING READ-CURRENT BOUNDS WITH Ileak = 60 nA

cells per 1000 fall outside the range [13]. The chosen
mathematically corresponds to a single bit-
cell failure in an array of . Typically, the largest
size of an embedded SRAM block is 256 kbits [33]; for
larger blocks, performance begins to degrade. Therefore,
the chosen value of covers a wide range of embedded
memory sizes. With redundancy, the designer can reduce
the value of .
3) The transistor dimension is altered in steps of 1 nm. This is
the step size available for altering the layout geometries in
the 45-nm technology. The ranges for transistor width and
length are set to 90–500 and 45–80 nm, respectively.
4) Usually, the required residuals for the SNM and Vtrip are
set to zero, and the designers attempt to obtain a value of
4–5 for [11]. However, in this paper, the SNM and Vtrip
residuals are selected as 15 and 25 mV, respectively, to set
a higher reliability margin. Note that these are the desired
bounds for the worst case voltage-temperature corners, as
in Table I.

A. General-Purpose High-Performance Design


With the previous fixed inputs, different performance (read
current) and leakage targets are used to design a general-purpose
bit cell on the high-performance moderate-leakage side. The re-
sults obtained in Table II and the associated trends are analyzed
to understand how the proposed method works. For a maximum
bit-cell leakage target of 60 nA (the conventional leakage values
mentioned in [36]), the read current residual is increased from
30 to 45 . The corresponding transistor sizes and bit-cell
areas are shown in columns 2 and 3 of Table II, respectively.
As explained in Section III-A, is a measure of the max-
imum intradie variation (within-die) that the design can tolerate.
This number, measured at the worst case interdie variant (global
corner), is used as a typical figure of merit in the industry for the
electrical yield (e.g., SNM yield and Vtrip yield). The output
for the respective design constraints are shown in columns 4–8.
The yield, mentioned in the last column, is obtained by running
MC simulations with 10 000 points, where Gaussian variation is
Fig. 9. Proposed bit-cell design procedure. applied to the widths, lengths, and threshold voltages of all six
transistors. The yield is equal to the percentage of bit cells that
case global corners, the designer can apply a different value satisfy the desired bounds for all the design constraints.
of for different constraints. Several interesting observations can be made from these
2) SNM, Vtrip, read current, and leakage cause failure only results. Fig. 10(a) shows the read-current and leakage values
on one side of the statistical variation. Therefore, 1.35 bit at the obtained nominal designs. Any gain in performance is

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
GUPTA AND ANIS: GUPTA AND ANIS: STATISTICAL DESIGN OF THE 6T SRAM BIT CELL 101

Fig. 10. For varying read-current residuals: (a) Nominal read current (in microamperes) and bit-cell leakage (in nanoamperes), (b) cell ratio and W =L , and
(c) nominal SNM (in millivolts).

accompanied by an increase in the nominal leakage. The initial


target of is relaxed and is achieved
with a small area. This target allows for a low nominal leakage
value, and the bound is not violated for
up to 14.536 sigmas (column 5 of Table II) of within-die
variation due to RDF at the worst case global corner. However,
as the read-current residual target is increased, the nominal
bit-cell leakage also increases (approaches ), and
fewer sigmas of within-die leakage variation can be tolerated
by the design. A similar trend is observed for the read-current
values (column 4). For a small , the chosen
nominal design can afford to have both the
and at a safe distance from their respective
bounds. However, with an increase in the , the
Fig. 11. Variation of the of driver, access, and load transistors (normal-
ized) with increasing read-current requirement.
has to be designed to be closer to ,
so that the value does not increase too much. as well as the SNM (column 6). It is evident that the pro-
Therefore, the constraint value for the read current also posed problem formulation works well to provide robust design
reduces progressively. The values for the four cases in solutions.
Table II are as follows: 5.25, 5.18, 5.42, and 5.77 .
Fig. 10(b) shows that the cell ratio reduces with the in- B. General-Purpose Low-Leakage Design
creasing read-current residuals. A higher read current can be A general-purpose bit cell on the moderate-performance low-
achieved by sizing up the driver and the access transistor. How- leakage side is also designed, and Tables III and IV summa-
ever, driver transistors contribute heavily to total leakage. As a rize the results. The resultant transistor sizes defy the conven-
result, the optimizer increases the strength of the access tran- tional sizing strategy for the bit cell. The low-leakage require-
sistor more than that of the driver. Another reason for this is the ment drives the optimizer to reduce the
chosen layout topology, in which the driver and the access are size of the driver significantly. This, however, considerably in-
parallel to each other. Therefore, for a chosen large driver, the creases the of the driver transistor and, thus, , which
width of the access transistor can be increased to some extent can potentially degrade the SNM yield unless the average SNM
without impacting bit-cell area (see (1) for and ). value is also raised. Consequently, the load transistor is sized
It is expected that reducing the cell ratio would have a detri- to be the largest to achieve a nominal SNM value of 152.2 mV
mental effect on the nominal SNM value. However, Fig. 10(c) (Table IV), which is larger than those shown in Fig. 10(c). It
shows that the SNM for the obtained design solutions degrades is difficult to analyze all the tradeoffs and manually arrive at
by only a few millivolts with the falling . The plot of the these transistor sizes. These results establish the benefits of the
of the load transistor in Fig. 10(b) explains this observation. A proposed method. A generic formulation of the bit-cell design
stronger PMOS not only results in a stronger “1” at VR but also optimization problem is presented in this paper. To take care
increases the gate drive of M1 for a stronger “0.” This improves of the specific foundry guidelines to minimize the layout-in-
SNM as well as read current. duced variations, different variables and additional constraints
Fig. 11 shows the variation in the of the bit-cell transis- can be introduced. For example, for the horizontal polysilicon of
tors. Because of the smaller channel area of the load transistor, the driver and load transistor [Fig. 1(b)], the designer can keep
the of the load has a much higher absolute value than that in order to get rid of the awkward polyshape
of the driver or access transistors. Therefore, even though the (L-shape) which will result because of different lengths for the
SNM sensitivity to the variation in the load transistor is rel- driver and the load. These modifications in the problem formu-
atively small, a reduction in the of the load helps to reduce lation, to a great extent, would depend on the chosen bit-cell
[from (4)]. Hence, increasing the strength of the load tran- layout topology and the extent of available advanced lithog-
sistor helps to mitigate the decline in the average SNM value raphy correction mechanisms.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
102 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Fig. 12. Yield and average values of SNM, Vtrip, read current, and bit-cell leakage obtained by MC simulations for the low-leakage bit cell. In every figure, only
one of the bit-cell dimensions is varied while the other dimensions are kept equal to the obtained optimal value.

TABLE III
LOW-LEAKAGE BIT-CELL DESIGN RESULTS. Iread = 10 A. average values of the design constraints when is varied
Ileak = 25 nA from 143 to 155 nm, while , ,
, , and . It is evident
that the yield degrades as the design point is moved away from
the obtained optimum.

V. CONCLUSION
In this paper, a statistical method to design the SRAM bit cell
has been proposed. The method accounts for the manufacturing
TABLE IV variability in the transistor dimensions and the intrinsic vari-
LOW-LEAKAGE BIT-CELL DESIGN RESULTS. Iread = 10 A.
Ileak = 25 nA ations due to RDF. In addition, the widths and lengths of the
transistors are chosen so as to satisfy the constraints of SNM,
Vtrip, read current, leakage, and area. The developed method
is flexible, involves a small infrastructure in terms of mathemat-
ical computations, and uses readily available models and tools in
the industry, so that the extent of approximation in the proposed
method is small. Robust bit-cell designs for high-performance
moderate-leakage and moderate-performance low-leakage con-
ditions have been developed and analyzed to demonstrate the
working of the proposed method. The method can be upgraded
Fig. 12 shows the variation of the MC yield in the neigh- to include the impact of other sources of variability such as the
borhood of the obtained low-leakage-design solution. oxide thickness fluctuation and line-edge roughness. It is nec-
and refer to SNM at low-voltage high-temperature and essary to evaluate how much these other sources impact the
high-voltage high-temperature conditions, respectively, as per threshold voltage of the transistor and how they can be mod-
Table I. Running the MC simulations to explore the entire eled mathematically.
search space in order to find the globally maximum yield point
is infeasible. Therefore, in Fig. 12, it is verified that the proposed ACKNOWLEDGMENT
optimization approach leads to, at least, a locally maximum The authors would like to thank the Associate Editor and the
yield. In each figure in Fig. 12, one design parameter is varied, reviewers who helped improve the quality of this paper. The au-
whereas the others are kept constant at the values mentioned in thors would also like to thank Prof. P. Kumaraswamy for pro-
Table III. For example, Fig. 12(a) shows the MC yield and the viding the preliminary optimization code.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
GUPTA AND ANIS: GUPTA AND ANIS: STATISTICAL DESIGN OF THE 6T SRAM BIT CELL 103

REFERENCES [22] P. Gelsinger, Keynote address to 41st DAC, 2004.


[23] [Online]. Available: http://www.pimrc2006.org/Buss_sLocosto.pdf
[24] A. Srivastava and D. Sylvester, “Statistical optimization of leakage
power considering process variations using dual-Vth and sizing,” in
[1] B. Cheng, S. Roy, and A. Asenov, “The impact of random doping ef- Proc. DAC, Jun. 2004, pp. 773–778.
fects on CMOS SRAM cell,” in Proc. ESSCIRC, 2004, pp. 219–222. [25] S. Director and P. Feldmann, “Optimization of parametric yield: A
[2] A. B. Kahng and Y. C. Pati, “Sub wavelength lithography and its po- tutorial,” in Proc. IEEE Custom Integr. Circuits Conf., 1992, pp.
tential impact on design and EDA,” in Proc. DAC, 1999, pp. 799–804. 3.1.1–3.1.8.
[3] M. Choi and L. Milor, “Impact on circuit performance of deterministic [26] P. Kumaraswamy, “A generalized probability density function for
within-die variation in nanoscale semiconductor manufacturing,” IEEE double-bounded random processes,” J. Hydrol., vol. 46, no. 1/2, pp.
Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 7, pp. 79–88, Mar. 1980.
1350–1367, Jul. 2006. [27] A. Seifi, K. Ponnambalam, and J. Vlach, “A unified approach to statis-
[4] K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. tical design centering of integrated circuits with correlated parameters,”
Nassif, E. J. Nowak, D. J. Pearson, and N. J. Rohrer, “High perfor- IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 46, no. 1, pp.
mance CMOS variability in the 65 nm regime and beyond,” IBM J. 190–196, Jan. 1999.
Res. Develop., vol. 50, no. 4/5, pp. 433–449, Sep. 2006. [28] Predictive Technology Models for 45 nm [Online]. Available: http://
[5] A. Asenov, “Random dopant induced threshold voltage lowering and www.eas.asu.edu/ptm
fluctuations in sub 50 nm MOSFETs: A statistical 3D atomistic simu- [29] T. F. Coleman and Y. Zhang, Optimization Toolbox for Use With
lation study,” Nanotechnology, vol. 10, no. 2, pp. 153–158, Jun. 1999. Matlab. Natick, MA: Math Works Inc., 2005.
[6] Y. Taur and T. Ning, Fundamentals of Modern VLSI Devices. Cam- [30] “HSPICE Manual,” Synopsys, 2003.
bridge, U.K.: Cambridge Univ. Press, 1998. [31] Univ. California, Berkeley, BSIM4.6 MOSFET Model Dec. 2006 [On-
[7] A. Keshavarzi and G. Schrom, “Measurements and modeling of in- line]. Available: http://www-device.eecs.berkeley.edu/~bsim3/bsim4.
trinsic fluctuations in MOSFET threshold voltage,” in Proc. ISLPED, html
2005, pp. 26–29. [32] International Technology Roadmap for Semiconductors 2006 [Online].
[8] X. Tang, V. De, and J. Meindl, “Intrinsic MOSFET parameter fluctua- Available: http://www.itrs.net/
tions due to random dopant placement,” IEEE Trans. Very Large Scale [33] J. M. Rabaey and A. Chandrakasan, Digital Integrated Circuits, a
Integr. (VLSI) Syst., vol. 5, no. 4, pp. 369–376, Dec. 1997. Design Perspective. Englewood Cliffs, NJ: Prentice-Hall, 2003, pp.
[9] D. Burnett, K. Erington, C. Subramanian, and K. Baker, “Implications 628–630.
of fundamental threshold voltage variations for high density SRAM [34] Y. Tsukamoto, K. Nii, S. Imaoka, Y. Oda, S. Ohbayashi, T. Yoshizawa,
and logic circuits,” in VLSI Symp. Tech. Dig., 1994, pp. 15–16. H. Makino, K. Ishibashi, and H. Shinohara, “Worst-case analysis to ob-
[10] A. J. Bhavnagarwala, X. Tang, and J. D. Meindl, “The impact of in- tain stable read/write DC margin of high-density 6T SRAM array with
trinsic device fluctuations on CMOS SRAM cell stability,” IEEE J. local Vth variability,” in Proc. Int. Conf. Comput.-Aided Des., 2005,
Solid-State Circuits, vol. 36, no. 4, pp. 658–665, Apr. 2001. pp. 398–405.
[11] F. Tachibana and T. Hiramoto, “Re-examination of impact of intrinsic [35] B. H. Calhoun and A. Chandrakasan, “Analysing static noise margin
dopant fluctuations on SRAM static noise margin,” Jpn. J. Appl. Phys., for sub-threshold SRAM in 65 nm CMOS,” in Proc. ESSCIRC, 2005,
vol. 44, no. 4B, pp. 2147–2151, Apr. 2005. pp. 363–366.
[12] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Modeling of failure [36] A. Goel and B. Mazhari, “Gate leakage and its reduction in deep-sub-
probability and statistical design of SRAM array for yield enhance- micron SRAM,” in Proc. Int. Conf. VLSID, 2005, pp. 606–611.
ment in nanoscaled CMOS,” IEEE Trans. Comput.-Aided Design In- [37] J. Jaffari and M. Anis, “Variability aware device optimization under Ion
tegr. Circuits Syst., vol. 24, no. 12, pp. 1859–1880, Dec. 2005. and leakage current constraints,” in Proc. ISLPED, 2006, pp. 119–122.
[13] R. Heald and P. Wang, “Variability in sub-100 nm SRAM designs,” in [38] R. Venkatraman and R. Castagnetti, “The design, analysis and devel-
Proc. IEEE/ACM ICCAD, 2004, pp. 347–351. opment of highly manufacturable 6-T SRAM bitcells for SoC applica-
[14] D. Redwine, “SRAM cell with independent static noise margin, trip tions,” IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 218–226, Feb.
voltage and read current optimization,” U.S. Patent 7 385 840, Jun. 10, 2005.
2008. [39] M. Craig and J. Petersen, “Robust methodology for state of the art em-
[15] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. bedded SRAM bitcell design,” Proc. SPIE, vol. 4692, pp. 380–389,
Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “SRAM design on 65-nm 2002.
CMOS technology with dynamic sleep transistor for leakage reduc- [40] A. Papoulis, Probability, Random Variables and Stochastic Process,
tion,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 895–901, Apr. 3rd ed. New York: McGraw-Hill.
2005. [41] H. Nho, S.-S. Yoon, S. S. Wong, and S.-O. Jung, “Numerical estimation
[16] E. Seevinck, F. J. List, and J. Lohstroh, “Static noise margin analysis of yield in sub-100-nm SRAM design using Monte Carlo simulation,”
of MOS SRAM cells,” IEEE J. Solid-State Circuits, vol. SSC-22, no. IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 9, pp. 907–911,
5, pp. 748–754, Oct. 1987. Sep. 2008.
[17] J. Lohstroh and E. Seevinck, “Worst-case static noise margin criteria [42] R. E. Aly and M. A. Bayoumi, “Low-power cache design using 7T
for logic circuits and their mathematical equivalence,” IEEE J. Solid- SRAM cell,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 4,
State Circuits, vol. SSC-18, no. 6, pp. 803–807, Dec. 1983. pp. 318–322, Apr. 2007.
[18] R. W. Mann, W. W. Abadeer, M. J. Breitwisch, O. Bula, J. S. Brown, B. [43] S. Cserveny, L. Sumanen, J.-M. Masgonty, and C. Piguet, “Locally
C. Colwill, P. E. Cottrell, W. T. Crocco, S. S. Furkay, M. J. Hauser, T. switched and limited source-body bias and other leakage reduction
B. Hook, D. Hoyniak, J. M. Johnson, C. M. Lam, R. D. Mih, J. Rivard, techniques for a low-power embedded SRAM,” IEEE Trans. Circuits
A. Moriwaki, E. Phipps, C. S. Putnam, B. A. Rainey, J. J. Toomey, Syst. II, Exp. Briefs, vol. 52, no. 10, pp. 636–640, Oct. 2005.
and M. I. Younus, “Ultralow-power SRAM technology,” IBM J. Res.
Develop., vol. 47, no. 5/6, pp. 553–566, Sep. 2003.
[19] F. Boeuf, F. Arnaud, C. Boccaccio, F. Salvetti, J. Todeschini, L. Pain,
M. Jurdit, S. Manakli, B. Icard, N. Planes, N. Gierczynski, S. Denorme,
B. Borot, C. Ortolland, B. Duriez, B. Tavel, P. Gouraud, M. Broekaart, Vasudha Gupta received the B.E. degree (with
V. Dejonghe, P. Brun, F. Guyader, P. Morin, C. Reddy, M. Aminpur, honors) in electronics and communication engi-
C. Laviron, S. Smith, J. P. Jacquemin, M. Mellier, F. Andre, N. Bi- neering from the University of Delhi, Delhi, India, in
cais-Lepinay, S. Jullian, J. Bustos, and T. Skotnicki, “0.248  and m 2002 and the M.A.Sc. degree in electrical and com-
0.334  m conventional bulk 6T-SRAM bit-cells for 45 nm node low puter engineering from the University of Waterloo,
cost–general purpose applications,” in VLSI Symp. Tech. Dig., 2005, Waterloo, ON, Canada, in 2008.
pp. 130–131. She was a Senior Design Engineer with Texas
[20] M. Lavin, F.-L. Heng, and G. Northrop, “Backend CAD flows for re- Instruments Incorporated, Bangalore, India, from
strictive design rules,” in Proc. IEEE/ACM ICCAD, 2004, pp. 739–746. 2002 to 2006, to develop embedded single- and mul-
[21] L. Liebmann, A. E. Barish, Z. Baum, H. A. Bonges, S. J. Bukofsky, tiport memories and memory flows. She is currently
C. A. Fonseca, S. D. Halle, G. A. Northrop, S. L. Runyon, and L. with the Department of Electrical and Computer
Sigal, “High-performance circuit design for the RET-enabled 65-nm Engineering, University of Waterloo. Her research interests include memory
technology node,” Proc. SPIE, vol. 5379, pp. 20–29, May 2004. design, statistical design methodologies, and organic LED displays.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.
104 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Mohab Anis (S’98–M’03) received the B.Sc. degree Journal of Circuits, Systems and Computers, the ASP Journal of Low Power
(with honors) in electronics and communication engi- Electronics, and VLSI Design. His research interests include integrated-circuit
neering from Cairo University, Cairo, Egypt, in 1997 design and design automation for VLSI systems in the deep-submicrometer
and the M.A.Sc. and Ph.D. degrees in electrical en- regime.
gineering from the University of Waterloo, Waterloo, Dr. Anis is an Associate Editor of the IEEE TRANSACTIONS ON CIRCUITS
ON, Canada, in 1999 and 2003, respectively. AND SYSTEMS—II: EXPRESS BRIEFS. He is also a member of the program com-
He is currently an Associate Professor with the mittee for several IEEE conferences. He was the recipient of the 2009 Early
Department of Electrical and Computer Engineering, Research Award from Ontario’s Ministry of Research and Innovation. He was
University of Waterloo. He has authored/coauthored the recipient of the 2004 Douglas R. Colton Medal for Research Excellence
over 80 papers in international journals and confer- in recognition of his excellence in research, leading to new understanding and
ences and is the author of the book Multi-Threshold novel developments in microsystems in Canada. He won the 2002 International
CMOS Digital Circuits—Managing Leakage Power (Kluwer, 2003). He is Low-Power Design Contest.
the Cofounder of Spry Design Automation. He is an Associate Editor of the

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 25, 2010 at 15:06 from IEEE Xplore. Restrictions apply.

Das könnte Ihnen auch gefallen