Beruflich Dokumente
Kultur Dokumente
DEOITO IIIA
_- - - - _- _ _ - _-
r t
Edte by
P.C.]lAnr.cac__
P. C. Sl,,a rso+-
CRedemaS pslo1-
ELECTROCHEMICAL PROCESSING
IN ULSI FABRICATION AND
SEMICONDUCTOR/METAL DEPOSITION II
Proceedings of the InternationalSymposium
Editors
P. C. Andricacos P. Allongue
[BM T. J. Watson Research Center Laboratoire de Physique
Yorktown Heights, New York, USA des Liquides et Electrochimie
University P. & M. Curie
P. C. Searson Paris, France
Fhe Johns Hopkins University
Department of Materials Science J. L. Stickney
and Engineering University of Georgia
Baltimore, Maryland, USA Department of Chemistry
Athens, Georgia, USA
Z. Reidsema-Simpson
M4otorola G. M. Oleszek
6ustin, Texas, USA University of Colorado
Department of Electrical and
Computer Engineering
Colorado Springs, Colorado, USA
'F.
ELECTRONICS AND DIELECTRIC SCIENCE AND TECHNOLOGY DIVISIONS
This book has been registered with Copyright Clearance Center, Inc.
For further information, please contact the Copyright Clearance Center,
Salem, Massachusetts.
Published by:
Telephone 609.737.1902
Fax 609.737.2743
e-mail: ecs@electrochem.org
Web: http://www.electrochem.org
ISBN 1-56677-231-1
The goal of this symposium was to capture from the beginning the explosive growth that
electrochemical processing is experiencing as a result of the immense opportunities that
semiconductor fabrication offers, as witnessed by the recent emergence of electroplating as the
process of choice for copper deposition in on-chip interconnections. Another goal of the
Symposium was to bring together practitioners from all aspects of electrochemical processes from
the most fundamental to the most applied. Both goals are being accomplished as evidenced by the
papers being published in this volume as well as the proceedings volume of the 1998 symposium.
November 1999
Symposium Organizers:
Panos C. Andricacos
Peter C. Searson
Cindy Reidsema - Simpson
PhilippeAllongue
John L. Stickney
GeraldM. Oleszek
TABLE OF CONTENTS
A Mass Transfer Model for the Pulse Plating of Copper Into High Aspect
Ratio Sub-0.25Izm Trenches ............................................................................................ 61
Desikan Varadarajan,Charles Y.Lee, David J. Duquette,and William N. Gill
Bath Component Control and Bath Aging Study for a Cu Plating System
Using an Inert Anode ..................................................................................................... 96
Mei Zhu, Yi-Fon Lee, Demetrius Papapanayiotou,and Chiu H. Ting
V
The Effects of Process Parameters on the Stability of Electrodeposited Copper
Film s ................................................................................................................................. 103
Brett C. Baker, David Pena, Matthew Herrick, Rina Chowdhury, Eddie Acosta,
Cindy R. Simpson, and Greg Hamilton
The Use of Copper Based Backmetal Schemes As a Low Stress and Low Thermal
Resistance Alternative for Use In Thin Substrate Power Devices ................................... 185
T. Grebs, R.S. Ridley, Sr., J. Spindler, J. Cumbo, and J. Lauffer
Vi
Electrochemical Codeposition and Electrical Characterization of a
Copper - Zinc Alloy M etallization ................................................................................... 212
Ahila Krishnamoorthy,David J. Duquette, and Shyam P. Murarka
Anodic Properties and Sulfidation of GaAs (100) and InP (100) Semiconductors ........ 242
R.F. Elbahnasawyand J.G. Mclnerney
CDs and ZnS Deposition on Ag(111) by Electrochemical Atomic Layer Epitaxy .......... 294
M. Innocenti, G. Pezzatini, F. Forni,and M.L. Foresti
vii
Electrochemical Deposition of Gold on N-Type Silicon .................................................. 318
Gerko Oskam and PeterC. Searson
Co-Deposition of Au-Sn Eutectic Solder Using Pulsed Current Electroplating ............. 329
J. Doesburgand D.G. Ivey
viii
FACTS ABOUT THE ELECTROCHEMICAL SOCIETY, INC.
The Electrochemical Society, Inc., is an international, nonprofit, scientific, educational
organization founded for the advancement of the theory and practice of electrochemistry,
electrothermics, electronics, and allied subjects. The Society was founded in Philadelphia in
1902 and incorporated in 1930. There are currently over 7,000 scientists and engineers from
more than 70 countries who hold individual membership; the Society is also supported by
more than 100 corporations through Contributing Memberships.
The Technical activities of the Society are carried on by Divisions and Groups. Local
Sections of the Society have been organized in a number of cities and regions. Major
international meetings of the Society are held in the Spring and Fall of each year. At these
meetings, the Divisions and Groups hold general sessions and sponsor symposia on
specialized subjects.
The Society has an active publications program which includes the following:
Meeting Abstracts (formerly Extended Abstracts) - Meeting Abstracts of the technical papers
presented at the Spring and Fall Meetings of the Society are published in serialized
softbound volumes.
Proceedings Series - Papers presented in symposia at Society and Topical Meetings are
published as serialized Proceedings Volumes. These provide up-to-date views of specialized
topics and frequently offer comprehensive treatment of rapidly developing areas.
For more information on these and other Society activities, visit the ECS Web site:
http://www.electrochem.org
ix
Copper Interconnect Technology in Semiconductor
Manufacturing
Daniel C. Edelstein', P.C. Andricacos
IBM T. J. Watson Research Center, Yorktown Heights, New York, USA
ABSTRACT
CMOS integrated circuit technology with Cu interconnections first reached the point of
"qualified for manufacturing" at the end of 2Q98, and subsequently "qualified for shipping" (from
a high-volume line) several months later. By the date of this conference, hundreds of thousands of
6-level "copper-chip" microprocessor modules were shipped, and a new generation high-end Server
was announced with Cu-interconnected microprocessors' (up to 14 in parallel) and support chips.
This technology has remained on track for a full range of logic chips, from PC 2 to high-end server
CPUsi, from ASICs to Foundry offerings, and the next generation CMOS parts including
embedded DRAM3 , and those on SO1 substrates4 ,. To manufacture chips with Cu interconnects,
we are enabled by bringing in several electrochemical and chemical processes, including Cu
electrodeposition and chemical-mechanical polishing, coupled with the dual-Damascene patterning
scheme. At the same time, it is notable that only one new type of tool, an automated wafer Cu
electroplater, was required to make the transition from Al- to Cu-based interconnect
manufacturing. Cu interconnect demonstrations have been shown in the literature for years, but
behind the scenes, significant process development has been required to successfully bring such a
revolutionary technology to product yield levels, and at the same time maintain performance,
reliability, and quality standards. Here we show data that illustrate the successful implementation
of this new technology in manufacturing.
INTRODUCTION
In August 1997, IBM announced 6 its schedule for what would be the first implementation
of Cu interconnect technology on IC chips, in this case for logic products in its 0.22 gim
CMOS generation. Early demonstration hardware began shipping by the end of 1997, and
the manufacturing qualification checkpoint was successfully reached on schedule at end of
2Q98, in the Advanced Semiconductor Technology Center in New York. By this time, the
technology had been transferred to the IBM Microelectronics manufacturing line in Vermont,
which achieved Its ship qualification as scheduled, at the end of 3Q98. Since then, a number
of parts have been ramped up in volume, qualified, and shipped to external and internal
customers. At every level of this development and qualification, significant defect learning
and process enhancement has occurred, as part of the requisite course for an altogether new
technology at the state of the art groundrules. Some of this learning is germane to the new
Cu processes, but a significant part is related instead to the lithography, patterning, and their
control at the aggressive dimensions for this CMOS generation. These problems are worked
out specifically for dual-Damascene pattern formation. Throughout, the robust nature of the
electrochemical processes employed has aided in this success.
ELECTROPLATED COPPER
The previous thickness data was obtained from blanket-film depositions, but a high
repeatability in actual microprocessor production is also seen, as in fig. 7. Here the lot-lot
reproducibility of Cu mean thickness over months of production is shown to be well within
the process specification limits. As Damascene patterns can influence the thickness
measurements (which are based on sheet resistance), these data imply a very repeatable
process. It is also important to maintain the bath chemistry in a production environment.
1.00% (10) 6
Fg 4o Wtpoo0 .-.-. mi 1.3 p deosthn m
301fIor map 4 1000oot
188- m wedrnte.,
7We-to-Wf Rpt averagenbliuy fo(ty%1.72%t())
Fig. 4. Wafer-scale 2 i..m thickness uniformity Fig. 5. Wafer-scale 1.3 n thickness uniformity
for manufacturing Cu electroplater'3 . for 5,000 wafer run'13.
0.016 1.4
F Wafer W-wafer
Re epeatability
0.65% tIc).2h
Cust
j
cm- Cr. 1.0 - - - ----
1 0
- - - Lot If
Fig. 6. Wafer - wafer mean thickness repeatability Fig. 7. Wafer - wafer mean thickness repeatability
for 17,000 wafer run' 3. The post-annealed for production microprocessor lots.
Cu resistivity is 1.79 ýdQ-cmn.
Time
The plating process has thus been shown in
some detail to exhibit good qualities of a Fig. 8. SPC data for bath component concentration
manufacturable process, but full integration collected during 5+ months of production.
data is required to confirm this. Here
qualification data is shown that parallels
2
earlier published data" but now at full manu- *r............
facturing levels. The data show excellent ........................
results for multilevel Cu interconnects; this
relies not only on a robust plating process, but
j ". . .. ..
RELIABILITY
Gate oxide integrity is shown in fig. 12 for lots Fig. 12. Gate oxide integrity for ship
23 qualification hardware.
from a line qualification , with hot-carrier
lifetimes that meet or exceed the technology
specifications, and indicate no Cu contamination. .. ' I .
These data mirror the positive results obtained at . I I
the development/early manufacturing line. . I i
Electromigration data from this qualification is .
shown in fig. 13 for upper-level dual-Damascene i f If i
lines and vias; data is shown for two process
alternatives, both of which were found to exceed I T
specifications. The stress was 295"C and 2.5 i
MA/cm 2, with a 20% resistance rise fail criterion. Tl*t*peltr(hr,.)
The stress was terminated at -1000 hrs. (before
sufficient failures had occurred), with projected
tso times of -300 and -1000 hrs., respectively for
the two processes. Such data indicate robustness Fig. 13. Electromigration stress (terminated at
not only of the manufacturing Cu plating process, 1000 hrs.) for production hardware.
but of the entire integration process as well.
CONCLUSION
It is shown that a CMOS integrated circuit technology with full Cu interconnects can be
brought into a manufacturing environment, to yield complex multilevel logic chips. The one
completely new process, wafer Cu electroplating, is robust and well-controlled at high
volume production. The remaining processes and tools are either the same or evolutionary,
though entire re-optimization is required for multilevel Cu dual-Damascene fabrication.
With this optimization, proper yields at manufacturing volumes are obtained, with no
compromise in reliability, quality, or performance. Yield, though difficult initially (as for
any such revolutionary change), is brought to manufacturing levels with random defect
densities typical of a mature technology at these critical dimensions. A significant number of
learning cycles were required to reach this point, especially for the first time. This learning
investment may be reduced for subsequent entries into Cu technology, give the focus,
involvement, and rapid progress of the rest of the industry, including direct Cu integration
work by the tooling suppliers and Sematech. At IBM, Cu interconnect technology remains
on schedule for expansion of the range of chip products, and qualification of subsequent
CMOS generations. Copper interconnect technology is an exciting area for the
electrochemical community in particular, as it invites the pursuit of new applications for
electrochemical processes and related understanding, in the fabrication of advanced IC chips.
ACKNOWLEDGMENTS
The authors gratefully acknowledge the essential contributions from a great number of our
colleagues, too numerous to mention, in the Research and Microelectronics Divisions, who
share credit for the successful innovation and implementation of Cu interconnect technology.
REFERENCES
1) T. McPherson, et al., Proc. IEEE Int. Sol.-State Circuits Conf. (to be published, 2000).
2) N. Rohrer et al., Proc. IEEE Int. Sol.-State Circuits Conf., 240 (1998).
3) S. Crowder, et al., Tech. Dig. IEEE Int. Electron Dev. Mtg., 1017 (1998).
4) A. Ajmera, et al., Tech. Dig. IEEE Int. Electron Dev. Mtg., (1998).
5) E. Leobandung, et al., Tech. Dig. IEEE Int. Electron Dev. Mtg. (to be published, 1999).
6) L. Gwennap, Microprocessor Report, 11, 14 (1997).
7) J. Dahm and K. Monnig, Proc. Advanced Metallization Conf., 3 (1998).
8) Alain S. Harrus, John Kelly, and Ronald A. Powell, Proc. SPIE Conf. Multilevel
Interconn. Tech. II, 3508, 25 (1998).
Sergey Lopatin
AMD, Sunnyvale, CA 94008-3453
INTRODUCTION
Copper has been identified as an interconnect material for high performance microprocessor
structures because of its low electrical resistivity (1.67 gOhm.cm) and high activation energies for
lattice electromigration (2.3 eV) and grain-boundary self-diffusion (1.1 eV). Due to the difficulty of
etching Cu for sub-0.18 pm lines formation, a dual damascene approach was adapted for the Cu
interconnect fabrication in dielectric layers. It included Cu electrolytic plating on a thin seed layer
to fill trenches-vias with <111> texture film and chemical-mechanical polishing (CMP) to remove
Cu from the dielectric surface, resulting in a fully planarized Cu/dielectric structure.
Electroplating is a preferred technique for copper interconnect formation in integrated circuits
due to its high trench filling capability and relatively low cost. Electromigration failures in Cu
interconnect are dependent on surface conditions because (unlike Al alloy) the surface and
interfacial diffusion of Cu has a lower activation energy than grain boundary diffusion. For a
damascene process with full Cu encapsulation by barrier materials, electromigration can be reduced
by restriction of diffusion pathways along the surface. In order to achieve such reduction, the copper
electroplating process must provide a completely filled structure in which voids and entrapments of
electrolyte are absent. Voids and surface seams in damascene Cu EP lines-plugs should be also
eliminated to maximize electrical conductivity of the lines. This can be achieved if the deposition
rate along via and trench sidewalls is greater at the bottom and lower sidewalls while the trench-via
top opening remains open. The use of a leveling agent and pulsed deposition appears to be ideal for
the production of void-free Cu deposits [11 because the off-time and reverse current significantly
improve the deposition rate distribution along the sidewalls [2]. The distribution of reaction rates on
the trench-via sidewalls can be predicted from variations in the concentration of copper ions [2] and
the action of the leveling agent [3] at the trench-via corners. For the same depth with high aspect
ratios, the difficulty of filling worsens from a simple trench to a dual damascene trench-via to a
single via:
filling difficulty
In pulse reverse electroplating, the Cu film deposition process involves the reduction reaction
occurring at the electrode surface. This reduction reaction can be described as following:
i,
Cu "÷ +ne - Cu (1)
id
where Cun÷ is the copper ions being reduced, Cu° is the copper atoms being deposited, n is the ion
valency (n=l,2), i, and id are the rates of reduction and dissolution processes respectively. The two
opposite processes, reduction and dissolution, occur periodically. At these conditions the averaged
reaction rate
i=ir - id (2)
The structure of the double layer and the specific surface adsorption can affect the reaction
kinetics. In the absence of specific adsorption, copper ions' position of the closest approach to the
electrode surface is the Outer Helmholtz Plane (OHP). The potential at the OHP, (p, is not equal to
the potential in solution, qi, because of the potential drop through the diffuse layer and possibly
because some ions are specifically adsorbed. These potential differences in the double layer, as
known, can affect the electrode reaction kinetics [5].
When the metal electrode has a negative charge, qm < 0, 4p < 0, and cations will be attracted to
the electrode surface. When the electrode has a positive charge, the opposite effect will hold, q'" >
0, (p > 0, and cations will be repelled. The potential difference driving the electrode reaction, the
effective electrode potential, E, is 0"n - (p- qV, where 0"f is metal potential. The overall effect of
double layer on kinetics is that the averaged reaction rate, i, is a function of potential, through
variation of (p with E. It is a function of the electrolyte concentration since (p depends on
concentration.
When the metal electrode has a specific adsorption of different ions and organic molecules on
the surface, the value of (p is perturbed from just the diffuse double layer consideration; the location
EXPERIMENT
The test chip used has a periodic array of slightly tapered via openings containing 6 via patterns
of different diameters in the range from 0.9 to 0.18 gim. The dielectric thickness was 2.5 gim. TaN-
based barrier layer of 30 nm and Cu seed layers of 150 nm and 100 nim, measured on the field, were
deposited by ion metal plasma (IMP) technology. IMP seed layer deposition used 10-100 mT Ar
sputtering pressures to slow down the magnetron sputtered metal atoms, a coil for their ionization,
and application of wafer bias to attract them vertically. IMP technology provided seed layer step
coverage in high aspect ratio vias because of the directionality of incoming ions and utilization of
ion bombardment to backsputter already deposited copper from the bottom of the via to the
sidewalls. IMP Cu seed layer process may reach its step coverage limits for tapered vias with
diameters around (0.13-0.18) ltm or (0.2-0.25) gim wide fully vertically walled structures.
Verification of the electroplating performance beyond 0.18 jim was conducted using a periodic
array of high aspect ratio vertical trenches of different widths in the range from 0.5 to 0.13 [tm. WN
barrier layer of 25 nm and Cu seed layer of 30 nm were deposited by chemical vapor deposition
(CVD) for base layer. A high conductivity acid-copper sulfate electrolyte containing organic
additives was used for the electroplating experiments. At the conclusion of the Cu plating, the
wafers were rinsed in de-ionized (DI) water and dried in a forced N2 flow.
RESULTS
There are two possibilities for achieving enhanced deposition in vias by electroplating. First is
to reduce deposition rate at the wafer surface by using a relatively large amount of the leveling and
inhibiting agents in electrolyte. This method, however, introduces impurities into the Cu lines and is
inconsistent with the desire to reduce their resistance. Second, employed here, is to use the periodic
forward and reverse currents to regulate deposition rate along via sidewalls with an appropriate
amount of leveling at the via top. Figure 1 shows a focused ion beam (FIB) cross section of void-
free Cu plugs obtained by this polar pulse reverse Cu EP.
Change in deposition rate along via sidewalls leads to decrease of cleft depth in the via tops and
void-free filling of the vias. The dependencies of cleft depth on via aspect ratio, applied current
density, seed layer thickness and wafer center-edge nonuniformity were observed by FIB etching,
scanning electron microscopy (SEM) and transmission electron microscopy (TEM).
The success of via filling when using enhanced deposition at the via bottom and lower
sidewalls, depends on the kinetics of decreasing cleft depth and inhibiting deposition at the via top
opening. The cleft depth (C) was found dependent on a number of controlled parameters: seed
thickness at top via comers, (a), via aspect ratio, (A,), applied cathodic current density, (J),
electrolyte temperature, (7), and thickness of EP Cu, (b). Using the experimental results, the
relationship between the average cleft depth and via aspect ratio with current density controlled
reaction rate can be written in the following simplified model:
I
C H ka k4 b (3)
k2(Aý- k 3 J)
I+exp ( )
kT
d, = dt + 2Ab (4)
These experimental results promote the study of an interface of the electrode material with the
solution in narrow deep vias. Developments in the theory of flooded porous electrodes with regard
to adsorption of ions and double-layer charging are primary in an understanding the pulsed
electrodeposition effects along high aspect ratio via sidewalls. A patterned wafer surface serves as
the flat surface electrode having a large number of pores (high aspect ratio vias) providing a specific
additional interfacial areas at the sidewalls. As well as flat electrode surface, these specific
interfacial areas are surfaces of double-layer adsorption for chloride ions, leveling organic
molecules, copper-organic complexes, copper-chloride complexes, sulfate complexes and copper
ions. All these reactants also are in the solution in close proximity to the surfaces along the porous
electrodes (i.e. via sidewalls). The experimental results show that the electrode processes occur
nonuniformly through the depth of high aspect ratio via. This suggests separation of electrode
processes at the flat surface and in vias. In the case of pulse reverse electrodeposition, the averaged
heterogeneous electrochemical reaction has an intrinsically slow rate at the wafer surface, but the
compactness of porous electrodes can provide potential, (A control for the desired process. At
certain deposition conditions, when via diameter decreases and becomes close to the effective
diameter, there is a relatively large range of reaction rates along the via sidewall. Transient double-
layer charging and adsorption are of interest in the determination of the reaction rates in the internal
area of vias as porous electrodes because diffusion parts (or diffuse layers) of the double electrical
layer at via sidewalls become very close to each other with decreasing via diameter. These specific,
via-geometry-related conditions lead to copper ion concentration and potential gradients (for
example, gradient of the zero-potential plane) along via sidewalls and as a result to a range of
averaged reaction rates. It can be assumed that coefficient k2 (k2 - 0.0256 eV) correlates both to the
copper ion diffusion gradient and to the gradient of the zero-potential plane between top and bottom
of the via:
CONCLUSIONS
In summary, an experimental verification that the changing deposition rate along sidewalls in
high aspect ratio vias is related to copper ion concentration gradient and becomes diffusion
enhanced was demonstrated for pulse reverse Cu EP.
1). An effective diameter around 0.25 g.m exists, below which the effect of changing deposition
rate along the via sidewall just becomes significant.
2). The decrease of applied current density shifted the effective via diameter to more large
dimensions, from approximately 0.25 pgm to 0.45 ptm.
3). The average cleft depth followed the 1/(1+exp (a'(A. - /J)) function of the via aspect ratio and
applied current density.
The application of periodic polarity reversal in Cu EP, with adequately formulated and dosed
surface-active additives, allowed high via filling capability that was not limited by aspect ratio of
12:1 for 0.2 p.m nominal via diameter. The relationships between filling profile and via aspect ratio,
applied current density, seed layer thickness, wafer center-edge position and EP Cu thickness were
determined, compared and expressed in mathematical form for via aspect ratios between 2.5:1 and
12:1. The IMP seed layer deposition and pulse reverse Cu EP were effective in filling tapered vias
of aspect ratio up to (8-10):l without sidewall voids. It is assumed that IMP Cu seed layer process
will reach its step coverage limits for tapered vias with diameters around (0.13-0.2) pgm. Using CVD
seed layer extends the electroplating filling beyond 0.13 p.m wide structures with high aspect ratios
and vertical sidewalls.
REFERENCES
1. V.M. Dubin, C.H. Ting, R. Cheung, R. Lee, and S. Chen in Conference Proceedings ULSI
XIII, edited by R. Cheung, J. Klein, K. Tsubouchi, M. Murakami, and N. Kobayashi (MRS
Proc., 1997), p. 405.
2. A.C. West, C.C. Cheng, and B.C. Baker, J. Electrochem. Soc., 145, 9, p. 3070 (1998).
3. E.K. Yung, L.T. Romankiw, R.C. Alkire, J. Electrochem. Soc., 136, 1, p. 206 (1989).
4. J. Newman and W. Tiedemann, AIChE J., 21, 1, p. 25 (1975).
5. A.J. Bard, L.R. Faulkner, Electrochemical Methods, 1980.
Figure 2. Cross sectional view of void-free Cu filling of the vertical trenches with dimensions of
0.13 gm width and 8:1 aspect ratio.
INTRODUCTION
We also outline a theory that has been recently used to simulate the impact of
leveling agents on shape change. The theory uses a single-component description, which
is in stark contrast to practice. While the theory appears simplistic, the approach
apparently captures some observations from experimental shape-change studies (1). In
the present paper, no attempt to establish a connection between theory and experiment is
made. To date, a protocol that uses fundamental experimental measurements for relating
theory to multi-component additive packages (other than curve fits of theory to shape-
change experiments) has yet to be described.
EXPERIMENTAL
Results of the SEM analysis are summarized in figure 1. The percentage of filled
trenches varied from approximately 10 to 90, depending on the electrolyte composition.
The improved results (relative to PEG and Cl alone) for the electrolyte with PEG, Cl-,
and SPS were unexpected since this system performed more poorly on a 100-pim scale
(5). Possibly, the average surface roughness of the deposited copper film is more
important as the feature size decreases. The average surface roughness, as determined by
profilometry, of a 10-micron-thick film deposited from an electrolyte with PEG and Cl-
only is about 500 inm, while for an electrolyte with PEG, Cl-, and SPS the average
roughness is about 240 nm. On a 100-pim scale, this difference may be unimportant. The
average surface roughness of a film produced from a bath with all four additives is 80
nm, perhaps contributing to this electrolyte's good leveling effectiveness.
These data are consistent with previous leveling experiments on a 100-pm scale
in that all four additives appear to provide the best leveling (5). The low percentage of
filled features obtained with PEG, Cl-, and JGB (nominally the "leveling agent") is
practically significant because it may imply that brighteners (e.g., SPS) are essential even
though cosmetic appearance may be unimportant for ULSI copper interconnects.
-i'c,(1l- 0.
0= C2 [2]
K+c 2
Furthermore, we assume that the rate of consumption of the leveling agent is given by
r.,,o_
= kc0 [31
The consumption can be due, for example, to incorporation of the leveling agent into the
deposit or to reduction, with products that subsequently desorb into the electrolyte. The
constant kc is most likely a function of electrode potential and would thus vary with i,.
As in a past paper (6), we assume that the aspect ratio is sufficiently large that
concentration variations in the x-direction are small compared to those in the y-direction.
A material balance on copper ions that accounts for the consumption of copper due to
deposition on the sidewalls of a trench is:
0=D)
D, 8(y)a--- + 28(y)F [4]
where 6(y) is the half-width of the trench or the via and is given by a material balance on
deposited metal:
at = 2pF [5]
A material balance analogous to equation 5 can be derived for the leveling agent:
0 60=
(y----aya_ý6(y) _y
D, (y)
rc2
..... [6]
Important dimensionless groups related to the leveling agent that emerge from the
R =--k~h. [7]
where h, is the initial feature height, D2 is the leveling agent diffusion coefficient, and k,
is a consumption-rate constant of the leveling agent. The group in equation 7 can be
viewed as providing an estimate of the penetration of the leveling agent into the feature.
When RL, -+ oo, the concentration of leveling agent quickly falls to zero at a short
distance from the trench mouth, and when RLA-+ 0, the leveling agent concentration is
constant and equal to the bulk value. A value of RLA slightly less than unity appears to
provide the most ideal leveling situation to achieve void-free metallization (7). When
RLAis too large or too small, void formation is predicted.
A similar group to RLA emerges for the cupric ions. Its magnitude indicates that
the cupric-ion concentration inside a feature is relatively uniform, indicating that
conformal deposition should be achieved in the absence of leveling agents or
imperfections in the seed layer.
We assume that a robust process requires a higher deposition rate at the bottom of
the trench. Conformal deposition is not acceptable due to a non-zero standard deviation
in the plating rate from that predicted by the deterministic model. The difference in
plating rate from the top to bottom must overcome the standard deviation. This
randomness may be related to a measured surface roughness of a blanket deposit, which
likely depends on additive chemistry, the substrate, and the film thickness.
We propose that the initial current distribution can be used to predict process
robustness. When the cupric-ion concentration in the trench is uniform, deviations from
a conformal deposit are due to spatial nonuniformities in the leveling-agent
concentration. The variation in c2 before significant shape change can be used as an
estimate of when leveling can be expected. Combining equations 2, 3, 6 and 7, the
dimensionless concentration of leveling agent is given by:
2
d 2 -2(R, h /L) .IC 2 [8]
We use a variable relating the current at the top and bottom of a trench:
p =-100 tt [9]
When feature widths are less than 250 nrm, spatial variations of the cupric-ion
concentration inside features are negligible. Thus, the leveling agent dictates the current
distribution. Figure 3 shows p as a function of R,, for three values of c, / K.
surface roughness of a blanket deposit of thickness L/2 and is taken in this example to be
40 nm. When L = 240 nm (R, = 0.15), p must be greater than 33 and when L = 100 nm,
p must be greater 80. These considerations are the basis for the boundary between a
robust and a non-robust process. In the present example, it is assumed that y is
independent of film thickness. This assumption should be expected to break down,
especially when L/2 < c. Thus, an experimentally determined boundary between a
robust and non-robust process may not be linearly proportional to size.
For the hypothetical situation considered here, one would conclude that it is not
possible to maintain the same chemistry as feature size is reduced from 240 nm to 100
nm. At some intermediate generation in feature size, chemistry with an effectively larger
RLA would be required. Possibly, this could be achieved by increasing the bulk
concentration of leveling agent. Due to other constraints, one may need to modify the
leveling agent to increase kc or decrease D2 . A decrease in D 2 could be achieved by
choosing a species with a higher molecular weight but with the same active functional
group. Modifying the chemistry to increase k. may also imply an increased
replenishment rate of the additive, which could complicate process control.
SUMMARY
LIST OF SYMBOLS
3
c concentration, mol cm"
E-2 dimensionless concentration of leveling agent (c2 / c2,)
cý bulk concentration of cupric ions or leveling agent, mol cm-3
2
D diffusion coefficient, cm s-I
Subscripts
I cupric ion
2 leveling agent
REFERENCES
2 60
40
20
Figure 1. The percentage of filled trenches (with L = 200 nm, h,= 600 nm) as a function
of electrolyte composition. For the bath containing PEG, chloride ions, SPS, and JGB,
various operating conditions are shown.
0.8
LA= 0.1
Figure 2. The spatial
variation of leveling-agent R 5
surface coverage for 0.6 LA = L
RLA = 0.001
0.0
0.0 0.2 0.4 0,6 0.8 1.0
50 Robust
Not Robust
0 1 , _
0.00 0.05 0.10 0.15 0.20 0.25
Rol
Uziel Landau
Chem. Eng. Dept., Case Western Reserve University, Cleveland, OH 44106
John D'Urso and Andrew Lipin
L-Chem, Inc, Shaker Heights, OH 44120
Yezdi Dordi, Atif Malik, Michelle Chen and Peter Hey
Applied Materials, Inc., Santa Clara, CA 95054
A major appeal of the acid copper process has been its versatility: essentially one
chemistry, with minor variations, may be used in a wide range of applications. Critical to
this universal appeal is the ability of the acid copper process to uniforniy plate different,
complex shaped parts in multiple cell configurations. This feature is characterized in
terms of a high 'throwing power' or a high Wagner (Wa) number' 2 . Since the Wagner
Here, ic is the conductivity; I is the characteristic length and arl/ai is the slope of the
polarization line. A large Wagner number is indicative of a uniform macroscopic
current distribution since it corresponds to a large activation resistance (which tends to
level off the current) and a small ohmic resistance (which is geometry-dependent and
usually causes non-uniformities).
For the Tafel polarization regime (in which most copper plating is carried out),
the Wa number can be expressed in terms of:
Wa = =-Kb
-ýR (for Tafel polarization) [2]
b is the Tafel slope (= RT/aF) of the polarization curve, and i is the current density. For
uniform distribution, a high Wagner number is desired, corresponding to high
electrolyte conductivity, low current density, and a high slope of the polarization curve.
The current is driven by the concentration gradient,VC, and the electric field, Vsl,
i = - nFDVC -cV(D [3]
The relative importance of the two terms can be determined from the dimensionless
mass transport to ohmic resistance ratio, dubbed here the Tobias Number, T:
R* K RT
T=R *-- = nF[4]
R*,, I nFi l-/L
Clearly, T > 1, corresponds to mass transport dominance. As noted, mass transport
gains importance when the limiting current, iL is approached, and, more interestingly,
when the length scale, 1, shrinks. The length scale, lent, at which mass transport
limitations become more significant than the ohmic resistance is given by:
KoRT
lcu nFiT - (for mass transport control) [5]
Applying typical conditions, we find that the critical length below which mass transfer
becomes dominant is between 0.01 to 2.5 mm. Clearly, the current distribution within
It should be emphasized that the forgoing analysis compares only the relative
importance of mass transport to electric migration. Kinetics resistance, which is not
scale-dependent, will typically be the overall dominant resistive mechanism on small
scales, prevailing over both the mass transport and the ohmic resistances.
In order to promote smooth deposits and avoid roughness in plating, one must
select processes with low transfer coefficient, a. This is often controlled by the use of
appropriate additives that promote polarization. Eq. [36] indicate also that for
obtaining smooth deposits, it is beneficial to operate at a low fraction of the limiting
current, i/iL i.e. low current density and a high limiting current. Since the limiting
current depends on the concentration and on the agitation rate, high reactant
concentration and sufficient transport will promote smooth deposition.
The seed layer for the copper deposition is thin (typically 500-1000 A) and quite
resistive. The current is fed from the circumference (10 to 15 cm radial distances)
through relatively narrow contacts. As a consequence, the current tends to concentrate
near the circumference as shown in Fig. 1. Obviously, as the deposition proceeds, the
resistive substrate effect becomes less pronounced due to build-up of a conductive
deposit. However, the initial build-up remains.
5-Water
,e Curent density profile
590A Cu seed 4----Contact
, (0.34 CQ/cm)
Si - 50 mA/cm 2
33- 344 mA/cm 2
IS ,- OM/Anode
xJ 0.5S skin
a 5 16 15 2025 35 40 4SS
CUOeslgn 0 sinulation
Fig. 1: Schematic of a wafer plating cell depicting the current feed contact ring (right),
and a numerical simulation 5 of the initial current distribution (left), indicating about a
10:1 initial current density ratio between edge (344 mA/cm 2) to center (33 mA/cm 2)
under the simulated conditions (acidified copper sulfate electrolyte).
Obviously, the current near the edge will always be larger than that at the center due to
the terminal effect, disregarding here all other sources for non-uniformity ( e.g. cell
configuration and additives distribution). In order to minimize this variation, the seed
resistivity, R5,d, must be minimized (requiring a thicker seed) and the electrolyte
resistance should be maximized. This latter approach has been adapted here.
ine 'edge
LiQ ®D
(B)
(A)
/C= ZAIziC,
The estimated conductivities are slightly higher than the actually measured values. The
measured conductivity of the 0.25 M CuSO4 t1.8M t12SO 4 electrolyte is 0.55 S/cm, while the
non acidified electrolyte measures 0.05 S/cm. The reason for the discrepancy is interaction
(incomplete dissociation) of the ionic species that are assumed here to be completely dissociated.
Nonetheless, the trends illustrated are valid.
Conductivity data for acidified copper sulfate electrolytes was analyzed by Hsueh
and Newman . Our data is consistently lower (by about 10%), but tracks the reported
trend.
0.6
0.5 ...
0.75 M Cua- *
,0.4
"7,
0.3 -- • . . .. .
S• •l -- 1.OM Cu÷+
0 A
0"
The effect of lowering the bath conductivity on the deposit thickness distribution
across the wafer is demonstrated through computer simulations (Fig. 4). A
commercially available software package (Cell-Designo)5 was used to simulate the
deposit growth. Cell-Design employs a finite element based technique coupled with
moving boundaries and a time stepping procedure to simulate the growth. In order to de-
couple the effects of the process parameters, we consider a perfect cylindrical cell
configuration, hence all the non-uniformity in the deposit thickness is due to the resistive
substrate effect. As noted, most of the thickness variation occurs at the beginning of the
deposition process when the substrate resistance is highest.
SEFIFI) AFERSFIF)FI)WAVV
PLATED) P1•LATED)ro,~
10,)
Cell-Design @ simulations
1.8 M Sulfuric acid No acid
Fig. 4: Computer simulation (Cell-Design©) of copper deposition on a resistive wafer.
An axi-symetric cross-section through a 200 mm wafer is shown, with the wafer center
on the left and the electrical contact on the right. Current density - 35 mA/cm 2 . Five
growth steps, 20 sec. each, are simulated. The darker region is proportional to the deposit
thickness (for clarity, the vertical axis has been magnified). Copper kinetics (no
additives) are assumed: i0 = I mA/cm 2 ; (xc = 0.5; CLA = 1.5; T = 25°C. Initial seed
thickness is I000A. Substrate resistivity is updated with deposit build-up. (Left): 0.24 M
CuSO 4 + 1.8 M H 2SO 4 . Deposit thickness range: 1.08 - 1.52 ýt. (34% variation). (Right):
0.85 M CuSO 4 . Deposit thickness range: 1.28 - 1.41 ýt. (9.6% variation).
21.3,.
I.z .U 0 .
1.2 I
'is
Fig. 5: Deposit thickness profile affected by the substrate resistance, as function of the
electrolyte conductivity. Simulated by Cell-Designic. All parameters are identical to
those of Fig. 4, except that here i-=20 mA/cm2 , and a shorter deposition time was applied
(simulations were stopped when center thickness reached I pt).
Fig. 6 compares the effects of both the initial seed layer thickness and the
electrolyte conductivity. As noted, the low conductivity electrolyte mitigates quite
effectively the seed layer effects. Whereas large thickness variations are noted for the
simulated deposit profiles with the highly conductive electrolyte, the variations for the
low conductivity electrolyte are relatively small. Also, relatively little difference is noted
between the 500 A and the 1000 A seed.
Thickness
SEED K Ratio
. A 10 cm
12
Cell-Design Q simulations
Fig. 6: Effect of initial seed layer thickness and the electrolyte conductivity on the
deposit thickness distribution. i = 20 mAlcm2. 200 mmn wafer. Final deposit profile is
shown.
I15~ -• 40 mA/cm 2
1.3
1,2
0 2 4 6 8 10 12
RADIAL POSITION (cm]
Cell-Design C simulations
Fig. 7: Effect of the (average) current density on the deposit thickness distribution
subject to the resistive substrate effect. Conductivity = 0.55 0 -'cmf' (1.8 M Sulfuric
Acid). 200 mm wafer. 1000 A copper seed. Time-step growth simulations
nFDC, [9]
Here, CB is the bulk reactant (copper) concentration, and 8 c is the equivalent, Nernst-
type, boundary layer thickness. The transport number for the copper, tc, is defined by:
ACU,
ZCjCcU Kc, KC,, [10]
1
Y"~j ZjCj Y, /
KC
j j
Accordingly, by eliminating the acid (particularly, the high mobility proton), the
transport number of copper increases from close to zero to about 0.4. This corresponds to
an increase of the limiting current (Eq. 9) by a factor of about (1-0.03)/(1-0.4) = 1.6. It
should be noted that these estimates are based on ideal dilute electrolyte theory. In
reality, due to interaction between the ionic species, a somewhat lower (but still very
significant) enhancement is observed.
In conclusion, the benefits of the low-acid electrolyte are:
(i) Mitigating the effects of the resistive substrate
(ii) Providing a 'chemical enhancement' to the copper transport rates
Additional, more obvious, benefits of the 'no-acid' electrolyte include:
(iii) The ability to significantly raise the copper concentration without precipitation
(iv) 'Greener', non-toxic, and non-corrosive chemistry
(v) Lower erosion of the seed layer upon prolonged solution contact.
By raising the copper concentration in the bath from its typical range of 0.1 -
0.5M to e.g., over 0.8 M, an enhanced plating rate (by a factor proportional to the copper
concentration ratio) can be sustained under the same external flow, or, maintaining the
plating rate, the external flow can be reduced.
CONCLUSIONS
A copper-plating electrolyte, specifically optimized for copper metallization of
interconnects on silicon wafers is described. The copper sulfate based electrolyte
features no (or low) sulfuric acid and a high (>0.8 M) copper concentration. Elimination
(or reduction) of the acid increases the electrolyte resistivity, thereby minimizing the
LIST OF SYMBOLS
b Tafel slope, RT/rtF, 3
C concentration, mole/cm3
D diffusivity, cm 2/sec
F Faraday's constant, 96487 C/equiv
i current density, A/cm 2
i0 exchange current density, A/cm2
iL limiting (diffusion) current, A/cm2
I current, A
I characteristic length, cm
L micro-leveling parameter, (ratio of activation to mass-transfer resistance)
n number of electrons transferred in electrode reaction per mole reactant
R universal gas constant, 8.3143 J/mole-deg
R resistance, ohm 2
R specific resistance, ohm cm
t transport number
T absolute temperature, deg K
T Tobias number (ratio of mass transport to ohmic resistance), dimensionless
Wa Wagner number, (ratio of activation to ohmic resistance), dimensionless
0(a,aXc, transfer coefficients, anodic and cathodic, respectively, dimensionless
8, equivalent mass transfer boundary layer thickness (Nernst-type), cm
71 overpotential, V
K conductivity, S/cm 2
X equivalent ionic conductivity, cm r1eq-I
Subscripts
a activation (kinetics)
avg average
B bulk
c mass transport
crit critical
0 ohmic
ACKNOWLEDGMENT
We are grateful to Mark Bubnick for his help in experimental aspects of this project.
EXPERIMENTAL
Copper single crystals were cut from 2.5 cm diameter boule and aligned using
Laue X-ray diffraction. The crystals were then progressively polished to a 0.1 gtm diamond
finish followed by electropolishing in 85 vol percent (v/o) orthophosphoric acid at 1.6 V
versus a large platinum wire mesh electrode. The voltammetric and STM experiments were
performed in 0.01 mol/L HC1O 4 into which 0.001 mol/L KCI or KBr were added. A few
experiments were also performed in 0.01 mol/L H2 SO 4 . The electrolytes were deaerated
prior to use and all potentials are referenced to the saturated calomel electrode. STM
experiments were performed using a Molecular Imaging scanning probe microscope.
Tungsten tunneling probes were fabricated by etching in 1 mol/L KOH followed by coating
with polyethylene in order to minimize faradaic background currents. The sample chamber
and electrolytes were purged with argon before each experiment. A copper wire was used
as a quasi reference electrode in the STM experiments.
RESULTS AND DISCUSSION
The voltammetric behavior of three low index Cu crystals in the presence of
chloride is presented in Fig. 1. Copper dissolution occurs above -0.1 V while the onset of
Table 1
Published pzc and Work Function Data
Cl 0.36 nm
Br 0.39nm
I 0.44 nm
o-50
10
-150 •
Potential V(SCE)
Fig. 1. Voltammetry for the three low index Fig. 2. STM image of c(p x43)R300
copper surfaces in the presence of chloride. chloride adlayer on Cu(1 11) at 0.25 V.
(6.7 nm x 6.7 nm)
a 10
C
a) toM/
-300 I I
-1 -0.8 -0,6 -0.4 -0.2 0
Potential V(SCE)
Fig. 4. Influence of anions on the
voltammetry of Cu( 111) in perchloric acid.
10
....... -0.26
......... i -0.3
L -20 -0.32
A B
Fig. 9. STM image revealing the faceting transition between (110) terraces and a (nlO) like
structure as the potential is swept between A.) -0.395 V and B.) -0.194 V. (74 nm x 74
nm)
S-0,02
U -0.04 C(2)) 70,
disordered
Or adlayer I c(p x2) . (3x2)
-0.06 - ... . . . . .., . . I
-0.8 -0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1
Potenlial V(SCE)
at -0.1 ML/s from 0.1 M HC10 4 + 0.001 M Cu(C10 4)2 + 0.00001 KCI.
ABSTRACT
INTRODUCTION
Our model has the quantitative capability to predict the superfilling behavior and also
the capability to predict conditions for which superfilling breaks down and voiding occurs
for both trenches and vias. The essence of the model lies in the assumption that the rate
constant for electrodeposition, t., is higher at point B than at point A due to differential
inhibition. The surface concentration of adsorbate species varies along the feature because
it is influenced by the diffusive transport of the additive/inhibitor. Diffusion is sustained
because the additive is consumed at the surface by reaction or incorporation into the
deposit. It is assumed that the kinetic inhibition is a function of the additive flux and so the
We describe below the basic equations of the model and simulation results in both
trenches and vias.
MATHEMATICAL MODEL
The following system of equations was solved. All equations are in dimensionless
form:
2
V*7 I, = 0 potential in the electrolyte [1]
Cjt =0 [4]
C*=ShD* [5]
b =Ktevy,
, (DACAL .)
'V S f [8]
Parameter b is a function of the physical properties of the additive and of the inhibition
constant Kiev. The exponent p was introduced arbitrarily to widen the range of fluxes
over which inhibition occurs and obtain rounding of interior comers. It was determined
necessary to have an exponent less than one to obtain rounded comers of the deposited
copper profiles.
RESULTS
The effect of aspect ratio on superfilling and shape of the deposited copper is shown
in Figure 3. On the right, the profile evolution in a 0.2 pm trench with aspect ratio of 2
(i.e. the insulator thickness is 0.4 pm) is shown. Figure 3 on the left shows the deposited
copper profile in a 0.2 pm trench but with aspect ratio of 5. The parameters used for
these simulations are b=17.8 and p=0. 2 5. Both trenches fill well without voids or seams.
However, the line with AR of 5 fills up more abruptly than the line with AR of 2 which
fills up more sequentially. There is always a timestep in the high aspect ratio trench after
which, the line fills from the bottom up. Also, as expected, the shape evolving in the AR
of 2 line is more rounded than the shape of copper deposited in the AR of 5 line.
Figure 4 demonstrates the difference in the shape of the deposited copper when
different values are chosen for b. On the left hand side, a value of b-56 was chosen which
gives a high degree of superfilling and very rounded profiles. On the right hand side, a
value of b-3 was used. These values of b and p generate rectangular comers and a
microtrench at the centerline of the feature because of the rapid growth of the sidewalls.
Filling of vias is a lot more difficult than filling of trenches. The main reason relies in
the restricted nature of the via geometry and or of the shape of the evolving profile that
promotes depletion of the cupric ion in solution and generates an appreciable
concentration overpotential. It is because of the concentration overpotential due to the
depletion of the cupric ion that Figure 5a shows a void in the copper deposit which is
located at the lower 1/4 of the via centerline. The void appears because the cupric ion
concentration is severely depleted to 85% of its original bulk value. The depletion of
cupric ion primarily occurs in the location of the void. Figure 5a shows a via with AR of 4
and width of 0.2 pm. One way to obtain good fill of these type of vias, is to relieve the
concentration overpotential by increasing the bulk concentration of cupric ion or by
increasing the overall agitation to thin down the diffusion layer thickness or by decreasing
the superficial current density. Figure 5b shows that good fill can be obtained when the
bulk concentration of cupric ion is increased fourfold. Figure 5c shows that by choosing a
A plot of the inhibition function, / ,as a function of the local additive flux as
calculated by the simulation is presented in Figure 6. This function has the value of 1
when there is no inhibition in the copper electrodeposition rate and is typically between 0
and 1 when differential inhibition results in superfilling. The inhibition function reaches
asymptotically a constant value when the inhibitor has reached saturation. For b=10 and
p--0.5, there is a few orders of magnitude of additive flux for which the inhibition function
is 1 and only a short range of useful fluxes for which the inhibition function is between 0
and 1. For b=3.16 and p=0.25 there is a wider range of useful additive fluxes, and as b
increases to higher values the useful range of fluxes becomes several orders of magnitude.
For example, for b=56.2 and p--0.25, the inhibition function curve has several orders of
magnitude of useful fluxes at which inhibition of the copper electrodeposition reaction can
occur. A bath with these characteristics shows promise to fill high-aspect ratio trenches
and vias. It is this type of differential inhibition over a wide range of fluxes that results in
superfilling.
Figure 7 depicts the additive flux along a trench with dimensions 1.62pmxl.54pum for
different timesteps as the feature plates up with copper. The lowest additive flux occurs at
the lowest corner of the feature while the maximum flux at the upper corners of the
trench. Position of 0 denotes the center point of the trench bottom wall. Figure 8 shows
the same type of additive flux as a function of position but for a simulated via with
dimensions 0.2pimx0.8pum. It appears that the fluxes at the bottom sidewalk of this high
aspect ratio via are too small to be resolved. This in turn, will lead to copper
electrodeposition taking place without the effect of inhibition and will also lead to copper
deposits with rectangular shape (without corner rounding) as has been observed in the via
simulated profiles.
CONCLUSIONS
1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res.
Develop., 42, 567, 1998.
2. D. Edelstein, I. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T.
McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L.
Su, S. Luce, and J. Slattery, Technical Digest, IEEE InternationalElectron Devices
Meeting, 773, 1997.
3. P.C. Andricacos, C. Uzoh, J.O. Dukovic, I. Horkans, and H. Deligianni, Proceedings
of the Advanced Metallization Conference (AMC 1998), G.S. Sandhu, H. Koerner,
M. Murakami, Y. Yasuda, and N. Kobayashi, eds., Materials Research Society, 29,
1998.
II
- I O.S 0 O.SI
Figure 2. Comparison of line partially deposited with copper with model prediction.
Solid line is predicted copper profile and dashed line is experimental copper profile:
b =3.16 and p=0.25 were used in the model.
5-
4-
3-
33
2-
2-
0 "'' - 0r
-1.5 -1 .0.5 0 0.5 I 1.5-1.5 -1 .0.5 0 0.5 1 1.5
Figure 3. Effect of aspect ratio on superfilling of a 0.2 micron trench. Left AR of 5, right
AR of 2, b=17.8, p=0.25
6 6
5-- Z"O -w 5-
4- 4-
3- 3
2- 2
Figure 4. Effect of superfilling parameters in shape evolution. Left b=56.2, p=0.25, right
b=3.16, p=0.25.
Improvement in
o.4.
"• 0.8-
S0.6-
Figure 6. Inhibition function versus additive flux for different values of the
superfilling parameters.
0.01-
S0 .00 1*
0o0001
1E-5 -
-2 -1 0 1 2
position along feature
Figure 7. Additive flux as a function of position in a line 1.62 micron x 1.54 micron
I
Sthi time stepý,
S20th 40th
0.01 -
1.
E-61
IE-10'
-3 -2 -1 0 1 2 3
position along feature
Figure 8. Additive flux as a function of position in a via 0.2 micron x 0.8 micron.
ABSTRACT
A mass transfer model has been developed for the pulse plating of copper into high
aspect ratio sub-0.25 micron trenches and vias. Surface and concentration overpotentials
coupled with the shape change due to the deposition on the sidewalls and the bottom of
the trench/via with time have been explicitly accounted for in the model. Important
parameters have been identified and their physical significance described. The resulting
model equations have been solved numerically as a coupled non-linear free boundary
problem. A complete parametric analysis has been performed to study the effect of the
important parameters on the step coverage and deposition rate. In addition, a linear
analytical model has also been developed to obtain key physical trends in the system.
From the parametric analysis three regimes of operation have been identified, viz., the
steady state regime which is obtained when large pulse periods are used, the unsteady
state regime when small pulse periods are used and a transition regime between the two
for intermediate values of the pulse period. It has also been found that using small pulse
periods gives better filling characteristics inside the trench. The duty cycle is an
important parameter in pulse plating. Using a small duty cycle and current density along
with small pulse periods gives the best step coverage. The step coverage is also better for
smaller aspect ratios. Experiments for the pulse plating of copper into trenches have been
performed using a new alkaline bath. The alkaline bath is non-corrosive and does not
contain any additives. The model trends have been used to design the experiments. Model
trends are found to be in excellent agreement with our experimental observations.
INTRODUCTION
The current trend in semiconductor technology toward smaller device features has led
to the narrowing of integrated circuit line width. Increases in chip functionality and chip
performance have led to the need for multilevel interconnects. In order to build multilevel
interconnects filling high aspect ratio holes in dielectric reliably is critical. Even though it
is possible to create micron and sub-micron size features using current photolithography
technology, voidless filling of such features still presents a difficult problem in chip
processing.
Copper is rapidly emerging as the interconnect metal of choice for the next generation
of sub-0.25gm devices. It has superior mechanical properties, lower resistivity and higher
electromigration resistance when compared to aluminum. Electrochemical deposition
(electroless/electroplating) of copper is a versatile, inexpensive and reliable way of filling
THEORY
Figure 1 shows a schematic cross section of a typical plating tool along with an
enlarged view of a feature inside the wafer. The following assumptions are made in order
to simplify the problem,
1. Convective effects in the reactor space external to the feature are included by
making use of the film theory. The effects of the bath hydrodynamics external to
the wafer are included by assuming a thin concentration boundary layer adjacent
to the wafer.
2. A well-supported electrolyte is assumed. Hence the contribution of migration to
transport is small and a solution of the potential field is not necessary.
3. A rectangular waveform with a period during which current is passed and
deposition occurs (ON) and a period during which no current is passed and pure
diffusion occurs (OFF).
4. Ohmic influences are assumed to be less important than the concentration and
activation overpotentials.
It is assumed that a single cathodic deposition reaction occurs and that the current density
normal to the cathode surface is described by the concentration dependent Tafel equation,
i = -io exp(- CF [1
DtP 2nFDc,,
I x -f kw [4]
RT ) 2D tp [4]
Mc, w0
S t, = - ,[51
ay I
______ [141
There is no growth of the deposit during the OFF period. The physical significance of the
dimensionless parameters is described in Table 1. An analytical model has been derived
EXPERIMENTAL PROCEDURE
Plating experiments were performed on sections of both blanket and patterned
wafers. The wafers were p-type device quality wafers subjected to wet oxidation at
1050°C for 1.25 hours to develop 7jim of oxide. A copper seed layer was sputtered at a
base pressure of 10.7 Torr and an argon pressure of 5mTorr. The sputtered layers were
30nm thick and exhibited a resistivity of 2.1 td)cm. The patterned wafers had a 0.5 jim
minimum feature size with a 2:1 aspect ratio.
The electroplating experiments were performed with a DynatronixTM micropulse-
reversing unit. The wafer sections were clipped onto the rotating disk electrode and
plated in a solution containing a copper-phosphorus anode. The plating bath composition
selected was 0.08M CuSO 4 5H20, 0.15M (NIh) 2SO 4, and 0.2M NH 3. Plating in only the
forward direction was performed at various pulse cycles ranging from 10 to 1000 Hz at
an applied bias of -750 mV vs. SCE. Plating was also conducted using both forward and
reverse pulses, for which a reverse potential of -100 mV vs. SCE was established.
EXPERIMENTAL RESULTS
Pulse and Pulse reverse plating experiments have been performed on 0.Splm, 2:1
aspect ratio features. The resistivity of the copper deposits was measured using a four-
point probe. Pre- and post-anneal measurements were taken. The resistivity values of the
deposits before annealing ranged from 2.2 to 2.5 Rit) cm, as shown in Fig.3. A 5%
reduction in resistivity was observed upon annealing.
RESULTS AND DISCUSSION
Figure 4 shows the step coverage and the deposition rate obtained from the analytical
model plotted as a function of the parameter, 4, the polarization parameter. As
polarization increases the step coverage decreases however the deposition rate increases.
An increase in the polarization indicates that the deposition rate on both the sidewalls and
the base of the trench has increased more quickly than the rate of mass transfer. This
leads to a steep concentration gradient inside the trench, which in turn leads to larger
growth rates at the trench mouth than at the base resulting in poor step coverage.
Polarization increases with increasing current density and since the growth rate is directly
proportional to the current density the growth rate increases with increasing polarization.
This suggests that there is a trade off in obtaining high deposition rate and step
coverage and the question is: what is the best set of parameters for the process? An
optimization of the parameters is required in order to make the process attractive. The
analytical model overpredicts the step coverage as it does not account for the movement
of the boundary. Thus the depositing species encounter increasingly aggressive aspect
ratios. This leads to an increase in mass transfer resistance as the trench moves toward
CONCLUSIONS
From the analysis of the model trends and experimental observations the
following conclusions can be made,
An analytical model has been developed based on the assumption of steady state,
linear-kinetics and fixed boundary. The analytical model can be used as a simple
estimation tool for determining the lower bound on the step coverage. Results from the
analytical model clearly show that there is a strong trade off between obtaining good step
coverage and large deposition rates. Hence a suitable choice of parameters is crucial in
obtaining reliable deposits without keyholes.
A complete parametric study of the unsteady state mass transfer model clearly
shows that ti, the pulse period, 4, the polarization, A, the aspect ratio, and DF, the duty
factor have a profound effect on the evolution and the final shape of the deposit. Large
polarization's and aspect ratios lead to deposition that is mass transfer controlled. This
results in keyhole formation, as the concentration gradient inside a high aspect ratio
trench is very large. On the other hand, when the deposition is kinetically controlled (i.e.
for small values of polarization and aspect ratio) the gradient down the length of the
trench is much smaller and deposition proceeds at nearly the bulk concentration. This
leads to conformal deposition, as there is negligible variation in the deposition rate at the
mouth and at the bottom of the trench.
Small duty factors lead to a small drop in concentration during the ON period. Hence
the deposition can be made to occur at nearly the bulk concentration. Large pulse periods
REFERENCES
1. A tertiary current distribution model for the pulse plating of high aspect ratio sub-
0.25ýim trenches, Desikan Varadarajan, Charles Y. Lee, David J. Duquette and
William N. Gill, Submitted to the Journal of the Electrochemical Society, May 1999.
/ ' \ " RW
•'la Thin•film • y -
t
h
ac
DV 2¢C=
4 wo
Figure 1. Schematic of plating tool. Also shown is an enlarged view of the system geometry
~Aj
Figure 2. SEM pictures of pulse plated and pulse reverse plated features. Notice that void size
decreases with decreasing pulse period. No voids are observed for pulse reverse plated specimens.
2.5
I
S2.0
1.5
d.c. 90/10 9/1 0.9/0.1 0.9/10.1 0.5//0.1 1.510.1
Pulse cycle (me)
17 , 3.5
0.95- 2.8
2.1
S0.9 j
0.85 -0
tp
0.5ms
Ims$ Asymptote(maximum step coverage
& ". . .and deposition rate)
o0.9 2ms
20M.-
0.8
0 0.4 0.8 1.2 1.6
Deposition Rate (ptm ImIn)
Figure 6 Effect of pulse period and duty factor on step coverage and
deposition rate. Simulation parameters are A=4.0, wo=0.18.tm, 4=0.003.
Better step coverage can be obtained at the same deposition rate (at a
given duty factor) by using smaller pulse periods. An asymptote is
reached, as the pulse period is decrease. For this value of the pulse period
the step coverage is maximum.
D2 ,
4 Dt Ratioohecharticdn9nsi tothe
!
S i Uin Nitn Rise Mid (CN+ CFIt-)
P
SI t
S t Ratio of th~edepositiont (C"Ntirn-vto the
O DFy Factor psepedod
! ioWo exp -aF V,
OOy RT T
2nFDctIU ItK
Polmization to the ienfic
reinstam~
Rato of
ihe sodid cn•riontmag to the
' coroaritrtin of the deposititV
b•Iok
70 Mlectroh
o Spcies
INTRODUCTION
Steady-state numerical simulations of fluid flow and cupric ion transport within a
commercial electrochemical copper deposition chamber are presented. The plating
chamber is bounded by cylindrical vertical walls through which an electrolyte solution
flows upward. The fluid enters the bottom of the chamber near the centerline, below a
disk shaped anode situated at the bottom of the chamber. The flow travels around the
anode and passes up through a planar diffuser plate, consisting of a discrete asymmetric
pattern of circular holes. Above the diffuser plate, the electrolyte impinges upon a
rotating wafer substrate, which acts as the cathode. The electrolyte exits the chamber
over top of the chamber wall, which acts as a weir. Figure 1 shows salient chamber
features using a simplified two-dimensional chamber cross section. Electrical contact is
typically made at the outer edge of the wafer to a thin copper seed layer.
Electrodeposition of copper is typically determined from such quantities as the surface
overpotential and the cupric ion concentration at the wafer surface. At low
overpotentials, the current increases with increases in the overpotential. However, at high
overpotentials the copper plating rate is determined directly from the flux of cupric ions
to the wafer surface. A limiting current can be observed as the cupric ion concentration at
The two goals of this study are: 1) Predict variations in the limiting current
density for different electrolyte volumetric flows and wafer rotation rates using one-
dimensional, two-dimensional axisymmetric, and three-dimensional models; and 2)
Assess the efficacy of these different computational models via comparisons with
experimental data. The one-dimensional model formulation assumes a uniform potential
flow impinging upon an infinite rotating disk. A one-dimensional advection-diffusion
equation is solved for the cupric ion transport. The approximations made in developing
the one-dimensional model are well known (1-4). Axisymmetric two-dimensional and
three-dimensional models were constructed using a commercial computational fluid
dynamics (CFD) package developed by CFD Research Corporation (5). The
incompressible Navier-Stokes equations are solved along with a scalar transport equation
for the cupric ion species mass fraction. In two-dimensions, the diffuser plate is assumed
to yield a uniform inflow, while the three-dimensional model enables representation of
the discrete asymmetric hole pattern of the diffuser plate. The model assessment
experiments use test wafers with different symmetric areas (i.e. circles or rings) exposed
to copper plating. A potential sweep technique is used to gather electrical current versus
potential data. Limiting current values are determined from the current plateau, where the
current remains constant even when the potential is increased. In simulating the
experiments, the mass transfer limit is imposed by fixing the cupric ion concentration on
the exposed plating surface to zero. In this manner, the diffusion limit is imposed and so
calculation of the electric field is avoided.
COMPUTATIONAL MODELS
Continuity:
I du 1 &o 'iv
r. v r 60 a
Momentum:
r
r7 op r 2 r2 0u
where u,vo,and w are the radial, angular, and axial velocities, respectively. r, and z are
the radial and axial coordinate variables, while 0 represents the angular coordinate. The
pressure and density are given by pandp, while v is the kinematic viscosity. The
convective operator is given by,
d vo d d
V-V=
VO U,[5]9
J r~ ~
and the Laplacian operator is defined as,
V2 1 0 ( 6]
r a-6,r-) 1 2 + 02
+ _-rIW -. [6]
where D is the diffusion coefficient and J is the cupric ion mass fraction defined as the
ratio of the cupric ion concentration to the bulk concentration, c/cb . The mass transfer
limit is imposed by fixing the cupric ion concentration at the electrolyte-electrode
interface to zero. The expression for the diffusion-limited current density at the wafer
surface is then given by,
Effects such as flow rate, wafer rotation rate, bath transport properties, and bath Cu
concentration all influence iD. Assumed values for transport properties and important
constants appearing in Equations [1] through [8] are listed in Table (1). Note that the
values for c, and v are measured directly from the bath, while the value for D is
One-Dimensional Model
u = rQcF(O)
v = rOG(4)
w = F•-cH(Of [9]
Substitution of the above similarity variables into Equations [1] through [4],
yields the following system of ordinary differential equations,
2F+ H' =0
F2 + PH _-.1-G2 " (0c-
-V+-F-H-
- ,F - -• = [10]
No-slip boundary conditions apply on the surface of the disk, which require F=H=P=O
and G=I at z=O. The normal velocity at large distances from the disk is not equal to the
potential flow value because of the non-vanishing rotating disk contribution. However,
the radial velocity component at large distances from the disk is determined by the
potential solution. This latter requirement means that as 4 -+ oo, u = rf2cF -) ar, or
F -- a/(92c) = (c - l)/c. The boundary-value problem defined above is solved for a
specified value of c using a "shooting" method (9) implemented within the MATLAB
computing environment (10). In one-dimension, the diffusion-limited current density is
computed from,
iD = nFD = nFDCbo
=00!I)z 1 [12
where is . is the diffusion layer thickness. The concentration gradient at the wall is
obtained by solving a one-dimensional form of Equation [7]. Using the previously
defined similarity variables and introducing,
where Sc = v/D is the Schmidt number. In steady state, the diffusion-limited current
density can be computed from,
17 -
In the three-dimensional case, CFD-ACE software (5) is again used to solve the
steady-state form of Equations [1] through [7] for the geometry shown in Figure (3). The
two-dimensional cross section of this three-dimensional model is the same as the two-
dimensional model described above. However, in contrast to the 15,000 computational
cells needed to resolve the two-dimensional geometry, nearly 300,000 computational
cells are employed to represent the three-dimensional geometry. The horizontal plane
displayed in Figure (3) represents the top of the diffuiser or the flow inlet. The darker
regions reflect high axial velocities and so indicate diffuser hole locations. The left
vertical plane illustrates the radial and axial mesh distribution. The right vertical plane
presents axial velocity contours, which depict the flow jets passing through the diffuser
holes. An advantage of the three-dimensional model is the more accurate representation
of the asymmetric hole pattern of the diffuser plate compared with the two-dimensional,
axisymmetric case. Figure (4) illustrates the hole pattern of the diffuser plate as
approximated by the three-dimensional model. In order to avoid constructing a structured
computational mesh that includes mesh boundaries for each individual hole, a simple
radial mesh is employed. It is further assumed that the imposed volumetric flow passes
evenly through the set of diffuser holes. Inlet boundary conditions approximating this
condition are imposed by first identifying the computational cells that reside within each
diffuser hole. The set of cells within each hole are used to approximate the cross-
sectional area of the hole. This approximate cross-sectional area together with the fixed
volumetric flow through the hole are used to set the inlet velocity boundary condition for
each of the hole cells. A significant advantage in this approach, in addition to its
simplicity, is the ability to quickly change diffuser hole patterns in the model without re-
meshing. A drawback in using this inlet condition is the inability to resolve the velocity
distribution exiting each hole. High resolution simulations of the flow through a single
hole were performed to better understand the consequences of this assumption. These
simulations illustrate the constriction of the flow through a vena contracta, with a
diameter roughly 15% smaller than the hole diameter. To first order, this effect can be
approximated by imposing uniform inlet velocities across holes with a 15% smaller
diameter, which causes the inlet velocity to increase by approximately 38% for a fixed
mass flow rate. In the results section below, simulation data are presented with the hole
diameter set to the actual diffuser hole size (case A) and with the hole diameter reduced
by 15% (case B).
The computational models described previously are exercised over a range of flow
operating conditions. Specifically, wafer rotation rates are varied between Orpm and
100rpm, while the volumetric flow was set to either 1.Ogpm or 5.5gpm. Table (2) lists the
specific operating conditions studied and presents numerical estimates for the average
limiting current density (iL)across the surface of the wafer. The first two flow conditions
(Orpm and 20rpm at 5.5gpm) yield the most significant variations between the different
model predictions. This is especially true in the case of the one-dimensional model,
which shows a high sensitivity to assumptions made regarding the impinging free-stream
flow. Once rotational flow effects dominate impinging flow effects, the different model
predictions are similar. With the flow rate fixed at 5.5gpm, a five-fold increase in the
wafer rotation rate from 20rpm to 100rpm roughly doubles it In contrast, the reduction
in iL due to a five-fold reduction in flow rate (from 5.5gpm to lgpm) at a fixed rotation
rate (20rpm) is less pronounced, with the decrease ranging between 3-18%. This trend
illustrates the effectiveness of wafer rotation in enhancing mass transfer.
Table 2. Model predictions of average limiting current density across wafer surface.
Flow 1-D Model, iL 2-D Model, iL 3-D Model, iL
2 2 2
Conditions (mA/cm ) (mA/cm ) (mA/cm )
Rotation Flow d=29mm d=9mm A B
Orpm 5.5gpm 17.25 29.92 18.41 30.14 38.23
20rpm 5.5gpm 29.90 35.65 29.62 31.10 34.47
50rpm 5.5gpm 46.20 48.07 45.78 46.94 47.23
100rpm 5.5gpm 65.11 65.80 64.78 66.51 66.48
20rpm 1.Ogpm 29.10 29.22 28.73 29.60 29.59
A set of limiting current experiments are conducted to assess the accuracy of the
different models at different operating conditions. The experimental procedure consists
of using a potential sweep technique to gather electrical current versus potential data.
Limiting current values are determined from the current plateau, where the current
remains constant even when the potential is increased. The potential sweep equipment
could deliver no more than 2A, which is not sufficient to reach limiting current over the
entire surface of the wafer for the flow conditions of interest. Consequently, the plating
area of the test wafers is restricted to either a 2cm radius circle or a ring with an inner
radius of 3cm and an outer radius of 4cm. In this manner, current density can be driven to
limiting current values for all but the lOOrpm/5.5gpm test condition listed in Table (2).
Figure (5) presents sample experimental current versus potential data for the test
wafer with a 2cm circle exposed to plating. Similar data was also obtained for the test
wafer with a 3-4cm ring exposed to plating. Limiting current density values gleaned from
Table 4. Comparison of model predictions and experimental data for limiting current
density (mA/cm 2) across a centered 3-4cm ring.
Flow Conditions Exp. 2-D Model 3-D Model (A) 3-D Model (B)
Rotation Flow Data iL % diff. iL % diff. iL % diff.
Orpm 5.5gpm 54.6 30.51 44.1 35.48 35.0 46.47 14.9
20rpm 5.5gpm 56.8 48.17 15.2 47.07 17.1 48.02 15.5
50rpm 5.5gpm 82.8 73.80 10.9 73.50 11.2 72.17 12.8
100rpm 5.5gpm >90 103.95 -- 102.04 -- 102.00 --
20rpm 1.0gpm 51.8 46.40 10.4 45.58 12.0 45.57 12.0
the experiments are accumulated in Tables (3) and (4). The 2cm-circle data shows that
near the center of the wafer, the limiting current density does not change drastically as the
wafer rotation varies between Orpm and 50rpm. In contrast, a 100rpm spin rate
drastically increases the limiting current density, while a five-fold reduction in volumetric
flow appreciably reduces the limiting current density. Note that in the case of the 3-4cm
ring, no specific estimate for iL is given for the 100rpm spin because the maximum
current value was encountered before limiting current was reached. At this radial
location, a 50rpm spin rate appreciably increases the limiting current density, while
sensitivity to the volumetric flow is lessened. The 3-4cm ring limiting current data is
substantially higher than the 2cm circle data due to the transport of fresh electrolyte from
the inner 3cm of the wafer across the ring. As such, this data does not reflect limiting
current density values that would be observed at that radial location if the entire wafer
surface was exposed to plating.
SUMMARY
REFERENCES
It t T Tt
I Diffuser I
Si t
Flow Anode - Flow
0.02
-0.01
-0.03U
Figure 2. 2-D model description (5.Sgpm/2Orpm, axial velocity contours and mesh.)
2oto,S., w
Figure 3. Three-dimensional model
description with axial velocity contours Figure 4. Flow inlet plane of the three-
(5.5gpm/2Orpm). dimensional model.
n- lorm55p
SO 04 o .. .. .. ...
1.4.. .. . .
Figure 5. Experimental limiting current density data for test wafer with a 2cm circle
exposed to plating.
"IBM,T.J. Watson Research CenterP.O. Box 218, Yorktown Heights, N.Y. 10598
ABSTRACT
INTRODUCTION
Mehdizadeh and Dukovic (5) expanded the theoretical treatment and included mass
transport effects in an axisymmetric system as well as a 3-D geometry. In the 3-D
geometry, they assumed four peripheral low-contact-area terminals and have shown the
effect of peripheral point contacts on the thickness distribution of a 200 mm wafer.
Initially, the thickness near the four point contacts is very high, whereas between the
contacts is very low. A time series of a growing deposit. with four peripheral point
contact terminals is shown in (6). Point contacts result in azimuthal nonuniformity.
However, the nonuniformity in the vicinity of the contacts becomes appreciably better as
the plated thickness builds up. In applications such as Damascene electroplating where
the final plated thickness is usually not more than 1,um, azimuthal nonuniformity can be a
problem. Our solution was to implement an almost continuous peripheral contact
terminal and to assume that the system is axisymmetric and that only the radial
nonuniformity needs improvement.
In this paper we describe a model of a cup plater with a peripheral continuous contact
and "passive" elements that shape the potential field. The model takes into account the
ohmic drop in the electrolyte, the charge-transfer overpotential at the electrode surface,
the ohmic drop within the seed layer, and the transient effect of the growing metal film as
it plates up (treated as a series of pseudo-steady time steps). Comparison of experimental
plated thickness profiles with thickness profile evolution predicted by the model is
shown. Tool scale-up for 300 mm wafers was also simulated and compared with results
from a dimensionless analysis.
MATHEMATICAL MODEL
where g is the combined "sheet conductance" of the seed layer and the electrodeposit and
is the reciprocal of the sheet resistance (R0 ). Equations [3-8] are boundary conditions
imposed at the different interfaces:
' FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hamoshire 03766
where OE and Om are the potentials in the electrolyte phase and the seed layer. Typical
values of the constants that appear in the equations above are given in Table 1. Instead of
treating the thin-film phase as a growing domain, we artificially hold its thickness, t,
constant and allow the sheet conductance to increase over time, reflecting deposit growth.
The equations above are nondimensionalized using the following dimensionless
variables:
* T9
x
-- -- ,[9]r,
v7 - G v* O = 0 in metal [11]
rw
G * n = z, on wafer surface [12]
where i* is,
FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hampshire 03766
Before solving the problem we made some scaling manipulations to avoid dealing
with the complication of having a growing finite element mesh and a metal film that was
thin and difficult to mesh. Initially, we artificially expanded the z-axis and transformed
the seed-layer domain by stretching in the vertical dimension (to facilitate meshing).
Secondly, we kept the metal thickness constant through out the plating process and
instead of increasing the thickness of the metal layer at every time step, we artificially
hold its thickness t constant and allow the sheet conductance to increase over time,
reflecting deposit growth. These transformations require the use of anisotropic "sheet
conductance" properties in the code. We assume that the stretching parameters are:
Pq=to
Z,,=wsh [18]
where z,,-.h is the thickness of seed layer after stretching and t, is the initial seed layer
thickness. When applying transformation [18] to Eq. [10,11,12,13,14, and 15], these
become as follows:
pG a
"•-G- " n* = i• Eq.[12] becomes Eq.[20]
FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hamoshire 03766
A commercial code called FIDAP' was customized and used to solve the set of
equations. Initially, the boundary value problem was solved subject to the nonlinear
boundary conditions Eq.[201 for Gj.Go which is the initial dimensionless sheet
conductance. Growth of the deposit was then simulated by using the converged solution
of the prior step j, according to the formula:
AGj is the plated thickness increment at each time step. The value of the conductance at
the next time step is determined from the conductance and the normalized dimensionless
current densities of the previous time step. Stepping through time stops when a certain
value of the sheet conductance Gj is reached that corresponds to the plated thickness of
interest. The geometry was left unchanged throughout the simulation. This part was
handled by an AIX shell script that ran FIDAP' for G., then calculated the sheet
conductance value of the next time step, and re-run FIDAPI until the desired sheet
conductance value tj is reached. At each time step, the local current density along the
wafer is integrated and the average current density is made to equal the applied current
density by doing a Newton-Raphson iteration on the anode potential. The
Newton-Raphson scheme as well as the update of the anode-potential boundary condition
are incorporated into subroutines that are attached to the executable module. The
executable module is called at each time step by the AIX shell script as described above.
RESULTS
SFIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hamoshire 03766
Experiments with variable thickness seed layers in a cup plater have shown that the
edge of the wafer had consistently thicker deposits than the wafer center. As a result, we
undertook an effort to design shields or "passive" elements that shape the potential field
and yield a current or thickness distribution that is almost uniform. This was done with
modeling of the secondary current distribution and verified by experimentation. Figure 2
shows a schematic of a cup plater. Also shown in Fig. 2 are the equations that correspond
to the secondary current distribution with an "infinitely thick" seed layer on the wafer
surface. We treated the problem as axisymmetric with axis of symmetry the centerline of
the cup and thus only half of the cup was modeled. The cup plater contains shields which
are located in a region extending from the peripheral edge of the wafer to the side and
upper surface of the anode. Typically, electrolyte enters at the inlet, flows around the
anode, the wafer, next to the shields and exits as an overflow at the outlet. The wafer also
rotates during electroplating. In this paper though, we are not concerned with fluid flow
and mass transport in the electrolytic cell. Figure 3 shows the normalized current
distribution on an "infinitely thick" seed layer. The current density is higher at the center
of the wafer than at the edge. Overshielding of the wafer edge occurs under secondary
current distribution conditions.
Figure 4 is a schematic of a cup plater that includes the case of the resistive electrode.
Corresponding equations within the electrolyte, at the anode and wafer interface and
within the seed layer and plated film are shown. Figure 5 is a transient normalized
thickness distribution of the plated fim along half of the wafer (center-to edge) at
different plated thicknesses onto a IOOOA initial seed layer. Curve A corresponds to a
final thickness of 2100A with a a of 7%. Curve B corresponds to a final thickness of
3500A with a a of 6%, curve C corresponds to a final thickness of 5200A with a a of
4%, curve D corresponds to a final thickness of 7200A with a a of 3%, curve E
corresponds to a final thickness of 9600A with a a of 2%, and curve F corresponds to a
final thickness of 2,un with a a of 1%. It is interesting to note that even though the
thickness distributions at the initial stages of plating are very nonuniform, the thickness
distribution at 2,um of plated thickness is overshielded and resembles the thickness
distribution of an "infinitely thick" seed layer (Figure 3). The calculation of the a of the
thickness distribution was done by taking 9 points along the wafer and assuming a
different weight for each of these points. The further the point from the wafer center, the
higher the weight. We assumed a weight of one for the center point and a weight of 72
for the point close to the edge.
' FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hamoshire 03766
It was determined that if one solves the system of equations using the parameters in
Tablel, then the current distribution and the overall non uniformity depend upon 4
dimensionless groups:
Figure 8 shows the effect of the initial seed layer conductance on the plated thickness
nonuniformity. It was determined that the nonuniformity depends upon the initial sheet
conductance to the -0.48 power and upon the plated film conductance to the -0.70 power (
N, x Go-°' 48G6-'° 70 ). The effect of the Wagner number is shown in Figure 9. The higher
the Wagner number the better the non uniformity because the ohmic effects become less
important at high Wagner numbers. It was determined that the non uniformity is
proportional to the Wagner number to the -0.60 power (N, K Go-4d-°7°Wa4-6). Thus
the nonuniformity depends as follows upon the main dimensionless parameters:
N, x Go 0.48 G,".
70
wa0.60 [23]
where the Wagner number for Tafel kinetics is defined as follows: WaT = acFirw
RTK [4
[24]
Based on this dimensionless analysis, it was attempted to scale-up the cup plater for
300 mm wafers. All the dimensions in the cup plater were scaled-up 1.5 times. If one
substitutes the parameters in Go, G, and War, then it turns out that the nonunuformity Njis
proportional to the wafer radius raised to the 1.78 power:
Nt K r 17 [25]
Applying Eq.[251 means that the nonuniformity of the 300mm wafers is expected to be
worse than the nonuniformity of the 200 mm wafers by a factor of 2. The result of the
' FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hampshire 03766
CONCLUSIONS
A model of a cup plater is described that takes into account the ohmic drop in the
electrolyte, the charge transfer over potential at electrode surface, the ohmic drop within
the seed layer and the plated film, and finally the transient effect of the growing metal
film as it plates up. Instead of treating the seed layer as a growing domain, we artificially
hold its thickness constant and allowed the sheet conductance to increase with time.
Additionally, the thickness of the seed-layer domain was artificially increased to facilitate
easier meshing. It is shown how all these transformations affect the resulting equations
and that one can solve for G which is the dimensionless sheet conductance of the growing
film.
The cup plater has a peripheral contact and adequate shielding and the resulting
thickness distribution is one order of magnitude more uniform than a case with point
contacts and without shields (5). The nonuniformity is a strong function of the plated
film sheet conductance, the Wagner number of Tafel kinetics, the seed layer sheet
conductance and the ratio of the contact area to the wafer area. Experimental verification
of the model shows that the agreement is fairly good but that mass transport effects of the
plating additives may be playing an important role as well. A simulated scale-up of the
cup plater for 300 mm wafers predicts that the nonuniformity for the 300 mm wafers will
be worse than for the 200 mm wafers by a factor of about 2.
REFERENCES
1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res.
Develop., 42, 567 (September 1998).
2. H.Kawamoto, J. Apple. Electrochem., 22, 1113 (1992).
3. M. Matlosz, P.-H. Valotton, A.C. West and D. Landolt, J. Electrochem.Soc., 139,
752 (1992).
4. P.-H. Valotton, M. Matlosz and D. Landolt, J. Apple. Electrochem., 23, 927 (1993).
5. S. Mehdizadeh and J.O.Dukovic, Extended Abstracts of the 184th Meeting of the
ElectrochemicalSociety, 93-2, Abstract No. 210, 1993.
6. H. Deligianni, J. 0. Dukovic, and S. Mehdizadeh, Extended Abstracts of the 195th
Meeting of the Electrochemical Society, May 2-7, 1999.
FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,
Lebanon. New Hamoshire 03766
T ER M, 1".^i
ABC
Contact Terminal
Ou I er Wafer surface
IlkI}
0 - sigma 4.6%
1.30000
c.d.
1.100000
.90000
.70000
.50000
v2•b
potential
= in ttie electrolyte
S(~-svq Ct)0
= potential in thT seed layer
0 U let
Fgr4.Shmtcoacupatrwithi
layed anlpaedyerarhon
the started
92uations ,telectrocyeiat
SentoyP, waoee ing ue, a
A 0.21 6.9%
1.30000 - 0.35 5.8%
C 0.52 4.3%
G. D 0.72 3.0%
A R (1., 2 0'
1.10000
G 2.. 1.211
.90000
.70000
.50000
5.00000 thickness
c.d. 15.2mA/=2
A - 0.13um 85%
4.02000 A B - 0.28 i- 49%
C - 0.4sum 32%
D - 0.66urm 22%
E - 0.1lum 16%
F - 1.20M., '%
3.04000 G &- ;Oý, 1.1%
H -2.Oum 6.4%
2.06000
2.08000
.10000
0 500
,. ----- MU - *805
__,00 ___- ___- __________-_- _ _' A1134
E10,000 -, 1424
=Bll-=•_
= - = - --Ir= •1681
o-2721
• I-_ - " '•" _ JR 3469
"04358
1,000 • 5522
F-- .. .... . 10300
IN19700
100-
-1 -0.5 0 0.5 1
Radial Position (dimensionless)
Figure 7. Comparison of experim ental thickness profiles
(points) determ ined at different time intervals as copper was
deposited onto a 500X seed layer to a final thickness of 2 p m
with predicted (solid curves) profiles by the model. The
agreement is fairly good at the intial stages of grow th (800A4)
and at the final profiles (i.e. lprm and 1. 9 7 pmu ).
I0-~~
=0.0
== =1.9
-i 44I II
0.1 I 10 100
G, average final thickness
Figure 8. N onuniform ity transient for a wafer w ith a
perip herald axisym m etric contact for different values of the
intial sheet conductance (G o).
~~~~~~ __ L. L
0.01-
0.1 I 10
G, average final thickness
Figure 9. Nonuniformity transient for a wafer with a
peripheral axisymmetric contact for different values of the
Wagner number (WaT).
25
a)
2
W 20
A 0 Model 200mm
Cn* Exp 200mm
.- 15 Model 300mm
Ct 10
E
0I)
.0
Ž5
0)
0
100 10000
1000 100000
Average Metal Thickness (Angstrom)
Figure 10. Relative o of the thickness profiles as a function of
plated thickness for 200 mm wafers both sim ulated and
experimental and model prediction for 300 mm wafers
ABSTRACT
INTRODUCTION
A Cu plating system with inert anode is more desirable than soluble anode
for reasons such as less impurity incorporation, more consistent additive
consumption rate, and less preparation time for plating after system idle time.
However, the bath components in an inert anode system are perceived as more
difficult to control because both Cu and sulfuric acid need to be balanced. Further
EXPERIMENTAL
Samples from plating tank were taken periodically and analyzed. Cupric
ions, sulfuric acid, and CI were measured by traditional titration method. Total
Blanket copper films were deposited at different stages of the bath to study
the film quality as the bath ages. In film impurities were measured by Secondary
Ion Mass Spectrometry (SIMS). Sheet resistance of the copper films was measured
using a four point probe station. In some cases, the sheet resistance of the blanket
films was monitored as a function of time after deposition to investigate its self-
annealing characteristic. Patterned wafer pieces were also deposited at different
ages of the bath to investigate gap filling capability of the bath as it ages under
automatic bath replenishing method. Scanning Electron Microscope (SEM) was
used to examine the cross sections of the patterned samples for gap filling capability.
Fig. 2 displays sulfuric acid concentration in the plating bath over five month
period of plating experiment with an automatic replenishing method. The
horizontal axis is expressed in terms of "turnovers". One turnover is the plating
time needed to plate out the Cu content of the plating solution completely and
replacing it with new Cu from additions made to the solution. In our set up, one
turnover is equivalent to plating 3,000 200 mm wafers with 1.0 um thick Cu film.
The fluctuation of sulfuric acid is less than (+/- 10%), which is within the process
window of copper plating.
The gap filling capability of the bath was also tested throughout the
experiment. Test wafer used for this study is 0.3 um trenches with aspect ratio of
5.5. Fig. 6 contains three SEM pictures of the sample deposited in the fresh bath, 5-
turnover, and 10 turnover with the same process recipe. All three samples have
seamless filling of copper film. These results indicate that the gap filling capability
of the bath remains good as bath ages.
SUMMARY
In film impurity does not increase as the bath ages. Films deposited at
different stages of aging have the same resistivity and self-annealing characteristic.
This indicates that the accumulation of total organic carbon in our system does not
affect copper film qualities. Most importantly, we also showed that gap filling
capability remains good as the bath ages in our system. The electroplating bath in
our study has reached its steady state after five turnovers. Therefore, we can
conclude that the electroplating bath can be used indefinitely in the CuTek
ElectroDep-2000 system.
t; 7
2 4
0.0
CL
CL0
P 25 23
2
Fig. 2 Sulfuric acid concentration over extended plating.
•- 150
One turnover means
S100 that the total amount of
0 Cu plated is equal to
that in the container.
o 50 In our case, it is
M equivalent to plating
0 3000 wafers
0 2 4 6 8 10
Plating time (turnover)
157
71 ° °* *°-
t. -
5
0
0 2 4 6 8 10
# Turn Over
250 -
200 r
8150 -TOO (sirnijrion)
S100 A TOC (experimental)
50
0~
0 2 4 6 8 10
# Turn Over
20
S16 ___________
E12
"0 8 , ,, l T°
s
-U-10 1 TO
T
S0
0 10 20 30
Time (Hr)
ABSTRACT
Deposition process parameters are known to affect the properties of copper films.
These process parameters include applied current density and additives in the bath
chemistry, as well as the concentrations of these additives (1). Our focus in this work was
to investigate the effect of current density on the self-annealing behavior of copper.
Blanket copper films deposited at higher current densities were found to change more over
time than those deposited at lower current densities. Films deposited at low current
densities contain more impurities than those deposited at high current densities. Resistivity
transients for a blanket film were compared and found to be similar to copper
electrodeposited in lines.
INTRODUCTION
The grain growth/recrystallization of copper deposits due to self-annealing of
electrodeposited copper is often quantified by measuring changes in resistivity and stress
after deposition (2-4). These changes have been seen to take anywhere from hours (3,5) to
weeks. Using deposition parameters to affect self-annealing by either increasing or
decreasing the amount of change will offer some understanding as to why certain films are
more stable than others.
Changes in the degree of self-annealing and the rate of self-annealing were studied
by altering the applied deposition current density as well as changing the deposition
waveform. Typical impurities of C, S, 0 and Cl are incorporated in electrodeposited
copper films. These impurities were measured in order to correlate impurity concentrations
to the self-annealing phenomenon.
In addition to monitoring the self-annealing of copper films with resistance and
stress measurements on blanket films, resistivity changes in copper electrodeposited into
lines were also measured.
The SIMS data presented are values of the impurities taken in the bulk of the
deposit. The values shown are concentrations taken at the same depth in all cases. The
trends presented have been reproduced for previously processed samples.
Stress measurements were taken at room temperature on a standard stress tool. The
radius of curvature was measured before the oxide deposition on each wafer and again after
each subsequently deposited layer. However, the stress values reported here for the ED
copper are in reference to the radius of curvature measurement of the seed prior to
electrodeposition and are presented as the change in stress from the initial value
immediately after deposition. Sheet resistance measurements were conducted with a
noncontact, eddy current method. The resistance values used to calculate the changes
reported are an average of 49 point measurements (6mm edge exclusion) on each wafer.
The changes in resistance shown in Figures 2 and 3 are calculated with respect to the value
measured immediately following deposition.
In order to ensure that changes observed for the ED copper with time were not
because of instability in the seed, one wafer with seed only was also monitored. A 1.5%
decrease in the seed resistivity and a 50 MPa change in stress occurred in the first 5 days,
after which very little change in resistivity and stress were observed. The time between
seed deposition and ED copper was five days in all cases.
Copper was also electrodeposited on a patterned wafer with lines of 0.4 and 19.3
micron widths. This wafer had the same underlying materials as the blanket wafers. Four
terminal resistance measurements were performed on 16 lines of each width on a regular
basis following deposition.
The stress of the films was also measured after deposition. Changes in stress for
the PR and DC waveforms are shown in Figures 5 and 6. The film deposited at the lowest
current density, 7 mA/cm 2 , for both waveforms show similar transients to those shown
elsewhere (3). This film is initially compressive (with respect to the substrate) and moves
to a near zero stress with time. However, the stresses of copper films deposited at higher
current densities show a very different behavior. Immediately after deposition, these films
were tensile. They increase to a more tensile stress, however, they then relax towards zero
stress. The changes in stress and decrease in stress relative to the initial stress are greater
for films deposited at higher current densities. The increase in stress and then relaxation
suggests a two-step mechanism of self-annealing for these particular films. Again, films
deposited with a DC waveform show greater changes in stress than films deposited with a
PR waveform.
Once the samples reach equilibrium, they should be annealed. The bulk values for
the resistivity at this point can be compared to note the effect of impurity concentration.
We were also interested in comparing the changes in the resistance of
electrodeposited copper in lines to ensure that what we observe on blanket films is not
dramatically different. Resistance transients for 0.4 and 19.8 micron lines are shown in
Figure 7. The decrease in resistance are in qualitative agreement with that observed in
blanket films. Differences in the transients between the lines of different widths may be
related to the thickness of the underlying copper seed. Preliminary data on resistivity
transients as a function of seed thickness show larger decreases in the resistivity of ED
copper films deposited on thinner seeds.
The trends in stress and resistance imply that deposits with less impurities are less
stable and self-anneal more at room temperature. However, changes in the microstructure
and grain size as a function of current density are not well understood and may also be
significant in explaining the data presented above. Microstructural differences between the
PR and DC waveform deposits may also explain the larger deviations from initial values
seen for the DC waveform data than for the PR waveform data. We have reason to believe
from the ion beam images shown in Figures 8 and 9 that the initial deposit microstructures
for low and high current densities are very different.
In order to determinethe mechanism by which these films self-anneal, it will be
necessary to monitor the mincrostructure of the deposits as they self-anneal. In addition to
ion beam imaging, orientation in the film via XRD as a function of time needs to be
studied. With this additional information, the role that impurities and microstructure play in
self-annealing may be better understood.
ACKNOWLEDGMENTS
We would like to give special thanks to the following contributors for their support
and help: Martin Gall, LaSandra Butler, Betty Burleson, Mike Tiner, Steward Rose and
Kitty Corbett (APRDL) and Kari Noehring and Erika Duda (Materials Characterization,
AZ).
REFERENCES
1. J. J. Kelly, C. Tian and A. C. West, "Leveling and Microstructural Effects of Additives
for Copper Electrodeposition", J. Electrochem. Soc., submitted, 1998.
-! 1.00E+19
C
- 1.OOE+18 0
S1.OE+17
1.OOE+16
5 10 is 20 25 30 35
Current Density ( mA/cm')
Figure 1. Impurity concentrations in the bulk of the deposit
as a function of current denisty for a PRwaveform.
1.00E+20
,.00E+19
I.OOE±180
CC
S1.00E+17 C.
1.OOE+16 .. .. ... .
5 10 15 20 25 30 35
2
Current Density ( mA/cm )
Figure 2. Impurity concentrations in the bulk of the deposit
as a function of current denisty for a DC waveform.
05
v -2
.•-2.5
-3 33 mA/cm3
-3.5
-4
-4.5
0 5 10 15 20 25 30 35 40
Time (Days)
Figure 3. Changes in resistance with time for four current
densities and a PR waveform.
0
-0.5
-1
. -2
. -2.5
a -3
S-3.5
-4 -4 33 mA/m•
-4.5
0 5 10 15 20 25 30 35 40
Time (Days)
35
7 mA/cm' c
30
925-T
5. 20o 20 mA/cm'
S15
IT
t~10
-5
-10
0 5 10 15 20 25 30 35 40 45
Time (Days)
Figure 5. Changes in stress with time for four current densities
and a PR waveform. C denotes an initially compressive film
and T denotes an initially tensile film.
40
35 7 mA/cm2 C
30
• 25
T
20
1
I 10
U 5T
-5
-10 , T
0 5 10 15 20 25 30 35 40 45
Time (Days)
Figure 6. Changes in stress with time for four current densities
and a DC waveform. C denotes an initially compressive film
and T denotes an initially tensile film.
19.8 micron
-2
0.4 micron
-2.5
0 5 10 15 20 25 30
Ti-e (D.•s)
Figure 7. Changes in resistivity with time for electrodeposited
lines of widths 0.4 and 19.8 microns.
fN
V07
ABSTRACT
Copper electroplating from baths containing additives has been shown to fill Damascene
structures because of a phenomenon called supetfilling in which plating rates increase along the
feature sidewalls and bottom making it possible to plate void-free and seamless deposits [1 - 5].
In the model of superfilling [1], additives are consumed at the wafer surface causing
incorporation of impurities or "dopants" in the plated film. We determine here the plating
parameters that play a role in defining dopant levels. We further explore the effect of these
parameters on the kinetics of the resistance transformation of electroplated copper. We conclude
that there is a correlation between dopant levels and resistance-transient kinetics; namely, the
higher the dopant level, the slower the transformation.
EXPERIMENTAL
Results of the matrix experiments are shown in Figures 2,3, and 4. With a few exceptions
especially at very low chloride concentrations (not shown here), the dependence of dopant
content on a parameter was similar for all dopants. Rotation speed and additive concentration
were more important in defining dopant content than current density. As shown in Figure 3, C
content decreased with current density especially at the higher rotation speeds, but increased
much more rapidly with rotation speed and additive concentration. The latter also played a key
role in defining the CI content of the film. As shown in Figure 4, Cl content depends weakly on
the Cl concentration in the bath, but very strongly on the additive concentration. In order to
verify the results of the DOE study, we performed experiments in which we varied rotation rate
and additive concentration keeping other parameters such as deposition temperature and
remaining bath chemistry constant. Results shown in the table below confirm the findings of the
Next we examined the role of bath age on dopant content. Bath samples from wafer
plating stations were withdrawn and mixed at different proportions with fresh baths of identical
composition as described before. Results of Figure 5 suggest that dopant incorporation does
NOT depend on bath age. Extensive use of baths therefore is not expected to cause performance
deterioration attributable to impurity incorporation. This result of course depends to a certain
extent on the particular chemistry used as well as the level of bath maintenance and control
employed.
Measurements of Rs transients were conducted in order to assess the effect of dopants /
plating parameters on the kinetics of the transformation of electroplated copper [8]. Results are
shown in Figure 6. For a constant bath temperature, the parameters that affect dopant
incorporation the most are current density, rotation speed, and additive concentration. It is seen
that an increase in additive concentration and rotation speed leads to a delay in the resistance
transformation and to an increase in dopant content. Similarly, an increase in plating current
density causes an acceleration of the resistance transformation and a decrease in dopant
incorporation. It is thus concluded that dopant content increase causes delays in the resistance
transformation of plated copper in accordance with the observations of Harper et al [8]. Results
shown in Figs. 7 and 8 corresponding to different bath temperatures as well as plating from three
different commercial chemistries are consistent with this correlation.
1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res.
Develop., 42, 567(1998).
2. P.C. Andricacos, Interface, 8(1), 32(1999).
3. P.C. Andricacos, Interface, 7(1), 23(1998).
4. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, in Advanced
Metallization Conference in 1998 (AMC 1998), C.S. Sandhu, H. Koerner, M. Murakami, Y.
Yasuda, N. Kobayashi, Editors, p. 29, Materials Research Society, Warrendale, PA (1999).
5. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, in
Electrochemical Processingin ULSI FabricationI and Interconnect and Contact Metallization:
Materials, Processes, and Reliability, P.C. Andricacos, J.O. Dukovic, G.S. Mathad, G.M.
Oleszek, H.S. Rathore, C. Reidsema Simpson, Editors, PV 98-6, p. 48, The Electrochemical
Society Proceedings Series, Pennington, NJ (1999).
6. J. Horkans, unpublished results.
7. V.G. Levich, Physicochenmical Hydrodynamics, p. 297, Prentice Hall, Englewood
Cliffs (1962).
8. C. Cabral Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell, T.M. Shaw, R.
Rosenberg, J.M.E. Harper, P.W. DeHaven, P.S. Locke, S. Malhotra, C. Uzoh, and S.J. Klepeis,
in Advanced Metallization Conference in 1998 (AMC 1998), C.S. Sandhu, H. Koerner, M.
Murakami, Y. Yasuda, N. Kobayashi, Editors, p. 81, Materials Research Society, Warrendale,
PA (1999).
9. J. Harper, C. Cabral, Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell, and
C.K. Hu, J. Apple. Phys., 86(5), 2516(1999).
0_
00
- CL
.. 0
Ecrl
• • .1.4£ - .,
C
172 .' :.:J
"... ...
.. 0
C)
S.....[ " o....
" • :
...
:.... ...L. 41
1ad
10 .4,0
- --
0. a 44
0il"-" 1 44 --0.4)
444
40 4-
S-4-
c~l ICq N
44 Cd C d. . . d . .. ) 0 44 -~
0 0
0 Ca
C -1
o D
.........
Ci
118EletrohemcalSocetyProeedngsVolme 9-
N N) 6,
o- 4-•o
x
o 4 ~o
C 0
r. . . . ,
0 mm
mwdd i0 ne/Is •
o•
t Co
CC
0U
0 0
Czt
00
U 4ý 0
-O 0f 0) 0n -OL)
(oi C?!' P )SJPZP~JN ~ 1Atl~ VM ~ ~1 WO
(oq jz~q
e~lui -dp~zp~j 0
______ 0
0 ~ 0
1: >
- rraw
Ia,,
E-_c
ac 0 .0 t
__ -1 _ - '-
el
r*O ~ n~ 0 ~ in
ro
ABSTRACT
INTRODUCTION
EXPERIMENTAL
All experiments were performed on 200mm wafers using Semitool's plating tool.
Trenches with various geometries and aspect-ratios were patterned in silicon oxide coated
wafers. Titanium Nitride (TiN) or Tantalum (Ta) diffusion barriers with nominal
thickness of 300 A were deposited on the trenches by vacuum techniques such as PVD or
CVD. Unless specified differently, a PVD copper adhesion layer with a nominal
thickness of 200A was deposited on top of the barrier by PVD techniques. This thin PVD
copper adhesion layer was electrochemically enhanced in Semitool's proprietary ECD
seed plating solution prior to the full deposition from an acid copper sulfate bath.
Plating time for the ECD seed was determined by the thickness of desired total
copper seed layer. Three different plating baths for ECD seed were examined for
conformal plating. Some wafers were plated directly using the acid copper sulfate bath
without the ECD seed enhancement and were compared to those processed with ECD
seed enhancement.
Potential sweep measurements were obtained using an EG&G potentiostat (Model
263). A three-electrode system was used in which a piece of wafer served as cathode, a
large area of platinum sheet as counter-electrode, and a platinum wire as reference
electrode. Scanning Electron Microscope (SEM, Amray) and Focused Ion Beam (FIB,
FEI Dual Beam 820 ) were used to examine the cross-sections of features after ECD seed
and full-fill deposition. Chemical etching rate of PVD copper seed as a function of
immersion time in the ECD seed plating solutions was obtained by measuring the
thickness change using a four point probe station (CDE, RESMAP).
Various ECD seed plating solutions were evaluated for conformal copper
deposition. Figure 3 compares results obtained from three ECD seed baths. Figure 3a
shows the SEM cross-section of collimated PVD copper with a nominal thickness of
1000A. The copper coverage inside the trenches was very limited and the step coverage
was estimated to be less than 5 percent.
Figure 3b shows the ECD seed copper deposit obtained from plating bathl.
Smooth deposits were obtained on the top of trenches, However, large copper crystals
were observed on the sidewalls of the trenches. Apparently this bath cannot be used for
ECD seed process because these large crystals may cause voids.
Figures 2c and 2d show the SEM cross-sections after ECD seed deposition from
bath2 and bath3, respectively. Conformal copper deposits were obtained and the step
coverage for ECD seed process was found to be higher than 60%. This provides a great
improvement for the total seed coverage (PVD copper plus ECD seed) within the
trenches and can significantly improve the gap fill from an acid copper sulfate bath.
Copper Direct Plating on Barrier Layer. The use of an ultra-thin copper flash adhesion
layer introduces its own problems. One of the most significant of these problems is the
fact that an acid copper sulfate bath, the most commonly used plating solution for copper
interconnects, cannot be successfully used to fill trenches on such ultra-thin layers. This
is because the high acid concentration bath normally attacks the copper at quite a high
rate. In addition, copper oxide can readily form when exposed to an oxygen-containing
environment and its removal in the acid copper sulfate bath can further reduce the copper
seed coverage, particularly on the sidewall inside the feature where the proportion of
copper oxide to metallic copper can be significant for a thin copper layer. The chemical
removal of copper oxide may result in non-continuous coverage of copper on the barrier
layer. Such non-continuous seed can be a potential spot for voids during the acid copper
plating. Another problem related to the ultra-thin copper adhesion layer is that the ultra-
thin layer cannot uniformly cover the barrier and may have some spots which are not
coated by copper. Copper cannot be plated directly on the exposed barrier layer from
acid copper sulfate baths. Therefore, it is desirable for the copper deposit from the ECD
seed bath to have relatively good adhesion to barrier layer.
To examine the adhesion of copper deposits to barrier layers, direct plating on
barrier layer was compared between ECD seed bath and an acid copper sulfate bath. The
acid copper sulfate bath normally produces powdered deposit with poor adhesion that can
be easily washed off with water. ECD seed bath provides a continuous, smooth copper
deposit with much better adhesion to barrier layers such as TiN, TaN, and WNx. Table 1
Chemical Etching Rate in ECD Seed Bath. Since a very thin PVD copper adhesion layer
is used, the ECD seed bath should have a slow chemical etching rate on copper to
minimize the thickness reduction of the original PVD copper layer. Figure 5 presents the
chemical etching rate of a copper seed layer as a function of immersion time in the ECD
seed bath. The wafer was immersed in ECD seed bath for a predetermined time for
chemical etching and then the thickness of the copper film was determined by using a 4-
point-probe station. An etching rate of less than 1A per minute was obtained for the ECD
seed bath. This is at least 20 times slower than the acid copper sulfate baths, which were
determined to etch at roughly 20A per minute. For clarity, the thickness change in an acid
copper sulfate bath is included in Figure 5 for comparison.
Conversion of Copper Oxide to Metallic Copper in ECD seed Bath. Copper oxide can
form readily on PVD copper seed if the seed is exposed to an oxygen-containing
environment prior to the ECD seed process. The oxide is normally removed in an acid
copper plating solution by a chemical dissolution process prior to the plating. For a thin
seed layer, particularly on the sidewall of the feature, the removal of this oxide can lead
to a significant reduction in the seed thickness. Thus, the ECD seed bath should not
dissolve the copper oxide but convert the copper oxide to metallic copper to minimize the
thickness reduction.
Figure 6 compares the potential sweeps obtained from our ECD seed bath. The
dotted curve was obtained on a copper deposit and the solid one on copper-oxide-covered
deposit. The copper oxide was formed by heating the copper deposit at 140'C for 10
minutes in air. As seen from Figure 6 for copper deposit, one current peak was obtained
prior to the onset of hydrogen evolution and this peak can be related to copper plating
from the ECD seed bath. For the oxide-covered deposit, two additional current peaks
were obtained before the copper plating from the ECD seed bath. Since the only
difference between these two samples is the existence of copper oxide, it is reasonable to
assume that these two additional peaks are related to the conversion of copper oxide to
metallic copper. This also eliminates the concern that there is any possible existence of
copper oxide between the PVD adhesion layer and ECD seed layer.
Full-Fill With Standard Copper Sulfate Bath After ECD seed Process
Full fill of features was carried out on some of the wafers after ECD seed. Figure
11 compares cross sections for trenches (0.25l., 4:1 AR) with 200A PVD copper. Figure
1 a was plated directly from an acid copper sulfate bath without our ECD seed and
Figure 1lb was plated with ECD seed. As expected, bottom-voids were observed in the
trenches without ECD seed and complete void-free fill was obtained after ECD seed,
indicating the need for ECD seed with a very thin copper layer.
CONCLUSIONS
A process has been developed using Semitool's patent-pending ECD seed layer
deposition. This process is capable of depositing a copper film on very thin PVD copper
flash layers that are used to provide adhesion for the ECD seed. The proprietary
chemistry was developed so as not to etch the copper adhesion layer, and it is able to
convert copper oxide to copper metal. Submicron trenches and vias have been
successfully filled after the ECD seed process.
The ECD seed layer process is useful in extending the inlaid copper metallization
process beyond the limit of PVD seed layers. This process will allow the semiconductor
industry to use current low cost copper deposition processes, even as device geometries
continues to shrink.
ACKNOWLEDGEMENTS
Table 1. Dependence of copper seed resistance and adhesion on ECD seed thickness (the
ECD seed was directly plated on TiN barrier layer)
..-... 4 .f ,<
(b) After ECD seed in bathl (d) After ECD seed in bath3
Figure 3:Comparison of copper deposits plated from different ECD Seed baths.
Figure 4: SEM cross-section of trenches (pIi, 2:IAR) filled with 700A ECD seed on TiN
barrier followed by standard copper full fill.
1000
000 Auo
- Coppenbath (20O/ran) "
-ECh Seed Cuon
latid fonte (otted
5400 P p Cu 7
0neco
200 250OA
TON 1500A C.
0 10 20 30 40 N0 80 Potential (V)
Etching Time (min)
Figure 7: 200A PVD copper adhesion layer at the center (a) and edge (b) of wafer for
trenches (0.25gt, 4:1AR)
Figure 11: Comparison of gap-fill for trenches (0.25 jim, 4:1 AR) with 200A PVD copper
layer.
(b) With 200A ECD seed (d) With 800A ECD seed
Figure 13: Comparison of the Gap-Fill using ECD Seed on 400A PVD copper for vias
(0.4prm, 3:1 AR).
Introduction
The atoms on the surface of a copper crystal immersed in a plating bath
are mobile at ambient temperature and will tend toward an equilibrium config-
uration by galvanic action and by surface diffusion. On the macroscopic scale,
this configuration may be faceted and contain regions of singular flatness. Al-
ternatively, the surface may be rounded, with a topography smoothed out by a
nearly isotropic surface tension. 1,2 Corresponding to these macrotopographies
are distinct microscopic configurations, the singular surface and the micro-
scopically rough surface. Facets give way to smoothly rounded3 4 features as the
temperature is raised above the local roughening threshold. '
At ambient temperature in vacuum, the equilibrium shape of copper is
faceted, and even viscinal faces roughen only at elevated temperatures. Cu(110)
has been shown to remain singular at least to 900 K 5 , and Cu(100) and
Cu(lll) to at least 770 K6 . Using helium scattering, Villain et al7 found a
roughening temperature T, of 431 K for Cu(113), 356 K for Cu(115) and 315
K for Cu(117), while Fabre et al found Tr=380 K for Cu(1l5). The interpre-
tation of these measurements has since been questioned, and X-Ray scattering,
9 LEED10 and recent He scattering" measurements suggest higher transition
temperatures. Hoogeman et a112 report direct observation of a rougheing tran-
sition at 465 K on Ag(115) by STM. At the same time, facets are not always
Subscript o refers to the bulk phase and subscript s to the surface. The
first term is the chemical potential of the bulk metal pro. The second term is
obtained by integration of a, the surface excess free energy per unit area, over
the metal-solution interface.
IL= po +0 ds
Because the chemical potential of the metal has a single value, the second
term can be expressed as a local constraint on the curvature K.1,2 For a two
dimensional crystal,
S=/to
+ tu(O + -•), [3]
K= -l = k
The characteristics of the equilibrium surface shape are determined by the de-
pendence of a on 0.1 Along close packed orientations at low temperature, the
surface stiffness approaches infinity, and the curvature approaches zero, form-
ing facets. Along these singular orientations, a negative curvature (convex)
produces a negative capillary potential, and the protrusion retreats to form
a flat interface. A positive curvature (concave) produces a positive capillary
potential, and the surface advances to form a fiat interface. For orientations
with finite positive 1P,Eq. [5] can be satisfied by a smooth convex or planar
surface. Orientations with negative stiffness are unstable and do not appear in
the equilibrium shape. For finite shapes, these directions form sharp corners,
whereas planar surfaces of unstable orientation decompose to a hill and valley
structure. 17 Similar remarks apply to terrace edges. An excess free energy per
unit length may be defined, and from its dependence on orientation, the edge
stiffness can be determined. Faceted terrace edges should be observed when
the edge stiffness is infinite.
The stability and equilibrium curvature of a given orientation are func-
tions of %Pand not of a alone. Adsorbates reduce a on any surface to which
they spontaneously attach. However, to produce infinite stiffness and facets,
adsorption must be narrowly focused on particular orientations. The forma-
tion of such ordered adlayers has been well documented for chloride on the
Cu(100) 2 1 - 2 5 and Cu(111)21,26,27 surfaces.
Microscopic Description
As the temperature of a surface is raised above the roughening temper-
ature Tr, its stiffness is reduced and it no longer appears as a facet in the
equilibrium shape. On the microscopic level, this corresponds to a shift from
the low entropy, low energy singular surface toward the high entropy surface
populated by islands and adatoms. 18- 20 At ambient temperature, low-index
copper surfaces are below the roughening temperature in vacuum. However, in
Ur L.1 [6]
2 v
Lo is the binding energy of an atom in the bulk, 771the number of nearest
neighbors in the layer below and v the number of nearest neighbors in the bulk.
In the island model, a partition function based on the energy of formation of
edges is computed. The edge free energy vanishes, and islands of all sizes
proliferate at temperatures above
kTr = n [7)
J is a coupling constant that gives the energy cost of a step change in the
surface height. For a vicinal surface, roughening may occur by proliferation of
kinks at a temperature given implicitly by
W., Wo
U (I-) 2 [8]
Experiment
Deposits were formed in dilute cupric sulfate to avoid rapid attak of the
substrate by cupric ion. The basic solution was 0.01 M CuSO4 / 1.0 M H 2 S04.
0.1mM or 2.0 mM chloride as HCl was added to two of the solutions. Two
solutions without added chloride were prepared, one with reagent grade ma-
terials and another with Aesar Puratronic cupric sulfate and sulfuric acid.
All of the solutions were made with demineralized water which was doubly
distilled and passed through a Nanopure II filtration system. Copper single
crystal disks of orientation 100 and 110 were obtained from Monocrystals In-
corporated. They were polished with 0.05 pm alumina on an irrigated wheel
and then electropolished in orthophosphoric acid. After polishing, the samples
were rinsed sequentially in 10 % nitric acid, 10 % sulfuric acid and water.
The surfaces were imaged with a Digital Instruments Nanoscope E AFM
in both deflection and height mode in a fluid cell. Electrolyte was allowed to
flow slowly through the cell by gravity from a reservoir. The counter electrode
was placed in the upstream reservoir, and a Hg/HgSO4 reference electrode
was placed in a downstream receiver. A constant potential was applied to the
working electrode with a PARC Model 362 potentiostat. The open-circuit po-
tentials varied between -410 and -430 mV versus the reference. In the following
section, working electrode potentials are reported versus open circuit.
Conclusion
Copper immersed in solution may undergo adsorbate-induced roughen-
ing/faceting transitions at ambient temperatures. Immersion in CuSO4 /H 2S0 4
solution eliminates facets at low overpotentials. The reappearance of facets at
high overpotentials may be accompanied by expulsion of specifically adsorbed
sulfate, suggesting that this specie plays a role in roughening. Specifically ad-
sorbed chloride stiffens the Cu(100) surface and restores the singular interface.
Chloride also stiffens (100) edges, but not (110) edges, on the Cu(100) surface.
Our results support the conclusion of Vogt et al that faceting of the Cu(100)
14 15
surface in chloride solution is a thermodynamic effect. ,
Acknowledgements:
This work was supported by the National Science Foundation under Gr. Nos. CTS-
9306837 and CTS-9622634
References
1. C. Herring, Phys. Rev., 82, 87 (1951).
2. C.Herring in Structure and Prooperties of Solid Surfaces, R. Comer and
C.S. Smith eds., University of Chicago Press (1953).
3. J.C. Heyraud and J.J. Metois, Surf. Sci., 128, 334 (1983).
4. J.C. Heyraud and J.3. Metois, J. Crys. Growth, 82, 269 (1987).
5. P. Zeppenfeld, K. Kern, R. David and G. Comsa, Phys. Rev. Lett., 62, 63
(1989).
6. J. Lapujoulade, J. Perreau and A. Kara, Surf. Sci., 129, 59 (1983).
7. J. Villain, D.R. Grempel and J. Lapujoulade, J. Phys. F, 15, 809 (1985).
8. F. Fabre, D. Gorse, J. Lapujoulade, and B. Salanon, Europhys. Lett., 3,
737 (1987).
9. K.S. Liang, E.B. Sirota, K.L. D'Amico, G.J. Hughes and S.K. Sinha,
Phys. Rev. Lett., 59, 2447 (1987).
10. J. Wollschlager, E.Z. Luo and M. Henzler, Phys. Rev. B, 44, 44 (1991).
11. H.J. Ernst, R. Folkerts and L. Schwenger, Phys. Rev. B, 52, 52 (1995).
12. M.S. Hoogeman, M.A.J. Klik, D.C. Schlosser, L. Kuipers and J.W.M. Frenken,
Phys. Rev. Lett., 82, 1728 (1999).
b c
Figure 1. Cu(IO0) surface with chlorine overlayer. The lower copper layer is
shown in light gray, the upper copper layer in dark gray and chlorine in white.
a. Adatoms, b. terrace edge, (110) direction, c. terrace edge, (100) direction, d.
kinks on the (110) edge, e. kinks on the (100) edge.
z,.00
c d
e -d
I Md
ef
c d
1.00
c d
I~w 0
C d
e f
Abstract
In this paper, we report on the electrochemical deposition of copper on a
30 nm TiN barrier film from pyrophosphate solution. We show that
deposition occurs through the instantaneous nucleation of hemispherical
clusters followed by diffusion-limited growth over a wide potential range.
In this potential regime, the nucleus density increases exponentially with
applied potential.
Introduction
Copper deposition onto most diffusion barrier materials occurs through Volmer-
Weber island growth [1,2]. In order to electrochemically deposit continuous thin films it
is essential to develop a fundamental understanding of the mechanism of nucleation and
growth as a function of solution chemistry and applied potential. In this paper we report
on the deposition of Cu on unpatterned TiN surfaces from pyrophosphate solution.
Experimental
The substrates for deposition were prepared by sputter deposition of 30 nm TiN on n-
Si(100), N, = 1 x 10"'cm 3 (Wacker Siltronic, AG). The TiN layer was rf sputtered at
room temperature for about 1 minute (V, = 620 V). In all cases ohmic contacts were
made to the back side of the silicon wafer using InGa eutectic. Since the n-Si/TiN
contact is ohmic, this method avoids limitations associated with the sheet resistance of
the TiN layer. The aqueous 50 mM Cu(II) solution was prepared from 25 mM
Cu 2P 2O7 "3H 20 with 0.2 M K4 P20 7 . The pH of the solution was adjusted to pH 8.5 with
pyrophosphoric acid (H 4P 2 0 7 ). From the equilibrium constants, we determine that > 99%
of the Cu(II) is present in the form of Cu(P 20 7 ) 6 -.
The experiments were performed under ambient conditions using a conventional
three-electrode cell with a Ag/AgCI (3 M NaC1) reference electrode connected via a
Luggin capillary and a platinum gauze counter electrode. All potentials are given with
respect to the reference electrode (0.22 V vs. NHE).
where c. is the bulk concentration, N. is the nucleus density, and V is the molar volume
of the deposit. For progressive nucleation, the time-dependent deposition current density
is given by:
i21.942tmax
=
• =1942F 1 _exp(_l1.2564 t__ (3
{3}
1
max L tmax
S2= tma t2 ]
2 1.2254 m -exp - 2 .3 3 6 7 {4}
'max t . tmax
From Figure 3 it can be seen that the deposition transients in the potential range from
-1.1 V to -1.3 V follow the theoretical growth law for instantaneous nucleation followed
by diffusion limited growth. At more positive potentials the transients follow the
instantaneous nucleation growth law at short times but then deviate at longer times due to
the second peak. At potentials negative to -1.4 V, the deposition current at long times is
larger than predicted by the instantaneous nucleation model due to water reduction on the
copper clusters.
According to the model for instantaneous nucleation followed by three dimensional
diffusion limited growth [3,4], t_ and i.., are given by:
tmx= 1.2564 / 5
max - NoirD(87cOV)I/ 2 (51
/ -1/2( "2
N0 =0.65 1 ) zFc0 2(7)
8c 0 VJ Himaxtmax
Figure 5 shows the potential dependence of the nucleus density obtained from
analysis of the current transients according to equation (71. The exponential dependence
of the nucleus density on potential suggests thermal activation of nucleation sites,
consistent with classical nucleation models [5,8] where No - exp(-eAU/kT).
Analysis of deposition transients shows that deposition of copper on TiN from 50
mM copper (II) pyrophosphate solution proceeds through instantaneous nucleation of
three dimensional hemispherical clusters and diffusion limited growth. Determination of
the diffusion coefficient from the current maximum and analysis of the current decay
using the Cotrell equation yielded values of 1 x 10.6 to 2 x 10.6 cm2 s-', slightly lower
than the value for Cu2 * ions due to the presence of the pyrophosphate ligand. The
potential dependence of i,,, and tin,asuggest that the nucleus density is the only potential
dependent parameter.
Acknowledgements
This work was supported by SRC and the National Science Foundation under grant
CTS-9732782.
References
1. G. Oskam, J. G. Long, A. Natarajan, and P. C. Searson, J. Phys. D: Appl. Phys., 31,
1927 (1998).
2. G. Oskam, P. M. Vereecken, and P. C. Searson, J. Electrochem. Soc., 146, 1436
(1998).
3. G. Gunawardena, G. J. Hills, I. Montenegro, and B. R. Scharifker, J. Electroanal.
Chem., 138, 225 (1982).
4. B. R. Scharifker, and G. J. Hills, Electrochim. Acta, 28, 879 (1983).
5. E. Budevski, G. Staikov, and W. J. Lorenz, Electrochemical Phase Formation and
Growth, VCH, Weinheim (1996).
0.015
0.01
0.005
0
-0.005 b 2
Figure 1. Current-potential curves for TiN in 0.25 M K4P20 7 with (a) 0 and (b) 50 nM
Cu(II) at a scan rate of 10 mV s-'. The first scan (1) was initiated at the open-circuit
potential (-0.1 V).
Figure 2. Current transients for the deposition of copper on TiN at (from top): -0.9 V,
-0.95 V, -1.00 V, -1.05 V, -1.10 V, -1.15 V, -1.20 V, -1.25 V, -1.30 V, -1.35 V, -1.40 V,
-1.45 V, and -1.50 V
F 0.6 0.6
0.4 0.4
0.2_ 0.2
-0.95V -105V
0 1 2 3 0 1 2 3
1 -I - 1 I
0.8 0.8
E 0.6 0.6
0.4 0.4
o
0.2
0 1 2
-1.1-115V
3
C
0.2
0 1 2 3
11
0.8 0.8-
E 0.6 0.6-.-,,
0.4 0. 4
0.2 0.2
(-1.2V I j-1.3V
0 C,
0 1 2 3 0 1 2 3
t/tmax t/tmax
Figure 3. Reduced parameter plots for selected transients for the deposition of copper at
-0.95 V, -1.05 V, -1.10 V, -1.15 V, -1.20 V, and -1.30 V. Also shown are the theoretical
curve for instantaneous (dashed line) and progressive (solid line) nucleation.
10l-2 10l
103
1T 17
1 0 -4
I 0.1
-1.5 -1.3 -1.1 -0.9 -1.5 -1.3 -1.1 -0.9
U (V vs. Ag/AgCI) U (V vs. Ag/AgCI)
Figure 4. Potential dependence of t... and ia,,, obtained from the current transients
plotted versus the deposition potential.
108 I ,
8 S107o
_ 0
00
z 0
106 0
0
0
105
-1.5 -1.3 -1.1 -0.9
Potential (V vs. Ag/AgCl)
Figure 5. Nucleus density determined from deposition transients plotted versus the
deposition potential.
INTRODUCTION
The mechanism of an electrochemical reaction at semiconductor electrodes depends upon
the position of the redox Fermi level in solution with respect to the position of the
bandedges of the semiconductor. In this study we investigated the reduction of copper
ions on Si surfaces in HF solutions and we examined the effect of adding HCI to the HF
solutions.
EXPERIMENTAL
Si samples were cut from n-type (N, = 2.7-5x 10'" cm- 3) and p-type (NA = 4.3-6.5x 10''
cm-3) Cz Si(100) wafers. The samples were cleaned by immersion in a H2SO 4/H202
(volume ration 4/1) solution at 100°C followed by a 0.5% HF dip at room temperature.
This procedure results in an oxide-free, hydrogen-terminated, ultra-clean Si surface.1
Ohmic contacts on the backside of the samples were made by applying a Ga-In alloy. The
Si electrode surface exposed to the solution (0.28 cm 2 ) was defined using a Viton washer
in a PCTFE holder. The electrochemical experiments were performed using a
conventional three-electrode cell containing a platinum counter electrode and an
Ag/AgCI reference electrode. All potentials are given with respect to the Ag/AgCI
electrode. Prior to the measurements, high-purity N2 was bubbled through the solution in
order to remove dissolved oxygen. During the measurements an N 2 blanket was
maintained above the solution. All electrochemical experiments were carried out in
darkness. We studied the reduction mechanism of copper ions in the following solutions:
I M HFE + 0.1 M HSO.1, IM HF + 0.1 M HC1 and I M HF + I M IICI. Copper was added
in the ItF/H 2S0 4 and HF/HCI solutions as CuSO 4 and CuCI 2, respectively.
REFERENCES
NIl.Nieuris ei el.. Proc. ECS Fall meeting 1993 (ECS, Inc., Pennington, 1994) p. 518.
- F.S. Kooij and D. Vanmaekelbergh, J. Electrochemn. Soc., 144, 1296 (1997).
0.05
0 - - -- --- --
- -- ----
/E
;i 0 --
E
-0.1
-0.05
-0.2 -0.1
-1 2 -0.8 -0.4 0 04 -1.2 -0.9 -0.6 -0.3 0
U vs Ag/AgCI (V) U vs Ag/AgCI (V)
Figure 1. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a 1 M HF
+ 0.1 NI 112SO4 with (full line) or without (dashed line) 0.5 mM CuSO 4 .
0.05 0.01
(a) (b)
E 0
-0.05 -0.01
-0.1 -0.02
-1.2 -0.8 -0.4 0 0.4 -1.2 -0.8 -0.4 0
U vs AgIAgCI (V) U vs Ag/AgCI (V)
Figure 2. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a I M HF
+ 0.1 M HCI with (full line) or without (dashed line) 0.5 mM CuCI2 .
0.1 0.005
(a) (b)
00---- 0 -- -- -- -- -- -- -- -----
-0.1 -0.005
-0.2 -0.01
-1.2 -0.8 -0.4 0 0.4 -1.2 -0.9 -0.6 -0.3 0
U vs Ag/AgCI (V) U vs Ag/AgCI (V)
Figure 3. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a I M HF
+- I M HCI with (full line) or without (dashed line) 0.5 mM CnCI2.
6 3
_Sp-Si "-Si
'E 'E
4 2
on-Si 0
o p-Si U,
2 1I.
o 0
-14 -0.7 0 0.7 14 -1.5 -1 -0.5 0 05
U vs AgIAgCI (V) U vs Ag/AgCI (V)
4 n-sl
E
b 2
0 ns
-1.5 -075 0 075 1.5
U vs Ag/AgCI (V)
P. Allongue
CNRS UPR 15, Universit6 Pierreet Marie Curie.
Tour 22, Place Jussieu, 4. ParisF-75005
INTRODUCTION
Metal ions can be reduced and deposited on the silicon surface when
they withdraw electrons from the substrate, but different effects can be
expected if the transfer of electrons is done with the conduction band (CB, free
electrons) or from bonding levels (valence band, VB). Several factors must be
taken into account to dilucidate the mechanism, namely the chemical potential
of the metal system in solution, the energy of silicon bandedges and the band
bending at a given pH, and the chemistry of the silicon surface in the solution
under study. The experimental energy diagrams can be sketched to give an
Fluoride solutions are known to etch the silicon oxide and even silicon
itself, depending on pH and the availability of holes at the surface. In the
steady-state situation at the open circuit potential (OCP), the oxidation current
through the silicon surface is balanced with a cathodic current of the same
amount and opposite sign, such as to yield net zero current. Thus the OCP of
the system is the potential leading to the same rate for the two reactions
("mixed potential"). The cathodic current may be due to the reduction of protons
or water molecules (hydrogen evolution reaction, HER) or the reduction of
metal ions if they are present in the solution. Actually a competition between
both cathodic reactions is established, and given a set of conditions, the
reaction having faster kinetics will be the prevailing one. The two half-cell
reactions usually occur at different sites of the surface, namely cathodic (metal
nucleation) sites and anodic (substrate corrosion) sites. Results concerning the
deposition of Pt and Ni are presented, including the analysis of their coupled
effects with the silicon oxidation reaction.
/ --500 -1000c
Conduction Bandedge
-0 -500- NEIFN'
0-
Pt*41Pt° - 500
ValenceBandedge
500
Potential Potential
E /mV vs SCE E ImV vs SCE
Figure 1: Energy diagrams showing the relative positions of the silicon bandgap and the
chemical potential of platinum ions (left) and nickel ions (right) influoride solutions.
The silicon (100) substrates were cut from n- and p-type wafers
(Siltronix) having a resistivity of 1 0.cm. Ohmic contacts were obtained by
painting the rear side with InGa alloy. Electrochemical measurements were
performed in a teflon cell using the standard three-electrode configuration and
in darkness unless otherwise specified. The potentiostat was a Solartron
Electrochemical Interface 1287 and capacitance measurements were
performed with a Solartron Frequency Response Analyzer 1255 at 25 KHz.
Prior to the experiments, and in order to have a well-defined departure surface,
samples were electropolished as described in [4]. In this way, reproducible
measurements of the Si flatband potential using the Mott-Schottky method can
be obtained. Concentrated HF was used to remove the Si oxide, and all other
solutions were freshly prepared from reagent-grade chemicals (Merck) and
MilliQ water. Platinum deposition solutions were 2 M fluoride (pH=l) and 1 mM
K2PtCl6. Nickel deposition solutions were 50 mM NiSO 4.6H 2O in 5 M fluoride at
pH<1 and pH=8 (prepared from concentrated HF and NH4F respectively).
Samples were inspected by SEM (using either a Leica Stereoscan S-360 or a
Cambridge S-120 equipped with energy dispersive X-ray analysis), TEM
(Philips CM-30) and tapping mode AFM (Nanoscope Ill).
Platinum deposition
Due to the overlap between the Pt 4÷/Pt redox level in solution and the Si
VB (figure 1, left), Pt ions can easily withdraw electrons from the VB (i.e. inject
holes). This occurs even at the OCP and leads to Pt deposition. Whenever
holes are captured at the surface in the presence of fluoride ions in the
solution, the silicon will be simultaneously oxidized. The hole injection current
can be measured as a cathodic plateau in the I-V plot of a p-type electrode.
The value of the plateau (hole injection current) depends on the concentration
of Pt 4* ions in solution and in the stirring conditions, as corresponds to a
diffusion-controlled process [5]. It is well known that relatively low oxidation
currents in fluoride media lead to the formation of porous silicon (PS), whereas
larger currents result in the condensation of an oxide at the silicon surface
(electropolishing regime) [6]. In the conditions employed in the experiments,
hole injection currents of a few hundreds of pA/cm 2 were obtained, while the PS
regime spans 20 mA/cm 2 in a 2 M fluoride solution [7]. Thus the Si substrate
undergoes an oxidative process in the PS regime simultaneous to (and as a
result of) the Pt deposition at the OCP. Figure 2 shows a TEM cross-section of
the PS-like layer that is formed at the anodic sites around the deposited Pt
nuclei. As a consequence of the PS formation, samples deposited in this way
display visible photoluminescence [7]. Increasing the hole injection current due
to Pt ions (by increasing Pt concentration or solution stirring) or decreasing the
fluoride concentration can lead to the formation of oxides in the electropolishing
regime. In a coarse approach, Pt reduction is spontaneous because it depends
little on the band bending or the silicon type (there are always bonding electrons
available at the surface) and therefore Pt deposition can be regarded as the
initiating step of the overall reaction. In addition, Pt reduction is kinetically faster
than the HER as the cathodic reaction, because the H*/H 2 redox level is more
negative than Pt4*/Pt at this pH. This point is further developed in the case of Ni.
The Ni2 /Ni redox level lies far from both the CB and the VB, so that in
principle there is no charge available for deposition. This is shown for pH<1 in
figure 1 (right), and is in agreement with the fact that Ni could not be deposited
from low-pH fluoride solutions neither at the OCP nor under negative bias.
However, increasing solution pH up to 8 enabled Ni to deposit on the surface
either by hole injection or by electron extraction (figure 3), while the relative
position of the Ni level and the Si bandedges remains essentially unchanged.
Furthermore, voltammetry (stripping) measurements yield an OCP deposition
rate ten times larger on n-Si (0.19 ML/s) than on p-Si (0.02 ML/s). The
appearance of n- and p-type samples is also quite different: when compared
with solutions free of Ni ions (figure 4A), the n-Si substrate roughness is strongly
enhanced by Ni deposition (4B), whereas it is practically unchanged in p-Si
either in the dark (4C) or under illumination (4D).
a) 1
)-2
-105 -0.5 0.0
Potential E I V vs SCE
Figure 3: Voltammograms showing that holes are injected from nickel ions into the p-Si VB
(lower), and that electrons can also be withdrawn from the CB under illumination (upper).
where the rate-determining step is (la) and the overall rate for steps (lab) is
highly pH-dependent: 0.03 nm/min at pH=l and 0.5 nm/min at pH=8 [9]. Si-H
bonds can be regarded as weakly acidic, since they are more dissociated as
the pH increases. The radical Si* represents a Si atom with one unpaired
electron and is also involved in the cathodic counter-reactions, namely
hydrogen evolution (HER):
Therefore the anodic and cathodic reactions are coupled through the
formation of Si" sites. The fact that Ni is deposited at pH=8 and not at pH<1 can
be explained within the framework of the above set of reactions. At pH<1 two
facts are against Ni deposition: (i) the Si dissolution rate is very small (<0.1
nm/min), and (ii) dissolution is simply balanced by the HER. The kinetics of HER
is actually faster than the reduction of Ni2+ ions since the redox potential
Eo[Ni2 /Nil < Eo[H+/H 2]. In other words, the weak dissociation of Si-H bonds and
the strong concentration of protons at low pH favor the HER as cathodic
counter-reaction. The mixed potential is thus established without participation of
the Ni2+ ions, which cannot even withdraw the bonding (VB) electrons of the Si-
H bond (hole injection).
CONCLUSIONS
REFERENCES
ABSTRACT
Copper (Cu) has been actively pursued as the most promising candidate for
replacing the current Aluminum (Al) metallization for submicron device
interconnection because of its higher electrical conductivity and better resistance to
electromigration. In this work, Cu was deposited on A]/Cu/Ti seeding layer by
electroless plating method from formaldehyde-based solution with EDTA as a
complexing agent. The effect of heat treatment on the electroless plated Cu film under
inert, oxidizing and vacuum ambient conditions was studied. The temperature of the
heating ambient was varied from 200'C to 400'C. The microstructure of electroless
deposited Cu film was observed using both the SEM and AFM. The X-ray diffraction
(XRD) analysis, revealing an increase of the intensity ratio 1(111)/1(200) of the (111)
and (100) X-ray peaks, indicated a growth of the (111) crystallographic orientation for
the electroless deposited Cu film with heat treatment. The EDX analysis also showed
a change in the element concentration of the electroless Cu sample before and after
heat treatment. This is due to an inter-diffusion of Cu to the seeding layers.
INTRODUCTION
As the ULSI device dimensions approach the submicron region, the current Al-
based interconnect materials face more problems in integrated circuits, such as
electromigration and delay time. Cu is a potential substitute for Al metallization due
to its higher conductivity and better resistance to electromigration. Cu can be
deposited by various means such as PVD, CVD and plating (electro- or electroless
plating). Electroless Cu deposition is a potential process for Cu metallization due to
its high selectivity, low processing temperature, low cost and good filling capability
[1]. The obstacle to the widespread application of Cu technology is however, its
oxidation rate. Cu oxidizes at a significant rate for temperature of as low as 150'C,
forming a non-protective surface. Studies on the phenomenon of Cu oxidation
reviewed that the low temperature (<2501C) oxidation process follows an inverse
logarithmic rate law, which is a mixture of both parabolic and cubic behavior for
intermediate temperature; and a domination of parabolic rate at high temperature [2].
It was reported that the presence of a strong (111) crystallographic structure is one
of the important parameters that affect the electromigration performance of the
interconnect lines [3,4]. Thermal annealing is an integral processing step in wafer
fabrication and the heat treatment can modify the crystal microstructure and the
electrical properties of electroless deposited Cu.
The objective of this work is to compare the oxidation behavior of electroless
deposited Cu at different annealing conditions. The variations of the microstructures
of electroless deposited Cu films were studied using both Scanning Electron
EXPERIMENT
In our study, a three-layered Al/Cu/Ti film was employed as the seeding layer for
electroless Cu deposition process. These metal films were deposited using the
electron-beam evaporation technique and the substrates employed were thermally
oxidized <100> silicon wafers. Ti is employed as the first layer, to serve as a
barrier/adhesion promotion layer since Ti adheres well to most dielectric substrates
and can prevent Cu diffusion into SiP 2. The second layer, Cu is the best homogenous
catalyst for electroless Cu deposition. The last layer, Al is a sacrificial layer to prevent
Cu oxidation before immersing into the electroless deposition solution.
The electroless deposition solution consisted of 3 g/l of CuSO 4 to function as
oxidant; 8 g/l of EDTA to serve as a complexing agent, to prevent Cu precipitation in
the solution; and 4 ml/1 of HCHO to work as a reductant. The electroless bath was
maintained at 651C with a pH value of 12.6. The electroless plated Cu film was about
1 prm thick for all the samples employed in this study.
The Cu film samples were treated in inert (nitrogen), oxidizing (oxygen) and
vacuum ambient at temperatures ranging from 2001C to 400*C and the details are
represented in Table 1. The surface microstructure of the annealed Cu film was
analyzed using SEM and the surface morphology was observed via AFM. The crystal
structures before and after thermal annealing were investigated by X-ray diffraction
analysis. The resistivity of the Cu films was measured using four-point probe.
Fig. 1 and Fig. 2 are the SEM micrographs of as-deposited and annealed
electroless deposited Cu films after annealing for 25 minutes at 300 0 C in inert
(nitrogen) ambient. It can be seen clearly in Fig. 2 that the grain sizes had increased
and the grain boundaries reduced after the heat treatment.
The surface roughness of electroless deposited Cu films can be improved by
thermal annealing. In our study, the roughness of as-deposited Cu was 125 A for a 1
gm thick film. The roughness reduced to 109 A after annealing for 25 minutes at
2001C in nitrogen. When the as-deposited Cu film was annealed at 300 0 C, the
roughness reduced to 106 A. Similar results had been observed for other annealing
The quality of electroless deposited Cu after heat treatment was studied using
AFM, XRD and SEMIEDX. The surface morphology of electroless Cu was much
smoother after heat treatment. The oxidation products, Cu 20 and CuO increased
significantly with increased in annealing temperature, in both nitrogen and oxygen
ambient. The copper oxides are believed to have formed in the heated N 2 ambient due
to the adsorbed moisture layer on the Cu film surface. Heat treatment in vacuum is a
more effective method for preventing oxidation of Cu film. At temperature greater
than 350'C, the adhesion of electroless Cu to the base material becomes poor. The
resistivity of electroless deposited Cu reduces after annealing at 200 0C due to the
reduction of grain boundary scattering and surface scattering. However, at 300'C in
an oxygen ambient, the increased in oxidation products, Cu20 and CuO causes the
resistivity of electroless deposited Cu film to increase.
ACKNOWLEDGEMENT
The authors would like to thank Mr. Ang K. S. for performing the SEM/EDX
analyses.
REFERENCES
1. V.M. Dubin, Y.S. Diamand, B. Zhao, et al, J. Electrochem.Soc.,Vol. 144, No.3,
p.898, (1997).
1200 (
1000
800
600 (200)
400
200-
0 1 -- 1 . , , , - I
20 40 60 80 100 120 140
2-Thela
1600 "
1400
1200
.,.1000
C800
600
400
200
2400,
2000•
1600-
1200
S800
400
01-- -,- 1- 1. I . .
•,
200
>,s
=r
;o
2-Theta
100. CU(111)
S o
0~
so.
40-O
2-Theta
2500-
2000-
15000
S1000
500= Soo
0 CU
Ti
00 5 10 15 20
Energy (KeY)
INTRODUCTION
EXPERIMENTAL
8 x 8 mm 2 silicon samples were cleaved from 3" silicon wafers (SILTRONIX, n-type,
1-10 Qcm, < 0.5' miscut). After thermal oxidation (1100 'C, - 100 nm of oxide
thickness), the samples were ultrasonicated in methanol, immersed for 30 min in
bidistilled water (BW) mixed with 4% Labwash 12 (Prolabo) and finally etched for 1
min in 40% HF to remove the silicon oxide and produce an H-terminated surface.
Deposition was performed either from the High Efficiency (HE) or the Strike CuCN
solutions. Both solutions consist in a mixture of NaCN and CuCN and Rochelle salt
(potassium sodium tartrate) in NaOH (pH 13-14, see Table I).
Table I: Composition of the CuCN solutions.
0~ ~ ~ ....................
......
-150
-300
-2,4 2,1 -i '8 -1,5 1,2 -0,9
U (V)
After plating, the first test of deposit was controlling the mechanical adhesion of Cu
layers using the adhesive tape test. All films passed successfully this test whereas
films obtained from an acid CuSO 4 solution never passed the same test. The excellent
mechanical properties of Cu films deposited from alkaline cyanide solutions is
therefore presumably related to some specific interactions between the CN ions and
the Si surface as recently observed for the electrodeposition of gold on n-Si from
KAu(CN) 2 solutions (6).
10
n-Si/Cu contacts
anl
n
X× .
S0,1 nn=. x×E
0,101 .......... - %
XMXE 0 xxx
IE-3
-180 -120 -60 0 60 120 180 240
Bias (mV)
(B n
0 621 1.22
1 662 1.21
2 671 1.17
3 694 1.24
4 685 1.29
10 688 1.27
20 683 1.32
30 682 1.35
a) b)
(B EF - - -
E Eredox Eredox
ýU
50 rn1
n-Si/Cu/Ni
contacts
e0
z~0,1
01 O0 o1
•_0,01 e.ooooooo°•
e°o
U IE-3
CONCLUSIONS
In summary, this study shows the great possibility of generating Cu/n-Si junctions
with a nearly perfect rectifying behavior from CuCN solutions. Diode characteristics
are comparable to those reported for contacts prepared by physical methods and are
not appreciably subject to modification with time. The second promising point is the
high adherence of Cu films, which was exploited to electrodeposit adherent Ni films
from a modified Watts bath. This two step procedure seems to solve the major
difficulty encountered upon growing thick metal layers onto H-Si surfaces from
acidic solutions and enables to prepare stable electrical junctions with defined
electrical properties.
ACKNOWLEDGMENTS
This work is part of the QUEST-Project MEL ARI 23274 supported by the European
Community.
REFERENCES
1. see, for example, the review article Copper metallization in Industry, MRS Bulletin
Vol. XIX, No. 8 (1994).
4. G. Oskam, J. G. Long, A. Natarajan, and P. C. Searson, Appl. Phys., 31, 1927 (1998).
6. G. Oskam, D. van Heerden, and P.C. Searson, Appl. Phys. Lett. 73, 3241 (1998).
8. S.M. Sze, Phiysics of SerniconductorDevices, 2nd ed., p. 291, John Wiley & Sons, New
York (1973).
ABSTRACT
INTRODUCTION
" Currently with Eastman Kodak Co., 1999 Lake Ave., Rochester, NY 14650.
EXPERIMENTAL PROCEDURE
In this study n-type, arsenic doped, <100>, 6"and 8"prime silicon substrates were
used in unit step experiments. The devices were typically fabricated on n-type <100>
silicon with an epitaxial layer. Both unit step and fully processed device wafers were
back thinned from 30 to 14 mils using a standard mechanical grind process and chemical
stress relief process. Subsequently, a native oxide removal step was performed on the
backside of the wafers. Metalization of the wafers was then carried out in the Novellus
applications laboratory on a multi-chamber Novellus M2000 PVD tool resulting in an
-50 mn thick tantalum layer and copper layers of various thicknesses. The tantalum was
deposited under the following conditions: chuck temperature 150C, argon flow 35 sccm,
power 3 KW. Copper was deposited on top of the tantalum film in the same Novellus
ELECTRICAL PROPERTIES
In this study, the overall stress in the Ta/Cu film stack is seen to be appreciably
less than the overall stress in the Ti/Ni/Ag metal stack. Figure 3 shows the bow
measurement of two identical 200mm wafers, one with the Ta/Cu backimetal stack and
PHYSICAL ANALYSIS
PARAMETRIC RESULTS
The three key areas examined were fallout after packaging and electrical testing of
packaged devices, where Vsd and Rdson were the key electrical parameters evaluated.
Vsd is a measure of voltage drop across a P-N junction, (source to drain) or the body
diode of the device. This measurement is effected by the epitaxial layer, substrate,
CONCLUSION
This study has demonstrated that a tantalum and copper backside metalization
scheme is an effective alternative solderable metalization scheme for thin substrate power
devices. The conventional solderable backmetal scheme typically comprised of Ti/Ni/Ag
has significantly large stress which translates into large wafer bow as compared to the
Ta/Cu alternative. This alternative stack has demonstrated lower stress levels thus
reducing wafer warpage and therefore reducing wafer breakage and handling issues. The
Ta/Cu film stack also possesses lower metalization costs, versus a tr-metal scheme
(Ti/Ni/Ag). The actual raw material cost of the dual metal scheme is 47% lower than that
of the tri-metal scheme. Using a standard four chamber Novellus M2000/M21 sputtering
system with parallel processing the Ta/Cu stack has an approximate 75% increase in
wafer throughput per hour based on sputter rates and wafer process limitations.
The electrical properties of the various back metal schemes examined showed a
slight difference in the contact and metal resistance for standard verses the alternative
backmetal schemes. However, the slight shift in contact and metal resistance do not
significantly change the total backside resistance Rk 1 . This is because the total backside
resistance Rt,, is dominated by the resistance of the substrate Rsilion, The dominance of
substrate resistance Ria,,_ is a significant the driving force for wafer thinning, however
thinned wafers are susceptible to bow, stress, warpage and breakage especially with
blanket backside metalization. Thinned wafers also have relatively rough back surfaces
for increased contact area however this can cause metal conformality issue. Upon
ACKNOWLEDGEMENTS
The authors would like to thank Steve Vahey, Don Pavinski and KC Wong for their
extensive support in soldering and packaging experiments. A special thanks is given to
Novellus for their support in demonstrating Ta/Cu PVD metal deposition method.
REFERENCES
'T. Grebs, et al, US Patent invention disclosure, submitted December 23, 1998.
"B.J.Baliga, Power Semiconductor Devices, PWS Publishing Co., Boston MA, 1996.
S. Benczkowski, Internal Harris Semiconductor Report, 1998.
'vM.K. Grief and J.A. Steele Jr., Proceedings of IEEE/CMPT Int'l Electronics
Manufacturing Technology Symposium, p.p. 190-194, 1996.
' S.M. Sze, Physics of Semiconductor Devices, Wiley Publishing Co., New York, NY
(1981).
" E.H. Rhoderick and R.H. Williams, Metal- Semiconductor Contacts, Oxford Publishing
Co., New York, NY (1988).
"S.Vahey, Internal Harris Semiconductor Report, 1998.
FIGURES AND TABLES
t ~Gatet
S -urase P Syue
Channel Channel
region N- region
N-4
Drain~
Figure 1 A cross-sectional view of a UMOS structured Power MOSFET.
N Epi - Rept
N+ Substrate R.ubstrato
Rdraln contact
350 T ------
300 t
.250,
200 --
0 -
Ta/Cu Ti/Ni/Ag
1600
14 mil thick, 200mm wafers.
oo
1400
5 1200
1000 -
"800
600
400
200
0.
4 6 8 10 12 14 16
Wafer Thickness Imils]
-i-- Theoretical * Std. Backmetal --a Ta/Cu .
Figure 4 Bow versus Wafer Thickness for various backmetal schemes.
0
Z Final Test Rdson Vsd
Yield
Ti/Ni/Ag
*_[ o] Ta/Cu
Figure 5 Device yield and parametrics data versus backmetal scheme.
Thermal Electrical
Conductivity Conductivity Resistivity
2 6
(cal-cm/sK-cm ) (106/ohm-cm) (10- ohm-cm) Barrier Height
Element @20-C @20-C @20-C (ev)
Au -~ --- G-TF
"U 0.940 0.599 1.669 0.580
Va 0.130 0401 12.346 0550
ABSTRACT
The possibility of applying copper deposition directly on top of TiN barrier via
electrochemical method was studied. Previous report of using contact displacement to
deposit copper was found chemically questionable. The copper deposition observed
could be due to reaction between cupric ion and silicon underneath through cracks in
the intermediate TiN layer.
INTRODUCTION
Copper interconnection via electrochemical means has received increasing
attention. Currently the most acceptable method is based on electrodeposition of
copper on top of a copper seed layer which has previously been deposited by CVD or
sputtering method(').
Other electrochemical methods have also been explored. For instance, it is
possible to deposit copper by electroless method with appropriate reducing agent(2 ).
Theoretically, the copper can be more uniformly deposited. However, it has its own
drawbacks. The bath is more complex and difficult to control, which means it is a
more expensive method. The deposits' property is in general not as good as that by
electrodeposition since it contains more contaminants and less desirable crystal
structures(3 ).
Dubin et.al. 4' mentioned a possible alternative. They proposed that it is possible
to deposit copper by displacement method, which is still based on electrochemical
principle.
According to their method, the wafer covered with a TiN or TaN barrier layer
will be dipped into an acidic copper sulfate solution containing NaF as an etching
promoter. Copper will immediately deposit on the barrier layer presumably due to a
displacement reaction between the cupric ion and the nitrid compound. This method
needs no external applied current or reducing chemical. In theory, it is better than
electroplating or electroless plating. However, very little information is available
regarding the mechanism of the actual reaction occurred or the subsequent technical
development based on this concept, although it is a fact that Si or Ti can be
chemically displaced by cupric ion.
So we carried out a study to investigate the reaction involved in this deposition
EXPERIMENTAL
The wafer firstly covered with TiN barrier was dipped in a displacement solution,
which may contained cupric ions or palladium ions.
As for the subsequent copper electroplating, the electrolyte contained 75g/1
CuSO 4 - 5H 20 and 100g/I H2S0 4 , the palladium contact displacement solution
6
contained PdCI2 I g/l and NHF • t4F g/l. The copper contact displacement solution
contained CuSO4 • 5H20 I g/l and NH4F • HF lOg/I and the temperature was controlled
at 18-20'C.
The copper deposit was finally analyzed by SEM, XRD and AES methods. A
four-point probe was used to measure the film resistance.
o deposition x no deposition
0.24mg/Ig means copper contact was found to be 0.24mg per gram of TiN
Note: contact time 15 minutes
Obviously copper can be deposited by contact displacement on Si surface
directly, but the reaction needs the assistance of fluoride ion. In fact M.K.Lee
alreadyy observed this and proposed the following reaction,
A direct chemical analysis of the product after we dipped TiN powder in the
solution for copper contact displacement indicates TiN can react with CuSO4 solution
but very slowly. Apparently Cu2> ions can readily be displaced by Si and Ti instead of
TiN.
How other metallic ions behave in contact with those materials are of great
interest to us as shown in the follow table.
Zn (E"=-0.7628) x 0 x x
Fe (E'=-0.4402) x 0 x x
Ni (E'=-0.25) x 0 x x
Sn (E"=-0.136) A 0 x x
0
Cu (E =+0.337) 0 0 A A
Ag (E'=+0.7991) 0 0 0 0
Pd (E"=+0.987) 0 0 0 0
Fig 5 is AES profiles of sample after reaction with PdCl,/NH 4F.HF solution. We
also found by AES analysis, there was 1.5% Pd remaining on the surface. This can
CONCLUSIONS
The copper deposition observed between TiN barrier layer and acidic copper
solution containing F ions is actually due to reaction between the bare Si- material
and Cu 2" through cracks in the TiN layer due to etching reaction by the fluoride ions.
But other metal ions such as palladium can indeed induce displacement reaction and
serve as a possible alternative for copper deposition without copper seed layer by
CVD or sputtering.
5.M.K.Lee, J.J.Wang and H.D. Wang, "deposition of copper films on silicon from
cupric sulfate and hydrofluoric acid", J Electrochem. Soc.,144,May,1777-1779
(1997)
ACKNOWLEDGEMENT
The assistance by the Electronic Research & Service is sincerely appreciated.
Septh
AE5 ProfilePC
lltereeting SeA
Joe 55 Sitt,
Seclee Sit
SmiPDele D res
4 1I 08.00
File< reDpte(
Facco-1455M Wcle Offset271•
Scale •e
k•i Ei, 5,N i ]> 000D
V
Io
i
7
I -
- -- Ot\ ..- -
1 TI 055•
. m
Fig 3 The TiN composition profile by AES near the crack area
to
CIt
Sp7
'
hii'" ho2
hO 00 30 4o
0 50 00 70 00b
641h
tile Oti3t
Siale Faitnr 009Otk¢/tle fseet: O.0thAda| Ec 5 00ii cI: 0 012 ui
0o o 2o 3 3o 4 0 50 60 70 8
I -
72
r tme
SOIttL tint
Fig 6 TiN surface dipped in palladium contact displacement solution by X-ray mapping
JJ. Sun', E.J. Taylor', K.D. Leedy2 , G.D. Via2 , MJ. O'Keefe 2, M.E. Inman', and C.D. Zhou'
ABSTRACT
INTRODUCTION
In plating of PTHs for the PWB industry, chemical additives such as "brighteners" and
"levelers" are added to the plating bath to improve the throwing power and to yield a
fine-grained deposit. More recently, pulse reverse current (PRC) deposition in
conjunction with additives has been reported for high rate copper electrodeposition of
PTHs 131. The PRC process consists of a long cathodic duty cycle followed by a short
anodic duty cycle and provides enhanced mechanical properties of the copper
electrodeposit 41 . However,. there are considerable challenges for extension of PTH
electroplating processes to the smaller features used in HDI and VLSI applications.
Mass transport in MREF is a combination of steady state and non-steady state diffusion
processes. The mass transfer limited current density (i,) is related to the reactant
concentration gradient (Cb-C 5 ) and to the diffusion layer thickness (8) by Nernst using the
following equation:
Therefore, the model of mass transport in a MREF waveform can be illustrated using a
simple model of "duplex diffusion layer", which was developed by Ilb [I-1'] for pulse
plating. As shown in Figure 2, the diffusion layer may be divided into two parts, a
pulsating diffusion layer of thickness 8p and a stationary diffusion layer. At the end of a
pulse, the pulsating diffusion layer thickness 8p (under low duty cycle) is given by:
Therefore, very high instantaneous limiting current densities can be obtained with MREF
electrolysis as compared to DC electrolysis. The pulse on-time, ton, may be reduced by
increasing the frequency or decreasing the duty cycle.
CurrentDistributionin MREF
Metal distribution is determined by the current distribution. For HDI, VLSI, as well as
PTH applications, an important determination of current distribution is macroprofile and
microprofile. In a macroprofile (Figure 3a), the roughness of the surface is large
compared with the thickness of the diffusion layer, and the diffusion layer tends to follow
the surface contour. In a microproffle (Figure 3b), the roughness of the surface is small
For HDI applications, the dimension of surface features such as lines or vias are
approximately equivalent (25 to 100pm) to the diffusion layer thickness (as shown in
Figure 6). However, in this case the width of the HDI is also on the order of the diffusion
layer thickness and the contour of the lines or vias are inaccessible to the diffusion layer.
We designate this a special case -- a "hydrodynamically inaccessible microprofile".
Consequently, the optimum MREF waveform for HDI plating should consist of a short
forward duty cycle followed by a long reverse duty cycle, with benefits analogous to the
VLSI case t 1 .
EXPERIMENTAL WORK
The experimental apparatus includes: 1) a rotating disk system (RDE) to mount a test
wafer, control the rotating speed, and adjust the distance between the cathode and anode;
Solutions of acid copper sulfate (containing only chloride and carrier) were used as the
copper electroplating bath. A piece of titanium mesh (diameter = 55 mm) coated with
iridium oxide was used as an insoluble anode. The bath was pumped through the anode
to the cathode under 1 /min and controlled at 25 TC. The cathode rotating speed was
maintained at 165 rpm. The copper electrodeposition tests were conducted under
different electric field waveforms with an average cathodic current density of 25 to 32
ASF, which was controlled by the cell voltage. Samples were cross-sectioned with a
focused Ion beam scanning electron microscope (FIB-SEM) to inspect both the quality of
the copper deposits in the trenches or via-holes.
Silicon wafer test coupons were designed and fabricated by Case Western Reserve
University using 51mm diameter silicon wafers. The wafers were etched with trenches in
the size range of 0.5 to 10pom and then covered with an oxide layer. The wafer was diced
into three 19 mm x 19 mm square devices. Each trench consisted of a 9 x 9 array of cells.
The arrays were located at the center of the 6.35 mm x 6.35 mm active area in the center
of each 19 mm square device. Each trench in Device 1 was 5 pm long, 1 Pm wide, and 3
pm deep. Device 2 had dimensions 2x that of Device 1, and Device 3 had dimensions 4x
that of Device 1. Finally a conductive seed layer of 200 A /1000 A Ti/Cu or Cr/Cu was
sputtered on the chip surface.
For HDI applications, some 100 pm diameter via-holes with aspect ratios greater than 1
were drilled into brass chip samples to evaluate the effect of MREF waveform
parameters.
RESULTS DISCUSSION
Figures 7 and 8 show copper deposits in the 100 pm via-hole after DC and PC plating
processes, respectively. Both the DC and PC cases exhibit poor throwing power as well
as void or key-hole defects. Although PC can Improve the throwing power, as previously
demonstrated by Andricacos for gold plating in 50 pm features 1 I, the problem of the
voids and copper over plate could not be solved In PC process. As expected from the
above discussion, the PRC waveform developed for PTH application, i.e. long cathodic
duty cycle - short anodic duty cycle, exhibited even poorer throwing power than the DC
or PC cases (Figure 9). Figure 10 shows the results from the same PRC waveform at a
higher frequency. In this case, better throwing power was achieved compared to low
frequency. However, the dog-boning would result in voids in the deposits with slightly
longer plating time. The MREF data are presented in Figures 11 and 12, using the
waveform parameters with short cathodic duty cycle - long anodic duty cycle designed
Figure 13 shows that conformal copper deposits (without any dog-boning) can be
obtained in a line (2 pm width x 4 pm pitch x 2 mm long) using the MREF (i.e. short
cathodic duty cycle and long anodic duty cycle).
Figures 14 and 15 show micrographs from FIB/SEM analyses of copper deposition in 0.5
pm trenches using the MREF waveform. The surface copper film thickness can be
reduced or nearly eliminated by decreasing of the charge ratio (Qc/Qa), as shown in
Figure 16 and 17. Figure 18 shows the microstructure of the copper grain structure in the
trench under MREF waveform.
CONCLUSIONS
In summary, these results indicate that the MREF process alone, i.e. without complex
additive chemistries such as "brighteners or levelers", offers considerable promise for
metallization of features in the size range relevant to HDI and VLSI applications. The
MREF process demonstrated void-free copper deposits and the ability to obtain both
conformal or via/trench filling with minimal copper over-plate. An important illustrative
lesson is that the additive chemistry and/or PRC parameters used in PTH applications
may not be simply inserted into HDI and VLSI applications. In fact, attempts to use the
PRC process for HDI applications has lead other researchers to conclude that the
electroplating conditions are difficult to determine1"71. However, with the understanding
that the HDI and VLSI applications are governed by a microprofile and a
hydrodynamically inaccessible microprofile, respectively, the full potential of the MREF
process can be realized.
ACKNOWLEDGMENT
REFERENCES
1. S. Castaldi and D. Fritz, in IPC Printed Circuits Expo, April 26-30, 1998
2. V.M. Dubin, C.H. Ting, and R. Cheung, in Proceedings of International VLSI
Multilevel Interconnect Conference, VMIC Catalog No. 97 IMSIC-107, p.69, Santa
Clara, CA, 1997
3. T. Pearson and J.K. Dennis, J. Applied Electrochemistry, 20, 196, 1990
4. A. Woodmen, M. Kimble, and E. Anderson, in Proceeding of the 1998 AESF/EPA
Conference, AESF Society, Jan.25-30, Orlando, FL.
5. P. Andricacos, Interface, 8(1) 32-7, 1999
6. R.J. Contollni, S.T. Mayer, and A.F. Bernhardt, Solid State Technol., 40, 155, 1997
7. R.J. Contolini, A.F. Bernhardt, and S.T. Mayer, J. Electrochem. Soc., 141, 2503,
1994
8. A.C. West, C. Cheng, and B.C. Baker, 1. Electrochem. Soc., 145, 3070, 1998
9. B.M. Belongia, P.D. Haworth, J.C. Baygents and S. Raghavan, The Electrochemical
Society, Inc. Proceedings volume 98-7.
10. G. Milad and D. Morrissey, in IPC 3 rd Annual National Conference on HDIS 1998
11. N. Ibl, J. C. Puippe, and H. Angerer, Surface Technology, vol. 6, 287, 1978
12. N. Ibl, Surface Technology, vol. 10, 81, 1980
13. N. Ibl, Proceedings of the Second International Pulse Plating Symposium, AESF,
Florida, 1981
14. E.J. Taylor, C. Zhou, and J. Sun, "Pulse Reverse Electrodepositionfor Metallization
and Planarizationof Semiconductor Substrates", U.S. Patent Pending, filing date 14
October, 1998
15. E.J. Taylor, C. Zhou, and J. Sun, "Electrodepositionof Metals in Small Recesses for
Manufacture of High Density Interconnects Using ModulatedElectric Field ",filing
date, 29 January, 1999
16. P.C. Andricacos, H.Y. Cheh and H.B. Linford, Plating and Surface Finishing,
September, 1977.
17. T. Fujinami, T. Kobayashi, A. Maniwa, and H. Honma, J. Surface Finishing Society
of Japan, Vol. 48 (6), 86, 1997
(+) t
Anodic
Figure 1. Rectangular Modulated Reverse Current Waveform
0 _
Diffusion layer
3• 3b >> It
Diffusion layer
Figure 4. Schematic of Effect of Pulse Current on the Diffusion Layer Thickness for a
PTH (Drawing not to scale)
75 ýtm
8&c•1= 8&=•75 pm
gm 7 M 75 im
Figure 11. MREF for Conformal Deposition Figure 12. MREF for Via-Hole Filling
''ti
Ji
Figure 16. MREF Copper Metallization Figure 17. MREF Copper Metallization
on 8 pm Groove on 8 gim Groove
ABSTRACT
INTRODUCTION
The chemistry of copper electroplating is well known (8) and commercial plating
solutions are widely available. To achieve codeposition, both Cu and Zn should be
simultaneously reduced to give rise to an alloy of required composition. Cu-Zn alloy
deposition belongs to an irregular codeposition process, a situation in which the more
noble metal is obtained in a higher percentage and the less noble one in a lower
percentage than is indicated by the metal ratio in the solution. The deposition potential
can be manipulated and thus conditions can be created for codeposition of copper and Zn.
The deposition potential is a function of the bath chemistry and hence by altering the
bath composition, codeposition of a wide variety of compositions is possible.
EXPERIMENTAL DETAILS
The wafers used in this work were p-type device quality wafers with 700nm of
thermal oxide. A copper seed layer of 30nm thickness, was deposited by sputtering at a
7
base pressure of 10 Torr and an argon pressure of 5mTorr. The sputtered layer exhibited
a resistivity of 2.1[tfcm before annealing and 1.9ltf1cm after annealing at 250'C for
30min.
To test the electrical stability of the Cu-Zn alloy and Cu on silicon oxide, a 50nm
gate oxide with an Al back contact on p-substrate was prepared. By sputtering through a
shadow mask, metal oxide semiconductor (MOS) dots of 1.2mm diameter were
developed. The specimens were annealed at different temperatures and tested for bias
temperature stability.
Cathodic Polarization
A plot of open circuit potential (OCP) of the copper (rod or deposit) as a function
of weight fraction, R (R = ZnSO4/ ZnSO 4 + CuSO 4 ) in solution is given in Fig. 2.
Although the solution composition was high in Zn, the deposit contained low zinc of the
order of I to 2% in the range of potential selected for plating. The desired composition
of the deposit was obtained by electroplating using a solution containing both elements
in a composition ratio of 35 g/l ZnSO 4:15 g/1 CuSO 4 in an ammonia water mixture
(pH = 10)
-0.5 c ,
-1.0
U- a•
Fig. 3 shows both the potentiodynamic trace of a copper rod in the selected plating
solution (35 g/l Zn-sulfate and 15 g/l copper sulfate) and the potentiostatic response in
the same solution, the latter being measured in Dynatronix pulse power supply where the
potential was set with respect to the OCP of anode (-0.382V vs SCE). When 0.9V was
set in Dynatronix power supply, it represented the potential between the anode and
-0 .4.
0
E -0.4324R - 0.0588 E [3
.
©-0.6 - ' '
0.5 0.6 0.7 0.8 0.9 1
Fraction (R) of 'ZnSO4' in solution
Fig. 2 : Open circuit potential as a function of fraction of ZnSO 4 in solution
1.15 -0 -2
> -0o- potentiostatic >
--x-- potentiodynamic
U 0.85 ........ 1.5
Solution : 35:15
S0.55 S-1 - . E5I
0.25
-0.5
-0.05
0.1 1 10 2 100
Current density, mA/cm
Resistivity measured as a function of the pulse peak potential with a pulse cycle of
90ms forward 'on' and lOms 'off' (90/10) is shown in Fig. 4. The average resistivity in
the potential range selected was of the order of 2~Q cm. The higher resistivity at more
negative potentials was due to higher levels of Zn in the deposit, and that at more positive
potentials was due to more dissolution than deposition.
" 250
0
DC 90/10 90/20 90/30
Plating parameter
Fig. 5 : Plating rate represented as thickness of deposit obtained per rain. as a
function of pulse cycle at various pulse peak potentials. Plating solution contains
30 g/l zinc sulfate and 20 g/l copper sulfate in ammonia-water mixture
The metal oxide semiconductor with either copper or Cu-3.5%Zn as gate metal
and an oxide of 50nm thickness was fabricated. The samples were annealed at 250'C,
300'C, 350'C or 400'C for 30min. Each capacitor was tested at a bias temperature
aging (BTA) temperature of 200'C in steps of 30mrin and at a bias of 2MV/cm.
Fig. 6(a) and 6(b) superimpose C-V curves of the Cu-MOS and Cu-Zn-MOS
capacitors respectively, in the unannealed condition, tested under 'no bias' and BTA. In
the case of copper, the C-V curves moved back and forth at increasing times of biasing.
In Cu-Zn alloy, after the first movement due to annealing of surface states, the curves
did not shift.
I1E-09 Copper No annealing
'9E-10
--- No Bias
.A 6E-10 30min
U
-0-- 60min
U 3E-10 -0
1E-1I
-10 -5 0 5 10
Potential, V
S9E-10
--o-- 30rain
t 6E-10 -0- 60min
S• 90min
`U 3E-10 X 120min
lE-11
-10 -5 0 5 10
Potential, V
The shift of the C-V curves did not occur when the capacitors were annealed prior
to testing as can be seen from Figs. 7(a) and 7(b). Although Cu-capacitors failed after
4E-10 -- No Bias
- BTS 30min
4E-12
-10 -5 0 5 10
Potential, V
Cu-Zn alloy
IE-09
Anneal : 250 0C-30min
No Bias
n 8E-10 -o-- BTS 30min
"cc --o- BTS 60min
-a-- BTS 90min
S4E-10 x*BTS 120min
- BTS 150min
4E-11 ...........
-10 -5 0 5 10
Potential, V
Figs. 8(a) and 8(b) show a comparison of leakage currents of copper and of the
Cu-Zn alloy as a function of annealing temperature, at +10V (extracted from I-V curves,
not shown here). Relating the magnitude of leakage current and the maximum survival
time before failure, it is clear that Cu-Zn alloy is a very promising candidate to provide a
barrier for diffusion of copper.
I-V data can thus be used to detect the dielectric breakdown (indicated by leakage
current). At an annealing temperature of 250'C, copper fails after 30min. of biasing
whereas Cu-Zn capacitors did not fail until 150min. It can be inferred that copper
diffusion into the silicon substrate did not occur when the alloy was present as an
I E-2 - Cu,
+10V
IE-6 -
SLE-10
I1E-14
AS 250 300 350
Annealing Temperature, ° C
IE-8
U IE-10
AS 250 300 350
Annealing Temperature, 0 C
Fig. 8: Leakage current as a function of annealing temperature. 'AS' stands for as-
sputtered condition (a) Copper (b) Cu-Zn alloy. Legend is the same for both the Figures.
CONCLUSIONS
The authors acknowledge the financial support of Semitool, Inc, Kalispell, MT, and in
particular, the helpful comments of T. Ritzdorf and L. Graham.
REFERENCES
1. D. Edelstein, G.A. Sai-Halasz, and Y.-J. Mii, IBM Res. Develop., 39, 383 (1995)
5. J.D. McBrayer, R.M. Swanson, and T.W. Sigmon, J. ElectrochemicalSoc., 133, 1242
(1986)
7. J. Harper, I.B.M., T.J. Watson Research Center, Yorktown Heights, NY, Private
Communication.
8. W.H. Safranek, The Properties of Electrodeposited Metals and Alloys, II Edition, The
American Electroplaters and Surface Finishers Society, Orlando, Florida (1986)
L. Seligman
Curso de P6s-Gradua~do em Eng. Mecanica, UFSC, Florian6polis -SC-Brazil.
W. Schwarzacher
H. H. Wills Physics Laboratory, Tyndall Avenue, Bristol BS8 1TL, U.K.
ABSTRACT
INTRODUCTION
The electrodeposition technique has a major advantage over other methods of thin film
production, namely, the possibility of performing deposition at normal conditions of
pressure and temperature, requiring relatively inexpensive equipment. Additionally,
electrodeposition gained renewed attention, being considered a breakthrough the success
of this technique on one of the most technologically advanced areas, namely, the
manufacturing of chips (1). In this area, electrodeposition of Cu is being used for the
fabrication of interconnects in ultra-large scale integration (ULSI) technology. The same
technique is also being currently used in the preparation of metallic nanostructures (2).
Thin films of Cu, Co and Ni on Si were prepared from different aqueous electrolytes
containing sulfates of the respective metals as well as some supporting
electrolyte/additive. Voltammetry and current transients were used to analyze the
electrochemical aspects of the deposition. The electrodeposited layers were investigated
by scanning electron microscopy (SEM), Rutherford backscattering (RBS), magneto-
optical Kerr effect (MOKE), X-ray diffractometry (XRD) as well as by electrical
measurements.
EXPERIMENTAL
The substrates used in our experiments were single side polished, technical grade
(100) oriented Si wafers, n doped for a resistivity of 1-7fl.cm. Electrical contact to each
substrate was achieved through a GaAl back contact. An adhesive tape was used to mask
off all the substrate except for the area on which deposition was desired. Each substrate
was cleaned in a 5% HF solution and then immediately transferred to the
electrodeposition cell. In order to minimize chemical reactions between the substrate and
the constituents of the electrolyte, the time between immersion and application of
potential control was kept to a minimum. All electrolytes, as well as the etching solutions
used to clean the samples prior to the electrochemical experiment, were prepared from
analytical grade reagents and filtered deionized water with a resistivity of 18 MQ).cm. A
three-electrode cell was used, together with a computer-controlled potentiostat. The
potentials were measured against a saturated calomel electrode (SCE), which was placed
as close as possible to the Si surface to minimize the ohmic potential drop in the
electrolyte. The Pt foil counter electrode was placed directly opposite to the working
electrode (substrate). RBS analyses were performed using a 3.OMV Tandetron ion
implanter at the Physics Institute of Universidade Federal do Rio Grande do Sul, Porto
Alegre, Brazil.
We will present some results obtained by electrodepositing thin films of Cu, Co and
Ni on silicon. Emphasis will be given to different aspects on each case, namely, the
morphology and growth rate of copper thin layers, hydrogen evolution during cobalt
deposition and structure and electrical properties of nickel layers.
E s.0.6
Z -1.0
C
U -2.0
5000
4000 200a
2000 120 8
04
0 2000 8
04
Figure 3: Series of RBS spectra of Cu thin films as a function of deposition time. The
electrolyte used contained 0.104M CuSO 4 and 0.5M Na 2SO 4 and the applied
potential was -0.5V.
In order to investigate the uniformity and the growth rate of copper thin films, RBS
measurements where done on samples electrodeposited from electrolytes with different
concentrations of copper ions and different deposition times. Figure 3 shows a sequence
of RBS spectra obtained from an electrolyte containing 0.104M of CuSO 4 and 0.5M
Na 2SO 4, at a deposition potential of -0.5 V. Uniform layers with increasing thicknesses
are clearly seen. For this electrolyte, Figure 4 shows that film thickness, as calculated
from the width of the RBS depth profiles, grows linearly with deposition time at a rate of
22 A/s. On the other hand, a deposition of 2.5 A/s was obtained for the 0.013 M CuSO 4
bath. These results are in good agreement with a factor of 8 in the relative Cu ion
concentration between both baths.
Figure 4 also shows the nominal thickness of the Cu layers, as calculated from the
electrodeposited charge. One observes an increasing disagreement between both curves
with deposition time. As for this system no hydrogen evolution is expected, the observed
discrepancy between both curves can only be explained if one assumes a thickening of
sample edges with increasing deposition time. Whereas the electrodeposited charge
reflects the overall process, yielding an average value for the film thickness, RBS
measurements are performed locally, with a diameter beam of about 2mm directed
towards the center of the sample.
0
S5000
C
G 4000
. 3000
2000
1000
50 100 150 200
Time (seconds)
I'
o•10
E roW.1
Figure 5 shows the dependence of itoral and icoball on the applied potential. As observed,
for less negative applied potentials the influence of hydrogen evolution is negligible and
the efficiency of the process is higher than 93%. At more negative values the total current
increases markedly due to hydrogen evolution. Figure 6 shows the dependence of itota1
and icobal on the electrolyte cobalt concentration. Despite the dispersion on the Gcoba, data,
both curves show a parallel behavior, indicating that the hydrogen partial current remains
constant with increasing cobalt sulfate concentration. These results suggest that H2
evolution and cobalt reduction are two independent processes. Moreover, hydrogen
evolution seems to be inhibited for highly Co concentrated baths or for low applied
potentials.
7-
I.. 0
Figure 6: Mean total and cobalt current densities as a function of the cobalt sulphate
concentration for a deposition potential of-1. l V.
0.002
(0
C 0.000
0 2
S.0 0 02
-0.004
Ni thin films with metallic appearance as well as granular and compact morphology
were obtained from an aqueous electrolyte containing 1.0M NiSO4, 1.0M Na2SO4 and
0.5M H3BO 3. RBS measurements showed the layers to be uniform and yielded a
deposition rate of 45A/s (7).
Figure 8 shows XRD spectra of Ni films electrodeposited on silicon for different
deposition times at -1.OV. The diffraction patterns correspond to a fcc structure, with a
lattice parameter of 3.516 A. One observes a systematic increase in the relative height of
the (220)-peak with increasing thickness, which is indicative of texture formation.
The evolution of the XRD spectrum as a function of the deposition time (and also film
thickness) can be better visualized in Figure 9, which depicts the relative increase of the
intensity corresponding to planes (200), (220) and (311). The orientation factor M, shown
in this figure, is defined as:
Mc(hkl)= [i[I(hkl)Pro
(hk1)/l (111)],CPDs
ed1 51 Volue..9..22
1000
4: .. A,, .
2004
_ 1800
0 F4
F0 JL I
2e
Figure 8 X-ray diffractograms for Ni thin films with different deposition times,
electrodeposited on silicon at a deposition potential of -I.OV, from a 1.0 M
NiSO 4, LOM Na 2SO 4 and 0.5M H3BO 3 electrolyte.
A 220
3.0 I 200
* 311
2.5
2.0
"1.5
1.0
0.5
4X10" 0 1MHZ
A 0.7MHz
0O.MHz
3010"
'b 2X1017
1X10"
Voltage (V)
Figure 10 Mott-Schottky plot, for three different frequencies, for the structure formed
by the electrodeposition of a Ni thin film on top of a n-type Si substrate. The
Ni film was prepared from an aqueous electrolyte containing I.OM NiSO 4,
LOM Na 2SO 4 and 0.5M H 3B0 3 at a potential of-l.OV during 150 Seconds.
CONCLUSIONS
It was shown that thin films of Cu, Co and Ni could be successfully deposited onto Si
substrates, without the need of a seed layer. For all three metals, uniform layers with a
compact and granular morphology could be obtained. From RBS data the deposition rates
as well as the current efficiencies could be determined. For Co films it was shown that
addition of boric acid caused the evolution of hydrogen. On the other hand, it was
possible to improve the current efficiency of electrolytes containing boric acid by
increasing the concentration of cobalt sulfate in the bath. For Ni films electrodeposited
from a highly concentrated sulfate electrolyte, it was observed the formation of texture in
the (220)-direction. Electric measurements performed on Ni/n-Si structures yielded
values for Schottky barriers which are comparable to the ones obtained for junctions
fabricated by vapor deposition.
The authors would like to express their gratitude to Prof. Moni Behar, Physics
Institute, UFRGS, Porto Alegre, for providing RBS facilities and to Dr. A. M. Maliska,
LabMAT/UFSC, for providing the microscopy facilities. Financial support from the
British Council (UK), and the Brazilian agencies CNPq (PADCT III 62.0090/97-9 and
RHAE 610021/99-0) and CAPES is also acknowledged.
REFERENCES
of a Cu / p-GaAs interface
Abstract
Introduction
3-
2-
Fj• Fig I
cyclic voltammogram on (100) p-GaAs
inthe dark;V=20mV.sl; (a) IM H2SO 4 ;
".. (b)IM HI2SO4,+ 10-3 M Cu S04
0 (a
(b)
41 -- I I III
-10 -0.5 0.0
ElV vsfMSE
centred around -0.3V/MSE.With various Cu 2÷ concentrations, the shape of the voltammogram
is always the same. Only the intensities of the electrochemical features change proportionally to
the Cu 2 concentration. The cathodic current is associated with the Cu 2* reduction according
to :
Cu 2÷+2 e---* Cu
AS 3 d
Z Fig 2
d Ab
"- XPS signal of As3d core level; a)signal of an etched
surface or copper coated surface cleaned by several
minute treatment in 1M HCI; b) signal coming from
a buried interface obtained by copper
electrodeposition.
I I I
44 43 42 41 40
Binding Energy / eV
The strength of the signal decreases with increasing thickness of the copper deposit. The latter
is determined by the potential, the time and the Cu2" concentration in solution. Due to the
copper deposit, the strength of the Ga3d signal falls too, but without any modification of its
spectral distribution. Another important observation is an increase of the As/Ga ratio compared
to that of clean GaAs. These two results show that the (copper / p-GaAs) interface is not
abrupt and that a thin interfacial layer is present. An accurate simulation of the As3d region can
be done (fig3) for the modified interfaces, using strong fit constraints demonstrating that a well
established chemical transformation involving arsenic is caused by the copper deposition. Each
spectrum is decomposed into two main contributions, each of them split in two components by
the spin orbit coupling of the 3d core level. The low binding energy contribution ( As3d Ga) Can
As
3d
Fig.3
Simulation of a
S3a As3d signal using two
contributions; (1) AsGa (dashed peaks);
3d
(2)As M new contributions; the area ratio
44 43 42 41 40
Binding Energy/eV
Contribution 1 Contribution 2
3d 3/2 3d 5/2 3d 3/2 3d 5/2
Centre (eV) 41.82 41.15 42.65 41.95
Fwhm (eV) 0.67 0.67 0.8 0.8
A (eV) 0.67 0.7
Ratio 5/2 -3/2 1.5 1.5
The energy separation between the two contributions is always close to 0.9 eV (T0.05). The
ratio between the two contributions is in the range As*/As~a =0.25-4.5, with a majority of
values comprised between I and 1.5. A characteristic peak fit table is given in the table I
associated with the fig 3. The chemical bonding of the excess amount of As can be discussed
on the basis of two considerations. We can assume that the additional XPS contribution is
associated either only with elemental As or with a Cu-As compound. We note that no
contribution associated to oxide is detected, neither for arsenic nor for gallium. The energy
shift of 0.9 eV between the two As contributions is relatively strong. Elemental As on GaAs
generally gives rise to a shift in the +0.6-0.8 eV range. However, for anodic oxide, higher
shifts (0.8-1 eV) can be observed associated with additional small charging effect. So our
results suggest that the excess As is not present only as elemental As and they raise the
I I I I I I
ra
Fig 4
r3 Differentiate CULMM Auger line.a) thick copper
layer; b) thin copper layer.
An interesting point is that the amplitude of the modification is all the larger as the coating is
thinner. This suggests that for intermediate thickness, the copper signal should be the sum of a
copper metallic contribution and an inner one associated with modified buried copper at the
Cu-GaAs interface. Only a chemical binding between Cu and As can explain these correlated
Conclusion
In this work we have shown that p-GaAs coated by a copper layer undergoes a
complex chemical transformation. The phenomenon is only located at the interface. The study
of the As3d, Cu 2 p and Auger signals coming from the buried interface shows that Cu-As bonds
are present in the interfacial layer. Over this interracial layer a pure copper layer can grow, The
Literature
ABSTRACT
Characterization of Chemical Mechanical Planarization (CMP) processes has become increasingly important in both
process development and production monitoring for deep sub-micron device manufacturing. The small feature sizes
involved in these processes place stringent requirements upon CMP characterization and metrology equipment. A
new type of metrology tool, the Atomic Force Profiler (AFP), has been developed, combining AFM resolution and
long scan profiling capability. The AFP can be used to characterize CMP processes of dual damascene, shallow
trench isolation (STI), tungsten, and interlayer dielectric (ILD), providing measurements of dishing, erosion, plug
recess and line width and depth. To highlight the capability of this new technique, the AFP is used to characterize a
post-CMP Cu Damascene processed sample. The sample studied is a 200mm wafer containing fine Cu-filled trench
test structures with varying trench widths and pitch. The CMP process was applied after the trench filling. Both
long-range profiling and high resolution AFM imaging were used to characterize dishing and erosion effects on this
wafer. In addition a second Cu sample with 0.22/am Cu filled trenches were measured at the two post-CMP stages.
ui0~CMelrology
Opts AFM head
positioning
Figure 3a. Zoomed 5.5mm-long
scan of
location indicated by the box in Figure 2.
Z-range is 200nm.
is_
_______ ______
(profile
Figure 1. Diagram showing the profiling stage
drive) and Metrology AFM head on the AFP -
-Ipb
22mm
j30 -' - -- --
20 ....
10 -
0
0 05 1 15 2 25
3.00
-2.00
'0 1.00 2.00 3.00
Length Ijim3
a
0 1.00 2.00 3.00 4.00
0 4.00
0lN 0 4.00 ON
Data type Height Data type Height
2 range 40,00 nm Z range 20.00 nm
ABSTRACT
The anodic properties of n- and p-type GaAs (100) and InP (100) surfaces have been
studied in H20 2, NH 4 OH, Na 2S. and (NH 4)2S. solutions. The technique investigated the
anodic sulfidation conditions suitable for n- and p- type GaAs (100) and InP (100)
surfaces in (NH 4)2Sx solution. The passivation produced chemically stable surfaces with
good surface quality and thickness possibly controlled. X-ray photoelectron spectroscopy
(XPS), Auger electron spectroscopy (AES), atomic force microscopy (AFM) and
secondary ion mass spectroscopy (SIMS) have been used for surface characterization.
The sulfide overlayer has proven to be durable against ambient oxidation for at least four
months, which seems promising for semiconductor device applications.
INTRODUCTION
In this study, the anodic processes were investigated in order to produce chemically
stable passivation and develop a method to control surface quality. The anodic properties
and sulfidation of GaAs and InP (100) in (NH 4)2S, Na 2Sx, P2S 5 and (NH 4 )2 S. solutions
were investigated using X-ray photoelectron spectroscopy (XPS), Auger electron
spectroscopy (AES), secondary ion mass spectroscopy (SIMS) and atomic force
microscopy (AFM). The study provided data that would help understanding the roles of
the hydroxyl group and sulfur species during the sulfidation processes of both GaAs and
InP surfaces.
The samples used were n- and p-type 0.6 - 6.5x10s 8 cm"3 GaAs (100) and InP (100)
single crystal wafers with thickness 350 - 550 jim. The wafers were cut into 9x9 mm2 and
held using a vacuum pump for anodic treatment. Electrical contact was made by
connecting the isolated rear of the wafer to the anode of a potentiostat using silver paint
and wiring through a glass tube (Figure 1). The exposed surface area of the samples was
1.2 cm 2. The potentiostat was a German-made (Bank Electronik) Potentio-Galvano-Scan
25V/2A Wenking PGS95 with PC-control and SPK-RP software. The electrochemical
cell consisted of the sample, which functioned as the anode (working electrode), AgCI-
reference electrode and platinum standard gauze basket as a counter electrode. Before
anodization, samples were degreased ultrasonically in both acetone and methanol (1
minute each) followed by a DI water rinse. Electrodes were then aligned in a rectangular-
shaped glass vessel for electrolysis in basically 3M (NH 4)2Sý (x=5g S/100ml) solution.
Reducing molarity increases OH' concentration in the sulfide solution that could
erode the GaAs surface during anodic polarization. Equations 1, 2 and 3 show the
reaction mechanism between aqueous (NH4) 2S, solution with the GaAs substrate during
anodic sulfidation. The number of moles of electrons flowing through the external circuit
per mole of semiconductor dissolved was 6 for GaAs.' 0
GaAs---Ga 3+ + AS 3+ + 6e (1)
GaAs+ 10OH +6 h+---GaO3 3 +AsO2 +5H 20 (2)
GaAs + 5 S2- + 6 h- GaS 3 3- + ASS3 (3)
Experimentally, aqueous (NH 4 )2S solution should have high molarity and should be
sulfur saturated during the reverse anodic scan (starts at high anodic potential) to achieve
(i) Although the anodic potential was high in the reverse scan for sulfur saturated
(NH 4)2S solution (Figure 4, Region II) and for P2S5 saturated (NH 4)2S solution (Figure 5,
Region II), the anodic treatment of n-type GaAs did not exceed the conventional dipping
treatment. No evidence of sulfidation has been recorded after DI water rinsing and blow
dry in nitrogen.
(ii) At the sulfur characteristic peaks (Figure 4, Region I and Figure 5, Region I), the
anodic passivation takes place in characteristic steps at which the thickness of the sulfide
overlayer can be controlled by either time or current density. The characteristic peaks
were mainly dependent on the sulfur-ion concentration of the solution and were
positioned according to the anodic cell parameters and substrates doping concentration.
However, it should be noted that anodic sulfidation in sulfur-saturated sodium sulfide
solution (Figure 6, Region I) left the surface severely eroded and damaged. Observation
of GaAs surface quality after passivation was therefore the main task of this work. The
chemical stability and electronic properties of the GaAs surface were also examined after
passivation. There were two factors found to be directly responsible for GaAs surface
roughening. The first, anodic sulfidation place-exchange processes" in which the driving
force, imposed by the high anodic potential displaced atoms from their lattice positions in
order to increase their coordination with the surrounding sulfur species. The second,
being the thickness of the deposited overlayer, which is usually a function of current
density and/or depositing time.
Atomic force microscopy displayed the surface morphology for low, intermediate
and high anodic current densities. The assessed surface roughness was 21, 44, 63 and 100
nm corresponding to current densities of 5, 8, 12 and 14 mA/cm2 as shown in Figure 9.
At higher current densities (12 and 14 mA/cm 2, 2.8 V) surface morphology get rough
(Figures 9(c) and 9(d)), probably because of the formation of mounds which grow and
coarsen with increasing thickness.
Surface characterizations including XPS, AES and SIMS have investigated the
deposited layer. XPS depth profiling revealed the atomic concentration of gallium,
arsenic and sulfur. Both carbon and oxygen were also detected. SIMS depth profiling
(Figure 7) detected continued presence of Ga, As, S, C and 0 for an approximate
overlayer depth 200 - 300 nm. The strong carbon and oxygen signals are probably due to
the high sensitivity of SIMS to light elements. The GaAs anodic sulfidation displayed
high chemical stability against oxidation for at least four months and an hour exposure to
the Ar÷ laser (512 nm) at power density 5 mW/tm 2; this looks promising for
optoelectronic device applications. AES surface analysis (Figure 8) for n-type GaAs
treatment in P2S 5 saturated (NH4 )2 S solution (Figure 5) revealed Ga, S, C and 0. Neither
arsenic nor phosphorous were detected; in the case of arsenic, probably due to the high
The XPS results suggest that the anodically grown layer consists of a mixed
chemical phase region including Ga-As, Ga-S, As-S and possibly As/Ga-O bonds. 12.14
Because p-type GaAs (100) is a hole-rich material, biasing the surface with high
anodic potential is not necessary and the reverse anodic scan could be excluded. These
presumptions were proved experimentally by passivating p-type GaAs (100). The anodic
conditions for p-type GaAs (100) passivation in aqueous (NH4 )2 S, solution (x=5g S/100
ml) gave the Dolphin-shaped graph (Figure 10). The anodic scan was 5 mV/s forward
(0.0 to 0.7 V) and 2 mV/s reverse (0.7 to 0.0 V). This procedure left the surface well
passivated and topographically homogeneous. AFM has assessed the surface roughness to
be 31 nm.
The potential span (Figure 10) was found to vary as the doping concentration
changed. Long potential span is needed for low doping p-type GaAs. The SIMS depth
profiling (Figure 11) revealed the presence of Ga, As, S, C and 0 for an estimated depth
of 250 nm. The passivated layer was shown to be chemically stable in ambient air for
four months. Ga, 0, C and S atomic concentrations have also been detected by AES. The
passivated layer assumed to be gallium and arsenic sulfide, while defective carbon and
Ga/As oxides cannot be ruled out.
Similar anodic characterizations in alkaline, acidic and sulfide solutions have also
been performed. The characterizations were found to be consistent with n-type GaAs
results under the same experimental arrangements.
8
Anodic Propertles and Passivation of n-tvne InP (100) 3x10 em"3
With particular attention to excess interface electrons, an anodic procedure has been
performed for n-type InP (100). The anodic voltammogram was similar to Figure 15. No
specific characteristics have been observed and a stable overlayer of indium sulfide has
been formed. Equations 4, 5 and 6 show the reaction mechanism of aqueous (NH4 )2S
solution with the InP substrate during anodic sulfidation. Equation 5 is responsible for the
anodic dissolution of InP in (NH 4 )2S solution when the hydroxyl group is dominating the
solution. Equation 6 is the one responsible for sulfidation and depositing sulfides.
Auger electron analysis (Figure 12) showed strong peaks for atomic concentrations
of S and In. Weak C and 0 signals have also been detected. In addition, SIMS depth
8 3
Anodle Properties and Passivatlon of P-tyPe InP (100) 4x101 Cm"
With regard to n- and p-type InP (100) and GaAs (100) substrates, the p-type InP
(100) was found to be the best at anodic treatments. The reaction was simple as in
equation 6 and easy to control. The anodic behaviour (I-V plot) was consistent with
previously reported work with respect to the doping concentration.9 At the plateau
(Figure 15, 1280-1440 mV) the indium sulfide deposition was mild and stable with
favorable surface quality. At higher anodic potentials, the deposition increased
exponentially and was difficult to control.9 Strong S and In peaks as well as weak C and
0 have been detected by AES. The SIMS depth profiling (Figure 16) showed continued
evidence of In, S, P, C and 0, with deposited overlayer in the region of 50 nm. The
phosphorous profile was insignificant, while the sulfur spectrum remained strong and
steady as the layer was removed by Cs' bombardment. It does not seem to diminish
rapidly before the interface has been reached and diffused beyond the interface. As the
layer approaches the interface, an increased part of phosphorous sulfide and indium
phosphorous could probably be formed. The thick sulfide layer was found durable against
moist ambient air for four months, probably belonging to the stable indium sulfide. The
sulfide phase would be attributed to the anodic decomposition reaction of the InP
substrate in equation 6, followed by dissolution of phosphate ions in (NH 4)2S solution
and precipitation of an insoluble In 2S 3 film at the surface. 9 The quality of the passivated
surface was quite good with assessed surface roughness 18 nm; this is the lowest value
among n- and p-type GaAs and n-type InP surface treatments in (NH 4 )2S solution.
CONCLUSIONS
The anodic sulfidation has been shown to produce chemically stable passivation with
good surface quality for n- and p-type GaAs (100) and InP (100) surfaces. The sulfur-ion
concentration in the (NH4) 2S solution played a crucial role in achieving the correct
passivation formula i.e. to satisfy durability and surface quality, particularly with respect
ACKNOWLEDGMENTS
The authors would like to thank Greg Hughes and Tony Deeney for helpful
discussion and Enterprise Ireland for financial support.
REFERENCES
C. J. Sandroff, M. S. Hegde and C. C. Chang, J. Vac. Sci. Technol. B7(4), 841 (1989).
2 H. H. Lee, R. J. Racicot and S. H. Lee, Appl. Phys. Lett. 54(8), 724 (1989).
3 B. A. Cowans, Z. Dardas, W. N. Delgass, M. S. Carpenter and M. R. Melloch, Appl.
Phys. Lett. 54(4), 365 (1989).
4 R. N. Nottenburg, C. J. Sandroff, D. A. Humphrey, T. H. Hollenbeck, and R. Bhat,
Appl. Phys. Lett. 52(3), 218 (1988).
s S. Shikata, H. Okada and H. Hayashi, J. Appl. Phys. 69(4), 2717 (1991).
6 Z. Liliental-Weber, C. W. Wilmsen, K.M. Geib, P.D. Kirchner, J.M. Baker and J. M.
Woodall, J. Apple. Phys. 67(4), 1863 (1990).
ST. Tamanuki, F. Koyama, K. Iga, Japanese Journal of Applied Physics, 30(3), 499
(1991).
8 S. Kamiyama, Y. Mori, Y. Takahashi and K. Ohnaka, Appl. Phys. Lett. 60(22), 2595
(1992).
9 L. J. Gao, J. A. Bardwell, Z-H. Lu, M.L. Graham and P. R. Norton, J. Electrochem. Soc.
142(1), L14 (1995).
10 H. Gerischer, W.C. Tobias, Advances in electrochemical science and engineering,
VCH Publishers, Inc., 9-10 (1990).
"1
12 E. Yablonovitch, H. M. Cox, and T. J. Gmitter, Appl. Phys. Lett. 52(12), 1002 (1988).
W. Z. Cai, Z. S. Li, R.Z. Su, G. S. Dong, D. M. Huang, X. M. Ding, X. Y. Hou and X.
Wang, Appl. Phys. Lett. 64(25), 3425 (1994).
13 S. G. Ershov, A. F. Ivankov, V. V. Korablev and V. Yu. Tyukin, Tech. Phys. Lett.
22(7), 561 (1996).
14 X. Hou, X. Chen, Z. Li, X. Ding and X. Wang, Appl. Phys. Lett. 69(10), 1429 (1996).
CE REUWE .10
POTENTIOSTAT SVACi
ring Electrolyte
Figure 1: Experimental arrangement for anodic processes of GaAs and InP surfaces.
14
i10,
1 4'
S2
Figure 2: Potential sweep of n-type GaAs (100) lxlIO' cm 3 in IM H20 2 solution, sweep
rate 20 mV/s and 3.30 pH.
~30
25
20
*1
10
-10000 -0000 -6000 -4000 -2000 0 20o0 4000 6000 80o0 10000
E [mVI
10
Figure 4: Potential sweep of n-type GaAs (100) lxlOl8 cm 3 in 3M (NH4) 2S, (x=5g S/100
ml) solution, sweep rate 20 mV/s and 10.15 pH.
''16
10 1
j6
0
-2000 0 2000 4000 6000 . 000 10000
E [mV]
Figure 5: Potential sweep of n-type GaAs (100) lxlO' 8 cnY3 in 3M 20g P 2S5/100 ml
(NH4) 2S solution, sweep rate 2 mV/s and 10.05 pH.
100.
80.
160.
40
20
0
-2000 0 2000 4000 6000 9000 10000
E [mY]
18
Figure 6: Potential sweep of n-type GaAs (100) 1x0 cm"3 in 0.5M Na 2S. (x=5g S/100
ml) solution, sweep rate 2 mV/s and 14.60 pH.
10
"1
"
18
Figure 7: SIMS depth profiling of n-type GaAs (100) IxI0 cm 3 treated anodically in
(NH4) 2Sý (x=5g S/100 ml) solution.
S C
20000
0
10000 Ga
III 0
z
1u -10000
-20000
-30000
K. E. (eV)
1 3
Figure 8: AES spectrum of n-type GaAs (100) IxI0 8 cm treated anodically in 20g
P 2S 5/100 ml (NH 4) 2S solution.
10PM 0p
4r
Figure 9: AEM of anodically passivated n-type (Si) GaAs (100) lxl0'8 cm-3 as shown in
Figure 4 (Region I). (a) Surface roughness (Ra) 21 nm (5 mA/cm 2 , 3 V), (b) 44 nm (8
mA/cm 2, 3 V), (c) 63 nm (12 mA/cm2, 2.8 V) and (d) 100 nm (14 mA/cm2 , 2.8 V).
5s7
8-33
10
10C
Figure 11: SIMS depth profiling of p-type GaAs (100) 6x10 18 cm"3 treated anodically in
(NH4) 2Sx (x=5g S/100 ml) solution.
S
200000
In
100000 - C
V 0 '
-100000
-200000
-300000 -
0 200 400 600 800 1000 1200 14 0
K. E.(eV)
Figure 12: AES spectrum of n-type InP (100) 3x10 1 8 cm"3 treated anodically in (NH4) 2S.
(x=5g S/100 ml) solution.
1 C>
4-1
4Z- 3L-4S
I0C>
1~ X~
[ I),pth urn]
Figure 13: SIMS depth profiling of n-type InP (100) 3x10'8 cm:3 treated anodically in
(NH 4 )2 Sx (x=5g S/100 ml) solution.
Figure 14: AFM of anodic passivated InP (100) in (NH.-)2S. (x=5g S/100 ml) solution.
(a) N-type 3x10' 8 cm 3 with approximate surface roughness 1372 nm, (b) p-type 4x10 18
cm 3 with approximate surface roughness 18 nm.
Figure 15: Potential sweep of p-type InP (100) 4x10' cm 3 in 3M (NH4) 2S, (x=5g S/100
ml) solution, sweep rate 5 mV/s and 10.35 pH.
[ C/s]
"10
S0 i i • I *
S~S-32
10"
3
10
"In-11s
10
( I)epth urn]
Figure 16: SIMS depth profiling of p-type InP (100) 4x1018 cm 3 treated anodically in
(NH4) 2S. (x=5g S/100 ml) solution.
ABSTRACT
The in-situ electrochemical measurements were performed for the Al and Ti disks in the various
slurries under the polishing or static condition. The slurries used contained A120 3 abrasive,
phosphoric acid, citric acid and hydrogen peroxide. The results showed that the addition of H20 2
could help to form an effective passivating layer on the Al surface. Besides, the addition of H20 2
enhanced Al dissolution. The maximum corrosion potential drop between the abraded and non-
abraded Al electrodes corresponded to the possible maximum polishing rate of Al. The novel
equipment for in-situ galvanic measurements was designed for evaluating the Al/Ti galvanic couple.
It was found that Al dissolution could be suppressed in the slurry with the addition of 6 vol% H2 0 2 at
pH 4. As regards the AI/Ti removal selectivity, the polishing with the addition of 6 vol% H2 0 2 at pH
4 would mitigate the Al dishing, since the polishing and dissolution of Al could be suppressed while
those of Ti could be enhanced.
INTRODUCTION
Chemical mechanical polishing (CMP) has been accepted as an emerging key technology to
achieve global planarization for interlevel dielectrics (ILDs) and damascene process in the deep sub-
micro multilevel interconnect fabrication. Compared with conventional reactive ion etching (RIE)
etch back, CMP of patterned aluminum (Al) lines for a damascene process gives the better
electromigration lifetime, higher degree of planarity, and less number of processing steps for
interconnection. Moreover, there are still other sufficient motivations to develop a reliable Al CMP
process, based on the considerations of the more simplified process and lower cost for IC
manufacturing compared to Cu CMP. Therefore, Al alloys, which have been used as interconnects for
more than 30 years, are still being extensively investigated because of their admirable applicability in
damascene process.
Due to the soft nature of Al alloys compared to tungsten or copper, Al CMP suffers the choice of
2
a suitable pad to achieve good structural planarity and minimum surface scratch density"' .
Furthermore, the complicated metal removal mechanism in CMP process is not yet clear presently.
Regardless of those hindrances, to obtain a better understanding and controlling on Al CMP,
electrochemical behaviors of metal in the given slurry environment during polishing should be
3
explored . To this end, conventional analytical techniques in corrosion study can be modified as the
basis for evaluation of appropriate slurry formulation for a successful Al CMP.
The total process time for Al CMP is also controlled by the removal rate of the diffusion barrier
used. Titanium (Ti) is known to be an effective adhesin/diffusion barrier for Al metallization.'
Accordingly, the polishing selectivity between Al and Ti is critical in determing the yield and
throughput of Al CMP. In addition, without a proper Al/Ti polishing selectivity, passive corrosion
during the overpolish time may lead to undesirable plug corrosion and recess.'
EXPERIMENTAL
The slurry under investigation was formulated with a -A12 0I abrasive (0.05 pm in size),
phosphoric acid, citric acid and hydrogen peroxide in an acidic aqueous solution. Phosphoric acid and
citric acid were used as pH buffer solutions. The slurry pH was adjusted with potassium hydroxide
(KOH).
The electrochemical measurements were performed using the bulk Al and/or Ti rotating disk
working electrodes on an EG&G potentiostat/galvanostat Model 273. The mixed corrosion potential
was measured with respect to a standard Hg/HgSO, electrode [+640mV w.r.t. standard hydrogen
3
electrode (SHE)] as a reference electrode. The conductor electrode was platinum (Pt). The
potentiodynamic scans were performed at a rate of I mv/sec from 0.25 V below the open circuit
potential to 1.0 V. The corrosion current density was determined by Tafel extrapolation or calculated
by the Butler-Volmer equation. In order to trace the electrochemical behavior with abrasion or
without abrasion, the working electrode was kept rotating at 300 rpm, whether without or with abrasion
on a Rodel Politex regular E polishing pad. During polishing, a down force of 4 psi was applied to the
metal surface. The setup used for the potentiodynamic measurements is shown in Fig.l(a).' From
the potentiodynamic scans, the changes in the mixed potential between the non-abraded and abraded
electrodes and the current density in both electrodes were extracted.
The novel equipment designed for the in-situ electrochemical measurements during polishing is
schematically shown in Fig. I(b). This setup consists of two working electrodes, i.e. the Al alloys and
adhesion/barrier metals, with the slurry as the electrolyte. When AUX and REF terminals were
shorted, the potentiostat would control potential between two working electrodes at any specified value.
If that value were set at zero, the circuit would continuously and automatically read the galvanic couple
current from the potentiostat zero resistance ammeter.' It could be capable of performing the in-situ
polishing or static process for the galvanic corrosion measurements. Following the electrochemical
theory, the galvanic current flow is a result of the different electrochemical behaviors between the two
working electrodes in the slurries.
The polishing mechanism for a phosphoric acid and hydrogen peroxide-based AI-CMP slurry
was proposed in a U.S. patent.' The hydrogen peroxide is a weak acid added as an oxidant to the
polished metal, and the phosphoric acid then etches the oxide while the slurry abrasive mechanically
abrades the metal surface.
Figure 2 shows the potentiodynamic scans for Al immersed in the slurry in the absence or
presence of H20 2 at pH 2. In the absence of H202, there is a very little difference in the corrosion
potential and current density between the abraded and non-abraded electrodes.' This indicates that the
mechanical abrasion nearly makes no contribution to the corrosion rate. Therefore, in the absence of
H20 2, the polishing rate would be dominated by Al dissolution. This Al dissolution at pH 2 is
3
suggested due primarily to the formation of Al , according to the Pourbaix diagram of aluminum water
system. As also shown in Fig. 2, the corrosion potential is significantly increased after the addition of
3 vol% H20 2, indicating that a passivating layer may form due to the addition of H 20 2. In addition, in
the presence of H20 2 , there appears a significant drop in the corrosion potential and an increase in the
current density with abrasion, indicating that the passivating layer is continuously removed by the
mechanical abrasion during the polishing process. By contrast, in the absence of H 20 2 , since the very
As can be observed in Fig. 2, the current density of the non-abraded electrode in the slurry
containing H20, is higher than that of the non-abraded or abraded electrode in the slurry without the
addition of H2 0 2 . This manifests that the presence of H20 2 can enhance Al dissolution. For this
enhancement to occur, the structure of the passivating layer should appear loose, so that the slurry
solution is still capable of penetrating through the passivating layer and attacking the Al metal to bring
about the corrosion reaction. In addition, in the presence of polishing action, the current density of the
electrode is further increased, as also shown in Fig. 2. This is suggested to be a combined result of
two actions, that is, the presence of H20 2 enhances Al dissolution and the mechanical abrasion helps to
remove the passivation layer.
In particular, as noted in Fig. 2, in the presence of 3 vol% H202, there is a corrosion potential
90
drop (<E) for the abraded electrode compared to the non-abraded electrode. ' This corrosion
potential drop is anticipated to correspond to the weakening of the passivation effect, which may be
caused by the decrease in thickness of the passivating layer due to mechanical abrasion. In Fig. 3 is
shown <E as a function of the concentration of H2 0 2 added. As shown in the figure, <E can be seen to
increase with the H,0 2 concentration, until the H2 0 2 concentration reaches about -3 vol%, and then
decrease with the H2 0 2 concentration further. At the lower concentration of H2 0 2 (below -3 vol%),
the removal rate of the passivating layer is higher than its very slow growth rate, so that the removal
amountof the passivating layer would be very small and thus gives a lower <E. In such a case, the
mechanical abrasion becomes less important and the polishing rate is thus dominated by Al dissolution.
By contrast, at the higher concentration of H20 2 (above -3 vol%), the removal rate of the passivating
layer is slower than its high growth rate. In this case, mechanical abrasion becomes important and Al
dissolution is suppressed, since the thicker passivating layer acts as a better barrier against the acidic
solution attacking on Al metal surface. As a result, due to the thick passivating layer, the alteration
rate of the passivation effect is thus negligible and leads to a lower <E. On the same line of reasoning,
in the presence of 3 vol% H2 0 2, since the removal rate of the passivating layer is equal to its growth
rate, both the mechanical abrasion and Al dissolution would make the large contributions to the
polishing rate, which would cause <E to approach the maximum value. Consequently, this would be
the best condition to provide Al CMP with the maximum removal rate.
In the slurry used in this study, with the addition of 3 vol% H20 2 , the polishing rate of Ti metal is
slower than that of Al, when the polishing rate of Al is the maximum. However, this is not a good
condition for polishing the Al/Ti patterned wafer, since the Al dishing would become a severe problem.
Therefore, it is preferred that the polishing rate of Al be decreased while the polishing rate of Ti be
increased. To this end, the addition of 6 vol% H2O0is chosen, in which <E is a lower value (see Fig.
3). As a result, the passivation effect for At would be significant and the polishing rate of Al is also
decreased. In such a case, the Al dishing can be mitigated. However, it is not unreasonable to
propose that the adjustment of pH is also possible to further improve the Al dishing. In Figs. 4 are
shown the potentiodynamic scans for the abraded electrodes in the slurry with the addition of 6 vol%
H20 2 at pH 2 or 4. It is clear that the current density of At would be altered but that of Ti remains
unchanged by the change of pH. At pH 2, the current density of Al is higher than that of Ti, whereas
the current density of Al becomes lower than that of Ti at pH 4. In other words, this fact verifies that
4
at pH the polishing rate of Al can be decreased and slower than that of Ti. This is very favorable to
mitigate the Al dishing when a AI/Ti patterned wafer is polished. It is thus clear that the change of pH
is capable of further mitigating the Al dishing.
Galvaniccurrent measurement
According to the galvanic corrosion theory, while Al and Ti are electrically connected, a potential
difference usually exists and produces electron flow between them," which may contribute a driving
force for greater corrosion of either Al or Ti. Fig. 5 shows the galvanic current between the abraded
Al and Ti electrodes with time. As seen in the figure, at pH 2 and in the absence of H2 0 2, the abraded
CONCLUSION
The electrochemical studies on the Al and Ti disks in the slurries containing A120 3 abrasive,
phophoric acid, citric acid and hydrogen peroxide were performed. The results showed that the
addition of H,0 2 is very helpful to form a passivating layer on the Al surface. At the lower
concentration of H20 2 (below -3 vol%) at pH 2, the polishing rate of Al was dominated by Al
dissolution. At the higher concentration of H202 (above -3 vol%), the polishing rate of Al was
primarily controlled by mechanical abrasion. The maximum corrosion potential drop between the
abraded and non-abraded electrodes in the slurry with the addition of 3 vol% H2 0 2 at pH 2
corresponded to the possible maximum polishing rate of Al, at which both mechanical abrasion and
dissolution made the large contributions to the polishing rate. The novel equipment for in-situ
galvanic measurements was designed for evaluating the A1/Ti galvanic couple. It was found that Al
dissolution could be suppressed in the slurry with the addition of 6 vol% H2 0 2 at pH 4. By increasing
the addition of H 20 2 to 6 vol% and the pH value to 4, the Al dishing would be mitigated, since the
polishing and etching of Al could be suppressed while those of Ti could be enhanced.
ACKNOWLEDGEMENTS
This work was sponsored by the National Science Council of the Republic of China under grant
NSC 88-CPC-E-009-015. Technical support from the Nationl Nano Device Laboratories is also
acknowledged.
REFERENCE
1. M. A. Fury, D. L. Scherber and M. A. Stell, MRS Bulletin Nov. (1995) pp. 61-64.
2. J. F. Wang, A. R. Sethuraman, L. M. CooK, R. C. Kistler and G. P. Schwartz, Semicond Intl. Oct.
(1995) pp. 117-121.
3. C. G. Kallingal, D. J. Duquette and S. P. Murarka, J. Electrochem. Soc. 145 (1998) pp. 2074-2081.
4. J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann, D. J. Duqutte, Mater Chem. Phys. 41 (1995)
pp. 217-228.
5. E. A. Kneer, C. Raghunath, V. Mathew and S. Raghavan, J. Electrochem. Soc. 144 (1997) pp.
3041-3049.
6. Denny A. Jones, Principles and Prevention of Corrosion, 2nd ed., Prentice Hall Press, Upper
Saddle River, NJ, pp. 177-190, 1996.
7. C. C. Yu, T. T. Doan and A. E. Laulusa, U. S. Patent 5,209, 816 (1993).
23 8 2 44
8. Ronald Carpio, Janos Farkas, and Rahul Jairath, Thin Solid Film, V.266, 1995, pp. - .
2 59 2 6 5
9. D. Zeidler, Z. Stavreva, M. Plotner and K. Drescher, Microelectron. Eng. 33 (1997) pp. - .
10. J. M. Steigerwald, D. J. Duqutte, S. P. Murarka and R. J. Gutmann, J. Electrochem. Soc. 142 (1995)
pp, 2379-2385.
70 78
11. David R. Evans, Electrochem. Soc. Proc. 96-22 (1997) pp. - .
Load cell
At T
0 Slm
Pad
__ Platen
Figure 1(b). The novel equipment designed for in-situ galvanic corrosion
measurement
o.o
-10
-1.5
140 AI-1%8I-0.5YCu
gio
20
0
0 2 4 6 8 10
H20 2 concentration (%)
0.0 Al TI
pHp2
ATi
-1,0
1E-9 1E-8 1E-7 1E-6 1E-5 1E-4 1E-3
Current density (A/cm2 )
Figure 4. Potentiodynamic scans for abraded Al and Ti surface in the slurry with the
addition of 6 vol% H20 2 at pH 2 and 4, respectively.
0.6
,N.1%/SI.O.5%'Cu'Rn
0.5 With abrasion at 4psi, 300rpom
0. Slurry (alurnina abrasive)
0.4 I : 0 voll/J'lO 2, pH 2
S0.3 I1:6vola/'ltOq,pH 4
~0.2 -. 5~cr
0.1
d 0.0
C -0,I
-0.2 ýý 2 ý~r
-0.3
0.0 0.1 0.2 0.3 0.4
Time (Ks)
Figure 5. The galvanic current of abraded AI/Ti couple surface recorded from a
potentiostatic ZRA in the slurry containing 0 vol% H20 2 at pH 2 and 6
vol% HO,2 at pH 4, respectively.
ABSTRACT
INTRODUCTION
EXPERIMENTAL
REFERENCES
1. H.Cachet, R. Cortes, M. Froment, G. Maurin, J. Solid State Electrochemistry, 1, 100,
1997
2. H. Cachet, R. Cortes, M. Froment, G. Maurin, Symposium Proceedings PV 97-27
"Fundamental aspects of electrochemical deposition and dissolution including modelling"
The Electrochemical Society 1997)
3.K.E. Heusler, S. Kusmuth,Electrochemical Society Meeting, Paris, 1997, ext. Abstract
No 1150
2.5-
2.0-
1.5" 0
00
1.0 i" 31W 3105 310 3115
0.5-
0.0
a 312 3ime/
a32 time / s 3.4Ma
Figure 1: Epitaxial growth of CdSe on (100) InP
a) Current-time transient (-046V to -0.9 V/SSE); open circles:experimental
transient; dashed line: best fitting using the Scharifker model.
Insert : experimental current after substraction of the double layer effect.
b) RHEED pattern (azimuth <011>); deposition time : 25s
3.0 1
2.5
2.0-
1.5 0o0
030 010 02 223 204 215
1.0-
0.5
0.0
a 2.1 2.2 time s 2.3
Sch ; - C-__
0.2
4- 7
IV
Figure 8 HREM image of a non epitaxial CdSe nucleus grown on ( 111) InP (d=2nm);
atomic columns are projeted on a (110) plane.
Travis L. Wade, Billy H. Flowers Jr., Uwe Happek' and John L. Stickney*
Department of Chemistry. University of Georgia. Athens, GA 30602-2556
+ Department of Physics, University of Georgia, Athens, GA 30602
INTRODUCTION
Electrodeposition is becoming a more accepted methodology for the formation of
electronic and opto-electronic materials, as evidenced by the damascene methodology for
Cui interconnect formation [I]. This suggests that electrodeposition is not inherently
incompatible with the manufacturing of devices.
There has been a recent increase in studies of the formation of CulnSe2 and
related chalcopyrite compounds, as they appear to be good candidates for the formation
of photo\ oltaics. Electrochemical formation ofa ternary compound presents additional
problems, such as increased problems with stoichiometry.
The I1l-V compounds have proven more difficult to form then the Il-Vt. or the
chalcopyrites. There are very few papers where I1l-V compounds have been formed and
still fewer that result in deposits that are better then powders.
In execution, the process involves the use of different solutions and different
potentials for the deposition of each element. One immediate benefit is that the
precursors for the different elements do not have to be in the same solution, as they
would in the more general co-deposition methodology [7-121 scenario. The solution pH,
complexing agents. and depositions potentials can all be optimized for each reactant
solution individually, resulting in a high degree of flexibility in the deposition process.
This paper describes present studies of C'dTe and InAs that are ongoing in our
group. ( dTe and InAs are the II-VI and III-V compounds for which we know the most
concerning their formation using electrochemical ALE.
The Ag/AgCCI(3M NaCI) reference electrode from Bioanalytical Systems was kept
in the outllow, stream to avoid contamination. The solutions were all prepared with
analytical grade reagents, and water from a INanopure water filtration system, \xith t V
sterilization, fed bx the house distilled water system.
CdTe
The majority of work on electrochemical ALE, in this group, has concerned the
growth of CdTe. The chemistry used in the (d~e electrochemical ALE cycle has
generally involved deposition of Cd atomic layers by reductive UPD, while Te atomic
layers were formed using somne form of oxidative LIPD. In previously published studies
of CdTe deposition using an automated deposition system. [23-251, oxidative Fe t iPD
was performed in two steps, initial deposition of bulk Te from a pH 2 solution of
HTeO,', followed by reductive stripping in a blank electrolyte solution. Direct reductive
Te tIPI), from an ItTeO2+ solution was not thought possible, given the voltamtnetry in
Figure 2a and b. Figure 2a is the voltamnmetry of a ALt thin layer electrode [19, 20. 451
with an aliquot of I mM 'Cd>.p-I 4. lhe tJPI) peak is evident around 0.1 V. while bulk
deposition does not begin until -0.7 V. Between --0.2 and -0.7 V, reductive current is
observed that has been ascribed to the formation of a Au-Cd surtfce alloy. Experience
has shown that Cd atomic layers should be deposited near --0.7 V. Figure 2b is
1
Changing the P I of the Te
deposition solution is a
demonstration of the flexibility of
I aooleni
V
2
the ALE cycle, where the reactant Figure 2: a) Voltalnmetry of Au electrode in Cd
solucti ons canl be optimized solution, b) TeO,and
di)Ini solution, solution, pH 2, c)
e) iina As20• teO, solulion pH 9,
solution.
that by
separately. This suggests
using the p1- or additives to complex the reactants, the potentials needed to form atomic
layers of the component elements can frequently be made similar, facilitating deposition
of the cotmpounld.
Studies of the dependence of the old cycle on various cycle variables indicated
that the optimal potential ranges were about 0.1 to 0.2 V wide, from graphs of the deposit
thickness as a function of the potential used to deposit Te [25]. Figure 3 is a graph of the
deposit thickness, in nor, for deposits formed with 200 cycles, as a function of the Te
deposition potential using the new cycle. From this graph, the optimal potential range
appears to be 0.6 V Wide, between -0.7 and -0. 1 V. There is some %amiability in the
thickness. but the deposits \sere of similar quality. Use of Te potentials belows -0.7 V
resulted in some bulk Te deposition. Te rich deposits, more then a ML/cycle, and a
decrease in deposit quality. U se of Te potentials positive of -0. 1 V resulted in a drop in
CdTe coverage, as previously deposited Cd was not stable at such positive Te deposition
potentials.
X-ray diffraction patterns of these deposits (Figure 4a) indicate that the, are
CdTe. and ha\ e a pie ferential ( I I I ) growth habit. The peak width is significantly, Aider
than observed for single crystal CdTe. however. Some of the broadening can be
attributed to the lact that the film is only 70 nm thick, however most of the broadening
should be attributed to polycrystallinity in the deposit. Reasons for the formation of
crystallites instead of one large single crystal film may be many and varied. Presently,
efforts are focused on using better substrates. As mentioned in the experimental section,
the Au onl Si(l100) substrates consisted of 40 nm Au bumps, roughly hemispherical in
cross section (Figure 5a). The substrates are thus composed of a vast number of
monoatomic Au steps, accounting for a significant defect density. The Au planes of'the
substrate base been shownt to be predominately (I ll). and CdTe( Ill) deposits have a 3:2
lattice match on these surfaces. For every three unit cells of the ALi there are two of the
CdTe (zinc blonde). Ho\seveer, even vith the 3:2 match, there is still a relatively large. 5
%. latticc imismatch, suggesting interfacial strain and defect formation.
InAs
As mentioned in the introduction, very little progress has been made in the
electrodeposition of Ill-V thin films. Some studies of the formation of GaAs using
electrochemical AI[ were performed early on [42. 43]. Ga reactivity proved too great for
the hardware used at that time, and thin films were not fbmsed. Recent work x,ith
electrochemical ALE on Ill-V compounds has focused on the growth of InAs. as In is
significantly less reactive then Ga.
Vohtamtmetry for As203 and In>' solutions, using Au substrates and tile thin-layer
flow cell (Figoure I) are shown in Figures 2d and 2e respectively. The In voltamlsetry
shows a small IPD feature at -0.2 V. Bulk deposition starts near -0.4 V. and slso\s
evidence of a nucleation phenomena. where tlie reduction current gets very large near-
0.6 V, but does not climb back to zero current until -0.4 V on the subsequent positive
going scan. This suggests that an overpotential is required to initiate nuclei formation.
and once they are formed, deposition can occur at a reasonable rate near the formal
potential (about -0.4 V). Two oxidative stripping features are observed. one for bulk In
at -0.3 V and one for JPD at -0. 1 V.
0.1 V.
growth occurs.
The solution has been to adjust the Figure 5: Atomic force micrographs of A)
potentials as tile deposition takes place. The Au vapor deposited on Si(100), B) 100
potentials needed for UPD of the elements on cycle deposit of CdTe on Au on Si, C) 200
Au, and then on each other, shift as the cycle deposit of tnAs on Au on Si.
deposition proceeds. It is suggested here that
as the compound semiconductor is formed, a rectifying junction forms between the InAs
Aln X-ray diffraction (XRD) Figure 6: Reflectance data plotted to determine the
pattern of one of the early deposits deposit band gap. A) 200 cycles of CdTe, B) 500 cycles
is shown in Figure 4b. A small of [hAs.
peak for In is evident in the
unannealed deposits. However, elemental coverage data from electron probe
microanalysis (EPMA) indicated that the deposit was rich in arsenic, not In. Evidently,
the excess As is not crystalline, so that it does not show up in XRD, while tile In is
crystalline, and does show up. The extent of the In peaks in the XRD and the amount of
excess As. fromn EPMA, are a function of the cycle used, and optimization of the cycle is
ongoing.
Reflection IR measurements were obtained from these films, and a plot is shown
in Figure 6b. The measured band gap was 0.44 eV. to be compared with the 0.36 eV lbr
the bulk compound. Reflection IR has proven to be a very simple way of monitoring for
the presence of InAs in the deposits.
ACKNOWLEDGEMENTS
Support from the National Science Foundation, Division of Materials Research is
gratefully acknowledged, as is support for Travis Wade by UGARF at the University of
Georgia.
REFERENCES
I1. 1p.C. Andricacos. C. I.zoh. J. 0. Dukovic. J. Horkans, and H. Deligianni, Ibml
Journal of Research and Development 42. 567 (1998).
2. C. H. Lee and F. A. Kroger, J. Electrochern. Soc. 129, 936 (1982).
3. P. Rani, J. Singh, T. R. Ramamnohan, S. Venkatachalam, and V. P. Sundarsingh, J.
Mater. Sci. 32, 6305 (1997).
4. P. R. L. Sarma, T. R. R. Mohan, S. Venkatachalam, J. Singh, and V. P.
Sundersingh, Materials Science and Engineering B-Solid State Materials For
Advanced Technology 15, 237 (1992).
5. P. R. L. Sarmna. T. R. R. Mohan. S. Venkatachalamn, V. P. Sundarsingh. and J.
Singh. .1.Mater. Sci. 27, 4762 (1992).
INTRODUCTION
2
S
z = -- [I]
1(p
In this equation, S is the Seebeck coefficient, p is the resistivity (p =1/ ,, where o'is the
electrical conductivity), and icis the thermal conductivity. Metals are typically poor
thermoelectrics because of a low Seebeck coefficient and a large contribution to the thermal
conductivity by the conduction electrons. In contrast, insulators have a large Seebeck
coefficient and a small electronic thermal conductivity, but the carrier density is low, leading
to a high resistivity. Mahan, et al. have shown that a carrier density intermediate between that
of a metal and that of an insulator is optimum (N-10"9 cm-3 ) (1). Typically, doped
semiconductors make the best thermoelectrics.
Several strategies based on novel device architectures have been developed in an effort to
improve overall thermoelectric efficiency, one of the most promising of which is the use of
quantum well superlattices. In certain superlattice systems, the electrical conductivity
through the wells is dramatically increased due to an increase in the density of electronic
states in the two dimensional system. At the same time, in a layered structure such as a
superlattice, thermal conductivity is decreased due to enhanced phonon scattering at
interfaces. Hicks, et al. have shown that a significant increase in the figure of merit can be
achieved using quantum well superlattices synthesized by molecular beam epitaxy (4).
In this paper, we investigate the use of EC-ALE to synthesize thin films of CoSb phases
with an aim toward the production of layered structures of these materials for use in
thermoelectric applications. If successful, such an approach will lead to thin films with
enhanced thermoelectric efficiencies, while at the same time keeping the production cost of
the device low.
EXPERIMENTAL
Single crystal Au(I 11) substrates were prepared according to previously published
literature methods (12). Briefly, a 0.2-1.0 mm polycrystalline Au wire (Alfa-Johnson
Matthey, 99.999%) is flame annealed into a microbead in an Ar-sheathed H2-O flame. The
microbead is zone refined in the flame to reveal several elliptical (111) facets whose major
and minor axes measure approximately 1000 trtm and 500 .tm, respectively. Immediately
following removal from the flame, the Au microbead is submerged in ultrapure water to
protect the surface from contamination. These substrates can be easily aligned for STM
imaging using a low magnification optical microscope.
Cyclic voltammetry was performed using a Pine AFRDE-5 bipotentiostat and an HP-
7055 X-Y recorder. The flow-through electrochemical cell consisted of a three-electrode
configuration: the Au microbead as the working electrode, a Pt wire as the auxiliary
electrode, and a Ag/AgCI (3 M NaCI) as the reference electrode to which all potentials are
referred. All depositions were carried out from pressurized solution reservoirs made of
Teflon or Kel-F. The electrochemical cell was directly connected to the solution-handling
manifold that allowed the electrolytes to be changed without the electrode being exposed to
the laboratory ambient. It should be noted that in all experiments, no attempt was made to
record the voltammetry of an isolated (11) facet; thus, the voltammetric signal originates
from the entire polycrystalline microbead.
Underpotential deposition of Sb was carried out from a 0.05 M H2S0 4 electrolyte that
was 0.5 mM in Sb 2 0 3 . Underpotential deposition of Co was carried out from a 0.10 M
NaCI/HCI electrolyte containing 1 mM Co(C1O 4 ) 2. The pH of this solution was 3.45.
Auger electron spectra (AES) were collected with a conventional single pass cylindrical
mirror analyzer system. Samples were briefly exposed to atmospheric conditions while
being loaded into the AES system, however, no evidence for surface contamination was
observed in any of the measurements. All AES measurements were performed on 1.0 x 1.0
cm Au foils.
Underpotential deposition of Sb
Three well-defined, sharp voltammetric waves are observed when the electrode potential
is swept anodically from the cathodic limit. The first of these, which occurs at -0.105 V, is
assigned as the stripping of bulk Sb based on the observation that the peak current is
extremely sensitive to the cathodic switching potential. Specifically, ip increases as the
switching potential is made more negative and decreases when the switching potential is
made more positive. The remaining two anodic features, at 0.000 V and 0.147 V,
respectively, are assigned as stripping of Sb atoms bound to the Au surface (i.e., stripping
of a contact adsorbed monolayer of Sb atorns). The peak currents observed for these waves
are independent of the cathodic switching potential. In addition, in both cases, the peak
currents exhibit a linear dependence on the scan rate, consistent with the stripping of a
surface bound species. The scan rate dependence of the peak current for the 0.147 V peak is
shown in Figure lB.
In an effort to assess the stability of the adsorbed Sb monolayer to emersion and transfer
to a different supporting electrolyte, as well as the tendency of the electrodeposited Sb to
alloy with or diffuse into the underlying Au, the following experiment was performed. First,
a clean Au electrode was immersed in the Sb deposition solution and scanned to a cathodic
limit of -0.150 V, at which potential the electrode was emersed into an Ar atmosphere and
immediately transferred to pure electrolyte (0.05 M H2SO4 containing no Sb 20 3), Figure
2A. The electrode potential was then swept anodically at 0.100 V sec and the stripping
current recorded, Figure 2B. The peak potential of the large stripping wave is 0.140 V,
identical within experimental error to what was observed in the presence of Sb20 3. In
addition, the charge density under this wave was found to be 170 uC cm-2 . Assuming an
electrosorption valency of 3, which is reasonable given the similar work functions of Sb
(4.55 eV) and Au (5.1 eV), this charge density corresponds to a coverage of 0.25. Finally,
Auger electron spectroscopy experiments were carried out to confirm the presence of Sb on
the surface of the electrode. A typical spectrum is shown in Figure 3. The characteristic Sb
transitions are clearly observed in this spectrum.
The structure of the electrode surface prior to and following Sb electrodeposition was
investigated using scanning tunneling microscopy (STM). Figure 4A shows a representative
0.41 Lm x 0.41 um STM image obtained from a single Au(1 11) facet after flame annealing.
Atomically flat Au( Ill) terraces separated by single Au atomic steps (0.24 nm in height)
are observed. Following deposition of Sb, the surface morphology is characterized by a
large number of pits and small protrusions as shown in Figure 4B. The pits are generally
triangular in shape and are all oriented in the same direction. The observation of oriented
pits is the characteristic signature of atomic level corrosion of Au(l 11). Similar structures
Underpotential deposition of Co
CONCLUSIONS
ACKNOWLEDGMENTS
The Petroleum Research Fund (administered by the American Chemical Society), the
National Science Foundation and Auburn University are gratefully acknowledged for their
financial support of this work. We thank Mr. Igor Nicic for his help in the preparation of
some of the samples.
REFERENCES
Ca
O 20 40 60 80 100 120
Scan rate (mV/sec)
-0.4 0.4
Potential (V vs Ag/AgCl)
I I I
-0.4 0.4 -0.4 0.4
Potential (V vs Ag/AgCI) Potential (V vs Ag/AgCI)
Figure 2. (A) Reduction of a monolayer of Sb 2O,. The electrode was immersed at 0.400 V
and emersed at -0.150 V. Other conditions as in Figure 1. (B) Stripping of Sbhal after
transfer to pure electrolyte (0.05 M HSO4 ). Experimental conditions as in Figure 1.
-200.66 .................
t: 1:AES Survey
1 ML Sb
C As Received
c
.. ... ... ... ... ... .... ... ... ... ... .....................................
08. .......
-4... ....................................
-400 .. ....
Au
Figure 3. Auger electron spectrum of a Au electrode onto which a single Sb monolayer was
deposited. Experimental conditions as in Figure 2A.
-.35 0
Potential (V vs Ag/AgCI)
-0.9 0.0
Potential (V vs Ag/AgC1)
ABSTRACT
Introduction
Experimental
Nlerck analytical reagent grade 3CdSO 4 8H20, and Aldrich analytical reagent grade
NaS were used without further purification. Merck analytical reagent grade lIC10 4 and
NH3 were used to prepare the p11 9.2 ammonia buffer. The water used was obtained fiom
light mineral water by distilling it once and by then distilling the water so obtained fromn
alkaline perinanganate while constantly discarding the heads. The solutions were freshly
prepared just before the beginning of each series of measurements. The working electrodes
were silver single crystal discs grown in a graphite crucible, oriented by X-rays and cut
Results
quantitatively determined from the charge involved in the anodic stripping of the metallic
element. and subsequent cathodic stripping of the non- metallic element at a sweep-rate
low enough to ensure the complete dissolution. Figure 5a and 5b show the stripping
peaks of I to 10 Zn layers and I to 20 Cd layers, whereas Figure 5c shows the subsequent
stripping curves of sulfiur relative to both metal sulfides. Once all of the metallic element
has been stripped anodically, the remaining sulfur layers, except for the first, behave like
bulk sulftir: hence during the following reductive stripping they are reduced at more
positive potentials than the first sulfur layer in contact with the silver substrate. Plots of
where the rate of electroreduction of cadmium ions is still low enough to produce
homogeneous deposits. Then, the deposited Cd was stripped, and the potential. E of
the anodic peak wvas measured against the charge, Q, obtained by integrating the peak.
rhis measurement w\as repeated for Cd deposits obtained at different times of
accumulation, and the E, values were plotted against the charge Q (Fig. 7b). Apart fr-om
the different values of potentials (curve a refers to Cd underpotentially deposited on S-
covered Ag(Ill), and curve b refers to bulk Cd deposited on Ag(lll)). the larger
potential shift exhibited by curve a clearly shows that the formation of CdS makes the Cd
deposit more stable. A similar plot for Zn shows that the potential shift observed for E,,a
of zinc deposited as ZnS is significantly higher than that observed for cadniulu, which
can be explained by the fact that the heat of formation of ZnS is more negative than that
of CdS.
The morphology of thicker CdS deposits was investigated by SEM. Figure 8 shows
scanning electronic micrographs of different magnifications of a sample formed with 110
deposition cycles. EDAX analysis performed on the more homogeneous regions, as well
as in the correspondence of the clusters observed on the deposit, always yielded CdS in
the 1:1 stoichiometric ratio. These results confirm XPS studies carried out on a sample of
50 deposition cycles: the binding energy of sulfur peak, 161.2 eV. is very close to that of
CdS. 161.5 eV. and the height of sulfur and cadmium peaks gives the expected 1:1
stoichiometric ratio.
Acknowledgments
The authors are grateful to Mr. Andrea Pozzi and Mr. Francesco (iualchieri for
their contribution to the set up of the automated deposition system, and Mr. Ferdinando
Capolupo for the preparation of the silver single crystal electrodes. The financial SuppOrt of
the Italian CNR and of the Murst is gratefully aclknowledged.
(4) D. W. I-latchett and H. S. White, J1.Phe.s. ('hem. 100, 9854 (1996). (I;PD DI S)
(5) D. W. Hatchett, X. Gao, S. W. Catron and H. S. White, J. Phs. ('hemn 100, 331
(1996).
(9) L. P. Colletti, R. Slaughter and J. L. Stickney, Journal of the SID, 5/21 1997.
(10) L. P. Colletti, S. Thomas, E. M. Wilmer and J. L. Stickney, Mater. Res. Soc. Symp.
Proc., 451, 235 (1997).
(11) A. Hamelin, in Modern Aspects of Elecirochenmistiy,BE. Conway, R.E. White and
J.O'M. Bockris editors, vol. 16, p. 1, Plenum Press, New York (1985).
(13) Gi. Pezzatini, S. Caporali, M. Iunocenti and M.L. Foresti, "Formation of ZnSe on
Ag(l 11) by Electrochemical ALE",, Electroanal. Chem., in press.
(14) Y. F. Nicolau, M. Dupuy and M. Brunei, .1. Electrocheno. Soc. 137, 2915 (1990).
(16) B.E. Boone and C. Shainon,J. Phys. ('hem., 100, 9480 (1996).
ontiiI 3
Compressed sir
- * 2.
v
inlte2
*plexiglass
ii seefl disk
to the reference gl one lcrd
electrode gl one lcrd
o -ring
working electrode
peilass disk
Figure 2 - Fleetroehienlical floxs-cell.
0-
I I I I
Figure 3 Cyclic voltammograms of ZntpD obtained from 0.5mM ZnSO 4 in a p1- 9.2
ammonia buffer solution on Ag( 111) covered by S (a), Se (b) and Te (c). The scan rate is 40
mV s-1.
5 li
0 b
b j
S5 l.A
0b
I50pA cm~
3000
U 2000
1000
0 10 20 30 40 50 60
number of cycles
Figure 6 - Plots of the charge involved in the oxidative stripping of Cd ) and the
reductive stripping ofS ( ) as a function of the number of ECALE cycles.
0 I -0.5
-0.05 -0.55
U -0.15
S-0.15 -0.65 ¢
-0.2 -0.7
ABSTRACT
1. INTRODUCTION
Photovoltaic solar cells are a very attractive source of energy. At present, the
photovoltaic industry primarily uses wafers of single-crystal and polycrystalline silicon,
which generally have a wafer thickness of > 150 gtm. The wafers must go through several
processing steps and then be integrated into a module. The high material and processing
costs make these modules relatively expensive. The modules prepared from thin-film
semiconductor materials are expected to lower costs by significantly reducing the material
and processing costs. The thickness of the films can be as little as a few microns. The
development of photovoltaic device structures based on CuIn.Ga1_.Se 2 (CIGS) has
advanced rapidly during the last few years. The direct energy gap of CIGS results in a
large optical absorption coefficient,' which in turn permits the use of thin layers (1-2
[tm) of active material, and also allows the use of a material with a modest diffusion
length. CIGS solar cells are also known for their long-term stability.
2. EXPERIMENTAL
The as-deposited precursors are Cu-rich CIGS films. Additional In, Ga, and Se
were added to the precursor films by PVD to adjust the final composition to
Culnl.xGaxSe 2. The substrate (precursor film) temperature during the PVD step was
5600 ± 10°C. The films were also selenized by exposure to selenium vapor during the
cool-down time (-40°C/min). Addition of In and Ga and also selenization at high
temperature are very crucial to obtaining high-efficiency devices. Photovoltaic devices
were completed by chemical-bath deposition of about 50 nm CdS, followed by radio-
frequency (RF) sputtering of 50 nm of intrinsic ZnO, and 350 nm of A12 0 3-doped
conducting ZnO. Bilayer Ni/AI top contacts were deposited in an e-beam system. The
final step in the fabrication sequence is the deposition of an antireflection coating (100 nm
MgF 2). The final device configuration for all devices is MgF 2/ZnO/CdS/Culnl.
0Ga 0Se 2/Mo.
Fig. 1c. SEM photoghaph of the absorber Fig. 1d. SEM photohgaph of the absorber
layer prepared from ED precursor film layer prepared from EL precursor film
I20 I , I ,
20
10
SO0 Se In !C tu S
0
rSe
-10 Se Ga Se
In 0 C
-20x10
0 400 800 1200
Fig. 2. AES survey of electroless precursor film (after I-min sputter etch).
Figure 3 shows X-ray diffraction data of the absorber CIGS film prepared from
ED and EL precursor films after compositional adjustment. The as-deposited films were
amorphous or polycrystalline in nature. The absorber film after final film composition
adjustment shows only the CIGS phase. The International Center for Diffraction Data
card number used for the identification of CIGS X-ray peaks is 40-1487.8
Figures 4a and 4b show the compositional AES depth profile analysis data of the
absorber films prepared from ED and EL precursor films, respectively. The AES depth
profile analysis shows a non-uniform distribution of Ga concentrations in the film. The
absorber layer prepared from ED precursor film has relatively more uniform distribution
of Ga concentrations compared with the absorber layer prepared from the EL
15
•', CIGS
(220/204)CIGS
ED-absorber (312/116)
10
5
CIGS
(2 20 / 20 4 ýIGS
"EL-absorber (312/116)
0 ...
10 20 30 40 50 60 700
2 Theta (degrees)
Fig. 3. X-ray diffraction data of the absorber layers prepared from ED and EL
precursor films (Y-axis for ED-absorber is offset by 10000)
precursor film. The Ga hump is not helpful for the electron collection mechanism. We
expect to improve the device efficiencies by optimizing Ga distribution in the absorber
layers. The optimized layers should have less Ga in the front and more Ga on the back,
which facilitates electron collection.
e 60 Se - 0.6 +
+
Io Cu ' "Ga/(In+Ga)--- 0.4
20 In 0.2
0 _ _t t t _ 0.0
0 1 2 3 4 5
Fig. 4a. Auger analysis data of the absorber layer prepared from ED precursor film
100 1.0
80- CU 0.8
A*SeIn
S 60 Se 0 'Ga/(In+Ga)' 0.6
S40- . _G/(In+GA) 0 G0.4 --- I n
20 -IIn • 0.2
0 ___________________________ 0.0
0 1 2 3 4 5
Fig. 4b. Auger analysis data of the absorber layer prepared from EL precursor film
12
10
8 8
21 C-
S 6
4 4
2
. . I0.
0.00 0.25 0.50
0.00 0.25 0.50
Voltage (V) Voltage (V)
[ 80_ 80
S 60
S60
- • 40
S40
S20
.20
og 0 200 1000
ACKNOWLEDGMENTS
This work was supported by Davis, Joseph & Negley (California Corporation, Work-for-
Others Contract No. 1326) and also by the U.S. Department of Energy under contract
DE-AC36-98-GO10337.
REFERENCES
INTRODUCTION
All experiments were performed on (100) n-type silicon (Wacker Siltronic, AG) with
a resistivity of 3 Q cm (ND = 1x10 15 cm-Y). Prior to experiments the samples were
sequentially cleaned ultrasonically for 10 minutes in acetone, ethanol, and water. The
water was distilled and deionized (Millipore) and had a resistivity of 18 MQ cm. The
ohmic contact was provided by applying InGa eutectic on the back side after treatment in
48% HF for 10 s. The samples were then mounted in a clamp-on cell with an o-ring; the
geometric surface area was 2.8 cm 2 in all cases. The reference electrode was Ag/AgCI in 3
M NaCI and was positioned close to the silicon sample using a Luggin capillary; the
counter electrode consisted of a platinum gauze. All potentials reported in this paper are
given with respect to the Ag/AgCI reference. The experiments were performed in ambient
conditions.
Current-potential and current transient experiments were performed using a EG&G
PAR 273 potentiostat and Corrware software. Experiments on the Si/Au junctions were
performed on a Solartron ECI 1286 and a FRA 1255; the experiments were done in the
dark. Scanning electron microscopy was performed on an AMRAY 1860 FE at an
acceleration voltage of 5 kV. The samples were flash-coated with carbon before loading
into the chamber. Atomic Force Microscopy (AFM) was performed using a Topometrix
Discoverer system.
Figure 1 shows an energy band diagram for silicon in aqueous solutions at pH 14 and
pH 1. The energetic position of the silicon band edges is dependent on the pH, and the
two most commonly used redox couples for the deposition of gold are shown. It can be
seen that the acceptor levels of AuCI 4 " have an overlap with the silicon valence band. As
a consequence, gold is deposited under open circuit conditions by the displacement
mechanism. However, silicon is oxidized in the process and the deposition process is
self-limiting. There are various ways to circumvent these problems, however, good films
have not been obtained in this solution (9). In the pH 14 solution, the flat band potential
for n-Si(100) is about -1.1 V(Ag/AgCl) (10-12). The standard equilibrium potential for
the Au/Au(CN)2" redox couple is considerably more negative than for AuCI 4 " at -0.82
V(Ag/AgCI) (13). Therefore, in this case gold deposition is expected to occur by charge
transfer from the conduction band to the solution:
The density of conduction band electrons at the surface is dependent on the band bending,
which can be adjusted by the applied potential. Hence, the deposition rate can be
controlled by means of the applied potential.
Figure 2 shows current - potential curves for n-type silicon (100) in 1 M KOH
solutions with various concentrations of KCN, and with and without 50 mM KAu(CN) 2 .
Curves (a), (b), and (c) correspond to I M, 0.2 M, and 0.008 M KCN in 1 M KOH,
respectively. Curves (d) and (e) correspond to the first and third scan in 50 mM
KAu(CN) 2 + I M KCN + I M KOH. In the absence of KAu(CN)Z, a large cathodic
current due to hydrogen evolution is observed at potentials more negative than about - 1.9
V. The cathodic current plateau at about -1.5 mA cm-2 in the range from -1.85 V to -2 V
is related to high cyanide concentrations and disappears for concentrations lower than 0.1
M KCN. Hence, the presence of cyanide results in a suppression of hydrogen evolution
probably due to adsorption of cyanide. At hydrogen evolution currents smaller than I
mA cm- 2 , the current - potential curves are independent of the cyanide concentration,
which suggests that the silicon band edges do not shift as a function of the cyanide
concentration. In all cases, a significant anodic current is not observed which is due to the
rectifying properties of the n-type silicon / solution interface.
Figure 3 shows current - potential curves (b-f) for 5 solutions with 2 mM KAu(CN) 2
+ 1 M KOH with different concentrations of KCN, hence, with different equilibrium
potentials for the Au(CN) 2 / Au redox couple. The scan rate was 10 mV s-I in all cases.
The KCN concentration was varied from 2 M (curve b), corresponding to a redox
potential of-l.01 V, to 0.02 M (curve e) which corresponds to a redox potential of -0.78
V. Curve a corresponds to the current - potential characteristics in 0.04 M KCN at pH
14. It can be seen that the gold deposition peak shifts to more negative potential with
Current transients
The nucleation and growth mechanisms can be determined using current transient
techniques. Upon applying a potential step from an initial potential where the nucleation
rate is negligible to a fixed overpotential, the formation of stable nuclei and their growth
can be observed directly by monitoring the current. Nucleation of a metal on a foreign
substrate is generally assumed to take place at active sites on the surface, such as steps,
kinks, or other surface defects (14-18). The density of active sites corresponds to the
total number of possible sites for nucleation. Depending of the nature of the site, the
activation energy for nucleation may vary, which can lead to a potential dependence of
the number of utilized active sites, N0 . The density of nuclei as a function of time at a
constant potential, N(t), is usually described in terms of a growth law with a nucleation
rate constant, A:
From equation [3] two limiting cases can be identified. If A is large and At » I at short
times then N(t) = No immediately after the pulse. Conversely, if A is small and At - 1
at short times then N(t) = AN 0 t, and the density of nuclei increases linearly with time.
The first case corresponds to instantaneous nucleation and the second case refers to
progressive nucleation.
where D is the diffusion coefficient, c is the metal ion concentration in the bulk solution,
and Vm is the molar volume. The current initially increases with time due to 3-D
diffusion to an increasing metal surface area, and then decreases as the diffusion zones
around the growing nuclei start to overlap resulting in a 1-D diffusion limited current to a
planar surface.
Figure 6 shows current transients for potential steps from the open circuit potential
to various deposition potentials for the 2 mM KAu(CN) 2 + 0.2 M KCN (pH 14)
solution. At long times, the transients in the range from -1.55 V to -1.35 V all converge
on a decay curve governed by linear diffusion to a planar surface according to the Cottrell
equation. At -1.65 V and -1.60 V, the current after the maximum is significantly higher
which can be ascribed to the co-reduction of water to hydrogen. This interpretation is in
agreement with the current - potential curve shown in Figure 3 (curve (d)) where the onset
of hydrogen evolution on a partly gold-covered surface is observed at about -1.60 V.
The nucleation mechanism can be determined by comparing the results to the
progressive nucleation model by rewriting equation (4] in terms of the maximum current,
imax, and the time at which the maximum current is observed, tnax :
2
i / i.2 ax_= 1.2254 (tmax / t) - exp ( 2.3367(t2/t~a) [5]
For progressive nucleation, the nucleation rate can be determined through Jnuci =
dN(t) / dt = AN 0 , which can be obtained from the maximum in the current transients using
the following relation:
2
AN 0 = 0.2898 (8TcVm)112 (zFc)
a t,tmax
ma [6]
.2
Figure 7 shows log(AN 0 ) versus the potential for KAu(CN)2 concentrations ranging from
0.5 mM to 50 mM. The relationship is linear between -1.4 V and -1.7 V, and essentially
independent of the concentration. The inverse slope in this potential region is -78 mV per
decade. In the potential range positive of -1.40 V, the inverse slope of the curve is
significantly smaller at about -21 mV per decade.
There are various models for the potential (i.e. supersaturation) dependence of the
heterogeneous nucleation rate. According to the small cluster model developed by Walton
(21) and Stoyanov (22), the formation of a cluster can be treated as a sequence of
attachment and detachment steps. In equilibrium, the attachment and detachment rates
are equal, whereas supersaturation leads to an increase in the attachment rate and growth
of the cluster. The result of this theoretical analysis is the following expression for the
nucleation rate, Jnuci (15):
where Ncrit is the number of atoms required to form a critical nucleus, and Jil is the
absolute overpotential; note that the overpotential is negative for bulk metal deposition.
dIil - kTln(l0) [
The value of 0 in equations [7] and [8] results from the attachment probability of one
atom to a cluster, thus converting the cluster into a critical cluster. By plotting log(Jnucl)
versus Irll the value of Ncrit can be determined. Note that in order to apply equation [8],
the overpotential needs to be defined as a function of the applied potential. This is not
straightforward, as the applied potential is partitioned over the semiconductor space
charge layer and the Helmholtz layer; in addition, the partitioning may change upon
deposition of a metal cluster. However, as long as the gold deposit is in equilibrium with
the silicon, i.e. the Fermi energies are aligned, it may be justified to apply equation [8]
using the applied potential instead of the overpotential (9,23). Figure 7 shows that
between -1.4 V and -1.7 V the inverse slope is -78 mV per decade, which corresponds to
Ncrit = 0 assuming that P is between 0.5 and 1. This result suggests that a gold atom
adsorbed on an active site can be considered as a stable cluster (24). This explains the
large potential range of more than 0.3 V where the log(AN,) versus potential curve is
linear, as Ncrt cannot decrease further upon applying more negative potentials. Values
for Nr. on tue order of I atom have been reported for both metal-on-metal deposition
(e.g. , and for metal-on-semiconductor deposition (23,25). In the potential range
positive of -1.40 V, the inverse slope of the curve is significantly smaller at about -21 mV
per decade, which leads to N .t = 2 - 3. As a consequence, the nucleation process
appears to be less favorable which agrees with the deviations observed in the transient
analysis curves.
Figure 8 shows the nucleus density as a function of the applied potential determined
by SEM and AFM. The samples were prepared by deposition of 3 - 5 mC cm- 2 at each
potential. At all potentials, the deposition time was larger than tmax so that the nucleus
density is at the maximum value (see Figure 5). At potentials close to the onset of gold
deposition, the nucleus density increases sharply with increasing negative potential, while
at potentials more negative than about -1.30 V the nucleus density is constant. The
nucleus density for the samples in 2 mM KAu(CN) 2 is slightly lower than for the 50 mM
KAu(CN) 2 solution. The observation that the nucleus density is independent of
potential at negative potentials shows that the potential dependence of the nucleation rate
(Figure 7) is derived from the potential dependence of the nucleation rate constant, A.
The deviation from linearity seen in Figure 7 at potentials more positive than -1.30 V is
due to the decrease in the nucleus density in that potential range. This suggests that the
nucleation rate constant is exponentially dependent on the applied potential with the
same activation energy in the entire potential range.
From analysis of the nucleation and growth mechanism, conditions for the deposition
of adherent, continuous gold films can be determined. First, a nucleus density on the
CONCLUSIONS
ACKNOWLEDGEMENTS
The authors acknowledge support from the National Science Foundation under Grant
No. CTS-9732782. The authors thank D. van Heerden for the help with TEM.
REFERENCES
W(E)W(E) o
...............................
e -
E. ECB V d
0 0-
0.5 0.5
I vB -- u- -8 11U'
t -2 15 -I
pH 14 pH 1 U (V vs. Ag/AgCI)
Figure 1: Energy band diagram for n-Si Figure 2: Current-potential curves for n-
(100) in contact with aqueous solutions at Si(100) in aqueous solutions at pH 14 with:
pH 14 and 1, with the redox couple Au/ (a) I M KCN, (b) 0.2 M KCN, and (c) 0.008
Au(CN)2 (the Au(CN)2 levels are shown) M KCN. Curves (d) and (e) refer to the first
and Au/AuCl4-. Deposition from Au(CN)2 " and third sweeps in 50 mM KAu(CN) 2
can occur by electron transfer from the solution with I M KCN at pH 14. The scan
conduction band, while AuCl 4 can inject rate was 10 mV s-1 in all cases.
holes into the valence band.
.... 1- ...........
0.2 .
"J"> --1.2•
-0.4 , c e -1.4
4
-15 -0 1o- to- 3 1o-
2
t0o- t 10
U (V vs Ag/AgCt) [Au(CN)"] / [CN-]2
Figure 3: Current - potential curves (first Figure 4: The equilibrium potential (in),
scan) for n-Si(100) in 2 mM KAu(CN) 2 the OCP before the first scan (0), the peak
solution at pH 14 with: (b) 2 M KCN, (c) potential in the first scan (A), and the OCP
0.6 M KCN, (d) 0.2 M KCN, (e) 0.06 M after the first scan () for n-Si in the 2 mM
KCN, and (f) 0.02 M KCN. Curve (a) shows KAu(CN) 2 solutions at pH 14 at the same
the curve for silicon in 0.04 M KCN (pH KCN concentrations as in Figure 3 versus
14). The scan rate was 10 mV s-1. the concentration ratio.
5-e -
.'d
109 - 0E.5/
0 1 t/t 2 3
0. , max
108 ,10,
0.1 1 10 0.1 0.2 0.3 0.4 0.5
t (s) t (s)
I 101
i0I I
10- , 0
3 10-
00 010
5 108
100
oo
01 I I , I , i I
ABSTRACT
BACKGROUND
This paper studies the effects of the addition of ethylene diamine to the Au-Sn plating
solution reported in ref.[7]. The changes in the composition and microstructure of the
Au-Sn solder coating produced by pulsed current electrodeposition are noted. The
electroplating solution developed for the co-deposition of Au and Sn is slightly acidic so
that it can be used in conjunction with alkaline-developable photoresists.
EXPERIMENTAL METHOD
The test samples for the experiments were cleaved from InP wafers containing a
blanket 25 nm Ti/250 nm Au metallization. The initial solutions used for this experiment
contained the following: 200 g/l ammonium citrate, 5 g/l KAuCI4, 5 g/l SnCI 2-2H 20, 60
g/l sodium sulfite, 15 g/l L-ascorbic acid, and between 0 and 0.11 M ethylene diamine.
Firstly, a set of cathodic polarization tests was performed on the solution and test wafers,
varying the ethylene diamine content. The tests were carried out using a platinum anode,
and using a saturated calomel electrode as a reference. The voltage was varied from 0 V
to -1.2 V at a rate of 0.5 mV/s. Subsequent to this, plating trials lasting between 90 and
180 minutes were performed using an inert Pt anode. During electroplating, the current
was pulsed using a square wave with an on time of 2 mis and an off time of 8 ms, and a2
number of tests were carried out varying the current density between 1.2 and 3.6 mA/cm
for each solution. A second set of plating trials was made keeping the ethylene diamine
concentration constant at 0.01-0.02M, and varying the SnCl 2 -2H 2 0 content in the
solution between 2 and 5 g/l.
The composition of the deposited solder was measured by energy dispersive x-ray
measurements using standards in a scanning electron microscope. For each deposit, four
square regions measuring 1.5 mm per side were sampled. A scanning electron
microscope with a field emission source was employed for the micrographs of the
samples. X-ray diffraction measurements were also made on selected samples, in order
to determine the structure and orientation of the deposits.
The cathodic polarization data is plotted in Figure 1. The initial, gently sloping part of
each curve corresponds to the potentials at which mostly Au is plated, while the rise in
the curve between 1.0 and 2.4 mA/cm 2 is related to the inclusion of Sn in the deposit .
With a further increase in negative potential, the curves stay relatively flat until about -1
V, where they begin to rise sharply as hydrogen evolution becomes the dominant
reaction. The curves shift to lower potentials and current densities with an increase in
ethylene diamine concentration in the solution. From this data it appears that the addition
of ethylene diamine decreases the range of current densities for which plating will occur.
Using this information, a large number of plating runs were performed using the solution
containing 0.01-0.02M ethylene diamine, since the decrease in current density range was
not as great as for the solutions containing higher concentrations of ethylene diamine.
Figure 1: Cathodic polarization curves for solutions with varying ethylene diamine
concentrations.
-0.014
,f-' -0.012-
-0.010
-0.008
-0.006
The Sn content of the deposits is given in Figure 2. At current densities between 1.0
and 2.2 mA./cm 2 , there is a trend towards an increase in Sn content between about 10 and
50at.%, although there is a large amount of variability in the data. This current range
corresponds to the near vertical rise in the polarization curve in Figure 1 at -.73 V.
Between 2.2 and 3.2 mA/cm 2 , the Sn content remains close to 50%, and falls off at
current densities beyond 3.2 mA/cm 2, as hydrogen evolution and a 'burned' deposit are
observed.
Plating tests conducted at other ethylene diamine concentrations are shown in Figure
3. When no ethylene diamine is present in the solution, the 50at.%Sn plateau is reached
at 2.4 mA/cm 2, while at a concentration of 0.05M-0.06M ethylene diamine, the plateau
begins at 1.4 mA/cm 2. This is consistent with the shift of the plateau of the polarization
curve to lower current densities at higher ethylene diamine concentrations in Figure 1.
60
540- AA A
50
40A A A
A A
~30- A
C 20
Al
10
0 I I I I I I
0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5
2
Average Current Density (mA/cm )
When the ethylene diamine concentration of the solution is 0.1 IM, the highest tested
in this study, the Sn content in the deposits never exceeds 20at.%. and 'burned' deposits
are observed at current densities greater than 1.8 mA/cm 2. This is again consistent with
the polarization curve for this solution, although the correlation between the Sn content in
the deposit and the polarization curve is not clear.
Figure 3: Sn content vs. average current density for varying ethylene diamine content.
60,
040--
~30-
20
UA 10 0
0 I I I I I I
0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5
Figure 4: Sn content vs. average current density for 0.O0M-0.02M ethylene diamine with
varying Sn content in solution.
55
t'5A A.
4545
40
S35-
25 I I I I
A 5g4Au-5g/ISn "--5 g4 Au -4 gI Sn
Figures 5 and 6 show secondary electron SEM images of the electroplated deposits in
cross section and plan view, respectively for samples plated in a solution containing
0.OIM-0.02M ethylene diamine. The deposition rate increases between 1.2 and 3.2
mA/cm2 . Note that the plating time at 1.2 mA/cm 2 is 180 minutes, 90 minutes for the
samples plated at 1.8 and 2.4 mA/cm 2, and 40 minutes for the sample plated at 3.2
mA/cm 2. The grain structure of the deposits also varies with an increase in current
density. The sample plated at 1.2 mA/cm (Figures 5a, 6a) is gold rich and has a smooth
surface containing fine pores about 0.1 gtm in diameter, while the samples plated at 1.8
and 2.4 mA/cm 2 (Figures 5a, 5b, 6a, 6b) exhibit a columnar structure which becomes2
more coarse with an increase in current density. The deposit formed at 3.2 mA/cm
appears to have a mixed structure, the bottom two-thirds having a dense, feathery
appearance, while the top third has a fine columnar structure.
Figure 5: SEM cross section images of samples plated from solutions containing
0.01M-0.02M ethylene diamine.
Figure 6: SEM plan view images of samples plated from solutions containing
0.01M-0.02M ethylene diamine.
Figure 7: SEM cross section images of samples plated at 2.4 mA/cm 2 from solutions
containing varying concentrations of ethylene diamine.
Figure 8: SEM plan view images of samples plated at 2.4 mA/cm 2 from solutions
containing varying concentrations of ethylene diamine.
X-ray diffraction was carried out on selected samples deposited from the solution
containing 0.01M-0.02M ethylene diamine, and the results are given in Table I. The
deposit formed at 1.4 mA/cm 2, which has a low Sn content was found to be mostly
Au 5 Sn, oriented with the (001 ) planes parallel to the wafer surface. The electrodeposits
formed at current densities ranging between 1.6 and 3.6 mA/cm 2 all have AuSn as the
dominant phase, which would be expected since the Sn content of these coatings is close
to 50at.%. The deposits plated between 1.6 and 2.4 mA/cm 2 also have a preferred
orientation, with the AuSn (110) planes parallel to the wafer surface, while preferred
orientation is lost at current densities higher than 2.4 mA/cm 2 . It is believed that the
structure of a deposit depends on the relative rates of formation of crystal nuclei versus
the growth of existing crystals. 10 As current density increases, the rate of nucleation rate
increases, which is consistent with the loss of preferred orientation observed in the x-ray
diffraction results. These results can be related to the observed microstructures, as the
micrographs for the deposits plated at 1.8 and 2.4 mA/cm 2 (Figures 5b, 5c) show a
columnar structure, matching the preferred orientation found in the x-ray data for these
current
oriented,densities. The deposit
which is also reflectedplated
in theatx-ray
3.2 mA/cm2
data. (Figure 5d) is much less columnar or
The addition of between 0.01M and 0.06M ethylene diamine to a chloride salt-based
Au/Sn plating solution affects the microstructure of the electroplated deposits, as an
increase in ethylene diamine concentration leads to a higher deposition rate, and a
resulting coarser grain structure. An ethylene diamine content of 0.11M is detrimental to
Au/Sn alloy plating. Decreasing the Sn content in the solution leads to a lower Sn
content in the resulting electrodeposit. Lastly, the average current density during plating
affects the homogeneity of the structure in the electroplated deposit, with a loss of
preferred orientation as the current density exceeds 2.4 mA/cm 2 .
ACKNOWLEDGMENTS
The authors would like to thank Nortel Networks and the Natural Sciences and
Engineering Council (NSERC) of Canada for funding this project.
REFERENCES
ABSTRACT
One of the methods to mount a flip chip is by solder bumping that utilizes Ni/Au
metallurgy as the under-bump material. Experiments were carried out to determine the
optimum conditions of the aluminum surface for nickel adhesion, through the studies of
surface morphology and transformation during pretreatment. Zincation baths were used
to condition the aluminum surfaces for nickel plating. The effects of the period and the
number of times of the zincation process to the mechanical strength of the electroless
nickel deposits were investigated. From the SEM and AFM characterization, transitions
of zinc grain size and surface roughness were observed. Grains were large with distinct
grain boundaries for immersion time of 5 s but decreased in size and lost their
characteristic shapes as the zincation time increased. A double zincation produced a
more compact deposit with smaller size grains compared to single zincation. Length of
immersion time during the second zincation also affected the physical properties such as
shear strength after 1 h of electroless nickel plating on the 80 [tm x 80 Pjm Al bond-pads
of a commercial bare microchip. By using SEM-EDX and XPS, the elemental
composition transitions of the zinc deposits formed by different zincation time and bath
compositions are also investigated.
INTRODUCTION
Flip chip technology is a simple idea of 'flipping a chip' to connect its device I/Os
downside directly on the printed circuit boards. The apparent advantages are shorter
electron pathways, increased number of I/Os per unit area for increased speed and power,
cost reduction, and increased package density [1].
EXPERIMENTAL
Zinc pretreatment baths are prepared by varying the amount of zinc oxide in a
strong alkaline bath. A commercial zincation bath is also analyzed for the purpose of
comparison. Three different types of substrates are used: CMOS wafer chips with
multiple Al bond pads, sputtered silicon wafers, and silicon wafers coated with e-beam
evaporated Al. Morphologies of the 3 types of substrates vary in terms of grain size and
roughness (Fig. 2). Thickness of the Al films ranges from 5000 A to 1 Pim.
Experiment I
A commercial zincation bath is used. Single zincation time is varied between 0-
30 s, and a second zincation time of 5-30 s. CMOS wafer chip samples are retained after
each designed stage. Process steps like degreasing, soak clean and rinsing is the same for
all experiments. The following outlines the typical zincation process for electroless
nickel plating:
Step 1: Degrease, 5 min at 60'C.
Step 2: Soak clean, 5 min at 60'C.
Step 3: NaOH etch for 15 s at room temperature.
Step 4: 1st zincation at room temperature.
Step 5: Nitric acid etching for 10 s at room temperature.
Step 6: 2 "dzincation at room temperature.
Step 7: Electroless nickel plating at 90'C for 1 h (only for CMOS chips)
Experiment 2:
Solution I (100g/l of ZnO) is used (Table 1). Samples are retained at each
individual step. Run 1 sample is just after NaOH etch. Run 2 is just after nitric acid etch,
but without any zincation. All 3 types of substrates are used. Only CMOS wafer chips
are plated with electroless Ni for 1 h after each run.
Experiment 3:
Solutions 1-5 are used (Table 2). Samples at 30 s single zincation and 30/30 s
double zincation are retained [4]. SEM-EDX is done on single zincation whereas XPS
done only for double zincation. CMOS wafer chip and sputtered Al silicon wafer are
zincated but only CMOS wafer chips are electroless nickel plated for 1 hour.
NaOH etch
Aluminum etching takes place in the NaOH bath. Dissolution rate of aluminum
during NaOH etch can be determined [5]. From the AFM scans, surfaces of the
aluminum are roughened slightly during the 15 s bath time.
First zincation
For all 3 types of substrates, the distinct feature of 1st zincation after a period of 5
to 30 s immersion time is the extreme roughness of the surfaces (Fig. 5). From
SEM/EDX analysis, large grains of zinc form the major bulk of the deposition. Coverage
by these grains is neither homogeneous nor extensive. Aluminum is still detected on the
uncovered areas. However, dissolution of the large grains into smaller and finer grains
proceeds as immersion time lengthens. From 5 s immersion, both AFM and SEM show
large hexagonal shaped deposits of sizes up to 2 jim [6]. As immersion time is increased
to 20 s, coverage of the area increases but the average particle size is decreased to less
than 1 Vim. By the 3 0 th second, the surface is extensively covered by small, singular
pieces of zinc, with gaps of aluminum among the covered areas.
CONCLUSION
SEM and AFM results show that the doubly zincated films are much more
compact than the singly zincated films. XPS shows extensive coverage of a thin layer of
Zn on the doubly zincated Al surfaces. Reduction of grain size takes place when the
zincation time increases while the coverage of the surface increases. The same trends of
the zincation effects are observed on all 3 types of Al substrates and of all 5 types of
zincation solutions as well as the commercial zincation bath solution. Shear force test
results show good mechanical properties of the deposited electroless Ni bumps with an
average of 140 gf on the 80 pum x 80 prm Al bond pads, with the highest of zincation
solution 1 after a double zincation treatment. In contrast, samples without zincation
treatments show poor adhesion characteristics or no nickel formation at all. Therefore, a
zincation pretreatment of the Al substrates is essential for good electroless nickel bump
formation.
1. Plaschem Co. kindly supplies the soak cleaning, zincation and electroless nickel
solutions for the experiments.
2. Millice Co. is greatly appreciated for allowing us to use their DAGE series 4000
shear force testing machine.
3. The Department of Physics at the National University of Singapore provides
tremendous support for the use of the AFM, XPS and sputtering equipment.
4. XPS data are obtained with the kind assistance of Dr. Li Kun from the Institute of
Materials Research and Engineering.
5. The e-beam evaporated Al films are deposited by Mr. Walter Lim in the
Microelectronics Laboratory of the Department of Electrical Engineering at the
National University of Singapore.
REFERENCES
Ni
paissivation
SI w ;:fIr
Al bondpad
Si wafor "
Fig. 2. a and b: AFM (- 8000 A) and XPS of CMOS wafer chip; c and d: AFM (- 1 pm)
and XPS of sputtered Al on silicon wafer; e and f: AFM (5000 A) and XPS of e-beam
evaporated Al on silicon wafer.
(b() (d
Fig. 3. AFM scans after (a) NaOH etch and (b) nitric acid etch for CMOS chip.
Vat
a) (~b):=,
Fig. 5. AFM of CMOS wafer chip after single zincation of (a) 5 s, (b) 20 s, and (c) 30 s;
AFM of sputtered Al after single zincation of (d) 5 s and (e) 30 s; AFM of e-beam
evaporated Al after single zincation of (f) 30 s.
(I) (C)
'V6 At
(a) (b)
(c) (d)
12C ---
1C
Zn
so
600
Zn
40i
I II
1200 S 1000
li ,i 600 600 400 71 i0
200
Binding Energy (WY)
Fig. 9. SEM of electroless nickel plated Al bond pads on CMOS chips of (a) Run 1, (b)
Run 2, (c) Run 3, and (d) Run 9.
(c)
S180 30/30s
C.)
c 30/15
140
0)
gge Double
2 Zincation .Zincatdon
100
3 6 9
Run Number
Fig. 11. SEM of electroless Ni bumps on Al bond pads formed from (a) zincation
solution 2 and (b) zincation solution 5 by double zincation treatments.
(a)3oSoV
Single zincation
160.00
S( 140.00
120.00
50 100 150
ZnO concentration (g/l)
(a)
Double zincation
160.00
140.00
120.00
50 100 150
ZnO concentration (g/l)
(b)
1. INTRODUCTION
where Me/Si is the atomic ratio of metal and Si, IMe/ISi is the measured ratio of
intensity of Me and Si, o Me and aSi are the sections of photoionization of
corresponding levels for metal and silicon; XMe and XSi are the depths of free leakage
of photoelectrons with the given kinetic energies; in the first approximation X was
supposed to be proportional to E 1 /2 kin (1).
When the glass had not been sensitized in advance but only activated, the
number of the adsorbed palladium ions is several times less under the same conditions
(1-4,25).
ACKNOWLEDGEMENTS
ABSTRACT
INTRODUCTION
The notch and foot formed during dual polysilicon gate etch need to be
eliminated, since these Influence the effective gate channel length. The notch in the
undoped polysilicon (p-type) forms due to etch rate differences resulting from
polysilicon doping effects(l). The foot In the n-type polysilicon (n-type) forms as a
result of polymer formation on the polysilicon sidewall. In order to eliminate the
aforementioned issues, a new dual polysilicon gate etch process is proposed in this
paper. Excellent gate etch profiles have also been successfully demonstrated.
EXPERIMENTAL
P-type(100) Si wafers with a resistivity of 8-10 "cm were used. The isolation
regions were defined by the shallow trench isolation (STI) technique. A gate oxide
film of 5.4nm was grown, and then the polysilicon gate electrode was deposited upto
250nm. An n-type region was formed in the polysilicon by implanting P+ ions at
40KeV with 1.5E15 Ions/cm 2. This was followed by a 20min anneal at 900°C in a N2
Fig.1-a & 1-b show the notch and the foot in the polysilicon gate profiles,
etched with the conventional etch process. In this process, at the end of the main
etch (M.E) step, the oxide Is exposed in the n-type region but some polysilicon
remains in the p-type region. During the first few seconds of the over etch (O.E)
step, the n-type gets a uniform layer of polymer added on top of the polymer from
the M.E. In the p-type, however, only the portion of the polysilicon exposed during
the M.E step gets a similar added polymer layer. The unexposed portion gets a
much thinner layer of polymer, resulting from the O.E step only. At the end of the
first few seconds into the O.E step, the oxide under the p-type polysilicon is also
exposed. From this point on, for the remaining time in the O.E step, the ions are
reflected off the oxide surface which attack the thin polymer at the foot of the p-
type, thus eventually creating a notch. The n-type, however, is well protected from
the reflected ions, by the added layers of polymer from the M.E and O.E
chemistries. This results In the formation of a foot In the n-type region after gate
etch.
To eliminate the notch in the p-type, we considered, a) increasing the etch time
in the breakthrough (B.T) step, b) delaying the end point (EP) in the M.E step
and/or c) Improving the ion directionality during the O.E step. The third case
brings with it the risk of leaving polysilicon stringers in regions sensitive to
shadowing from the etch species. To eliminate the foot in the n-type polysilicon, we
considered reducing the amount of polymer in the M.E step by increasing the
chlorine partial pressure. This, however, also reduces the polysilicon to oxide
selectivity(2). Hence, it was decided that the optimum process to achieve a notch-
and foot-free profile Is a combination of increasing the etch time In the SF6 based
B.T step and delaying the EP in the M.E step.
Since doping affects the etch rate of polysilicon, we investigated the etch rate
characteristics of each gas used in the etch process (Table 1). We discovered that,
the polysilicon etch rate with SF6 was Independent of doping effects. To minimize
the isotropic etch characteristics of SF6 in the B.T step(3), we used HBr, which Is a
well known polymer forming gas, with a SF6:HBr ratio of 1:0.75. With this new
process, vertical profiles were obtained after the B.T step, in both types of
polysilicon. At the end of the B.T step, the remaining polysilicon thickness in the n-
type was comparable to the p-type and was less than the amount of polysilicon after
the B.T step in the conventional process (Fig. 2-a & 2-b). Also, the later the EP in
the M.E step, the thinner was the remaining polysilicon in the p-type, thus
CONCLUSION
ACKNOWLEDGEMENTS
The authors would like to thank Mr. Vidyasagar Jayaraman (Kilby Center,
Texas Instruments, Inc.) for his many useful discussions.
REFERENCES
(a) Notch-free in the p-type polysilicon (b) Foot-free in the n-type polysilicon
INTRODUCTION
The quality of the Si/SiO, interface is crucial in MOS devices. The interracial
structure or the flatness on the atomic scale becomes very important as the demands for
very thin oxide increases. The Si/SiO 2 interfacial structure has been studied by TEM,
and by AFM/STM for the surfaces after the oxide layer is removed by chemical etching.
Here, we report a novel electrochemical method for the evaluation of the interracial
structure, which can be applicable to a wide range of the thickness of the oxide layers.
The unique properties of Si/SiO 2 electrodes in HF solution have been known in
the field of electrochemistry [1-3]. Namely, an anodic peak current appears just when
the Si/SiO2 interface is exposed to the solution. Following the anodic current peak, the
Si surface is hydrogen-terminated. The aim of our present study is to correlate the
anodic current peak to the structure of the Si/SiO 2 interface.
The n-type Si(l 1I) wafers with resistivity of 10 .Qcm were cut into 10 x 10
2
mm pieces, and oxidized under several conditions to make samples with different
Si/SiO2 structures. The anodic current flowing at the Si/solution interface was
measured using a Pt counter electrode and an Ag/AgCI reference electrode. The
potential of the Si working electrode was adjusted to +0.5V vs. the Ag/AgCI electrode.
For some measurements, we used Si(100) wafers.
Figure 1 shows the typical anodic current profile observed when a Si( Il )/SiO2
electrode is immersed in a HF solution. The oxide layer dissolves into the solution in
the time period before the anodic current starts. This time period is almost
proportional to the thickness of the oxide layer. When the Si surface layer existing
under the oxide layer is exposed to the solution, the restructure of the surface takes
place. During the period, some Si atoms are dissolved into the solution as SiF62 ,
releasing electrons. This process causes the anodic current. After the restructuring of
the surface, the surface is terminated with Si-HI bonds [3]. The whole process is
schematically shown in Fig. 2. In the solutions with relatively high ItF concentrations,
the interfacial surface forming Si-O bonds is converted to Si-F bonds, as the result of
the replacement of OH with F. The surface is, then, converted to the hydrogen-
terminated one through the cleavage of the back Si-Si bonds.
We define the amount of charge passed during the anodic peak current as QP,
which we consider to have useful information about the Si/SiO2 interfacial structure.
We started with the studies on the dependence of Q, on the HF concentration and on
the properties of the oxides.
Figure 3 shows the dependence of Qp, on the HF concentration for the
Si(OtI )/Si0 2(1 7 nm) electrodes. The QP is almost constant at concentrations above
1.5%, but becomes larger at lower concentrations. At low HF concentrations, the Si-
OH may not fully converted to Si-F. This can lead to a different process for the
surface is too crowded to form stable surface. To avoid this hindrance, the dissolution
of the lower level layer follows to form the stable surface. This process produces the
anodic current, which was observed experimentally. However, we have not made the
correlation between the QP and the model, because the structure of the stabilized
surface is still controversial.
ACKNOWLEGDEMENTS
We thank to Dr. Watanane of Fujitsu Research Co. for allowing us to use the
oxidation furnace at his laboratory. This study was supported by Grants-in-Aid for
Scientific Research from Japanese Ministry of Education, Science, Sports, and Culture
(No. 09875211 and No. 10131245).
60
+0.5V vs Ag / AgCI
0 1% HF
5 O
<Oxide 3nm
• 40
* 30
a)
2 20
C
= 10
100
"
0 10 20 30 40 50
Time / s
Figure 1. Anodic peak current observed by immersing an n-Si(1 I 1)/SiO 2(3 nm) electrode
in 1% HF solution at 0.5 V vs. Ag/AgCI.
Si Si Si
25
E 20
•b15
to
0 1 2 3 4
HF concentration (%)
0: Si atom
0 atom
0: H atom
Si F62
- Y- - -
4.0
0 20 40 60 80
Si0 2 thickness / nm
Figure 5. Q., values obtained for the Si(11 l)/SiO 2 electrodes with as a function of the SiO2
thickness.
5.4
5.3
. 5.2
E 5.1
-
g 4.9
4.6474"82" Wet 02
20nm
4.5
0 50 100 150
annealing time! min
Figure 6. Effect of annealing at 900 on the QP for the Si(1 I 1)/SiO 2(20 nm) prepared by wet-
oxidation.
INTRODUCTION
It is well known that the Si(l 11) surface can be atomically flattened and hydrogen
terminated by the treatment with NH 4 F solution [1]. It is also reported that the surface
can be flattened in alkaline solution when an n-Si wafer is polarized cathodically[2]. We
have studied the electrochemical properties of n-Si in fluoride-containing solutions [3, 4],
and found that dissolved oxygen has a strong influence on the properties [5]. We also
found that sulfite ions are very efficient deoxygenator for the NH 4F solution and affect
the electrochemical properties of Si electrodes [5] and also the flattening process in the
solution [51.
EXPERIMENTAL
Samples were firstly cleaned by the RCA method, followed by the removal of the oxide by HF
treatment. Then, sampleas were immersed in 40% NH4 F or NH4OH solutions. Dissolved oxygen was
purged by bubbling high-purity nitrogen-gas into the slutions or by addition of sulfite ion into solutions.
The concentration of sulfite is 0.05 mol/l. The surfaces of the samples were imaged with a tapping mode
AFM (Digital Instruments, Nanoscope I11a). Amounts of H2 evolved from solutions as the result of the
dissolution of Si was quantitatively determined using a gas chromatograph (Shimadzu, GC-14B).
When the Si( 111) surface with a misorientation in the [112] direction was treated
with 40% NH 4F with and without oxygen, straight and parallel steps were formed on the
surface[5]. These steps are assigned to the monohydride steps. Monohydride silicon is
considered to be more stable than dihydrode and trihydride silicon on the surface. As the
ACKNOWLEGDEMENTS
(b)
Fig. 1 AFM images of p-type Si(l 11) slightly misoriented in the direction of [-1-12]
treated with 40% NH4F, with oxygen (a), without oxygen (b) where oxygen was
removed by sulfite ions. Scan areas areS00 x 500 nm2 .
(c)
Fig. 2 AFM images of n-type Si(l l1) slightly misoriented in the direction of [1121
treated with 40% NH 4F, with oxygen (a), without oxygen by bubbling high-purity
nitrogen gas (b), without oxygen by addition of sulfite ions (c). Scan areas are 1000 x
1000 nm2.
"4j 70
4 -.................
........ ................... 60
i!!i
.=................... .t.............. i . .. : ]. Without oxygen
-- 3 0 50i .................. ............. ..
I.............. Il Wi t Ox g
...
i ................... ............... _
40 . .......... .... :,-"E,...........•-t:-'
..
.... S/
....... ............................ .................... ....... iI WthOxygen
.........
.........
.................
Fig. 3 Anodic current of n-Si(I11) in 40% NH 4F solutions with and without oxygen.
Institute Lavoisier (IREM) UMR CNRS CO1 73, Universitj de Versailles St-Quentin-en-
Yvelines, 45 Avenue des Etats-Unis,F-78035 Versailles, France
ABSTRACT
INTRODUCTION
SURFACE CHEMISTRY
Cyclic voltammograms were recorded for p-CdO.q 5Zno. 05Te in 0.5 M H2SO4. Potential
cycling was interrupted repeatedly for surface analysis of the electrode by XPS. The transfers
to ultrahigh vacuum did not appear to have an effect on electrochemical behavior. A
voltammogram is shown in Fig. 1 and the corresponding XPS spectra in Fig. 2. The Cd5/2
signals are not shown, since for all the experiments, the only cadmium atoms detected were
those present inside the p-CdO. 9 5ZnO.05Te crystal. In contrast, the shape of the Te5 /2 signal did
change, due to variations in surface coverage by elementary tellurium (Te'). Initially (a), a
polished p-CdO. 95Zno.05Te surface (treated with Br 2/CH 3OH, followed by 0. 1 M KOH) is only
Te 3dS1
2
3.0- ii)
' 2.0-
'.
1.0- al Z'. b
b
Q 0.0-
-1.0- Aa
-2.0-
-1.0 -0.5 00 0,5 10 574 573 572
ElVvsIVISE Binding Energy/eV
POROUS MORPHOLOGY
The apparent discrepancy between coulometry and XPS analysis is explained by the
porous morphology of the anodized material, with a uniform layer of Te' at the surface of the
pore walls. A cross section of anodized p-Cdo.95Zno.05Te is examined in Fig. 3. The porous
layer is obtained below the initial surface, which remains largely unaffected (constant z-
position, Fig. 3a). Porous features are observed on the scale of several microns and on the
submicron scale (Fig. 3b). Coulometry detects all Te° on the porous surface, in electrical
contact with the non-porous substrate. However, XPS analysis probes only the first 10 nm of
the sample, the retrieval depth of electrons. This is illustrated schematically in Fig. 4.
probe depth
4 - N
A Te' phase is known to accumulate at the CdTe surface during anodic etching [5]. It was
observed during photoanodic etching of n-type CdTe, which does not become porous [5].
Etching can thus clearly stop at Te', indicating that step (2) is slower than step (1). It
therefore seems plausible that the etching rate of p-Cd 0 .95ZnO.0 5 Te could locally decrease as
the local thickness of the Te' layer increases, thus passivating the surface of the pore walls.
An alternative explanation was proposed by Wehrspohn et al.[6] for the porous
etching of p-type materials under conditions where the resistivity of the semiconductor
material exceeds that of the electrolyte solution; under those conditions, an instability in the
spatial distribution of the electric field can lead to macroporous etching. Conditions for that
mechanism are fulfilled in our case, as the p-Cdo. 95Zn0 .05 Te has a resistivity of 2000 0) cm,
much higher than that of the electrolyte solution, 5 0 cm for 0.5 M H2 SO 4 [7].
ACKNOWLEDGEMENT
We thank A. Million (LETI/CEA, Grenoble, France) for the p-Cdo 9sZno o5Te.
REFERENCES
ABSTRACT
INTRODUCTION
In Hg0. 7 9 Cd 0 .2 1 Te, the band bending due to the surface potential is of the same
order as the narrow gap energy (2.5 eV at 300 K) itself and may give rise to charge
depletion, accumulation or inversion. Consequently the properties of the passivation
layer/Hg0. 7 9 Cd 0 .2 1 Te interface has an important effect on the CdHgTe detector
performances. The oxidized layer has to be processed at low temperature (<380 'C),
to passivate the surface defects, to be reproducible, to lead to a stable interface, and
to present good mechanical properties (adhesion). By oxydation process the surface
is removed. But the conditions of oxidation may affect the microstructure of the
oxide/Hg 0 .7 9 Cd 0 .21Te interface and the underlayer electronic properties.
EXPERIMENTAL
The investigated Hg 0 . 79 Cd0 .2lTe samples were single crystals grown by the
Travelling Heater Method (THM) technique [1]. The n-type doping level of
Hg0. 79 Cd 0 .2 1 Te was 2.7 1015 cm-3 . They were cut into wafers and the prepared
surface (Hg-Cd face) was orientated following the <111> direction. The crystals
were mechanically polished, then etched by a bromine-ethylene glycol solution. The
oxides were grown at room temperature using a Fe(CN) 63 basic (KOH, pH about
11) aqueous solution under various stirring conditions (rotation).
The XPS analyses performed to determine the chemical composition and the
thickness of the oxidized superficial layer on Hg. 79 Cd 0 .2 1 Te were carried out on a
Leybold Heraus XPS spectrometer with a hemispherical analyser. Photoelectrons
were excited by the MgK• radiation. After the oxidation treatment, the samples were
transferred into the analysis chamber. Sputter profiling of the surface was performed
with Ar+ ions (3kV, 10 mA, 5.10-6 mbar). Due to the different sputtering rates
observed on HgCdTe ternary compounds [2], the atomic sensivity factors used for
the element concentration calibration were empirically obtained from a
Hg0. 79 Cd 0 .2 1 Te reference sample prealably etched by a bromine-ethylene glycol
solution and sputtered ten minutes with the same ion beam parameters with the
assumption that the values were the same in the oxidized layer and in the sample
bulk. These profiling results provide a qualitative understanding of the oxidized layer
stoichiometry. The sputter depth calibration was obtained by measuring the step on
the edge with a TENCOR profilometer.
The electrical properties of the oxide layer/Hg0. 79 Cd0 .21Te interface were
analysed in the dark by C(V) measurements at 1MHz. The MIS structure was
achieved by a gold grid. For comparison, a MIS structure was performed by
deposition of ZnS directly on Hg0 . 79 Cd 0 .2 1 Te.
0 70 50
66 120 100
84 200 180
The results show that for all rotation speeds the etched thickness is higher than the
oxide layer thickness. For speeds higher than 168 rot/min the thickness of the oxide
layer is not homogeneous and it does not stick. The combination of etching and
oxide growth during the same process step allows to perform in the same time
decontamination of the surface and growth of the passivation layer.
XPS results
Figure 1 and 2 show the concentration profiles of tellurium, cadmium,
mercurium and oxygen in the same sample calculated from the XPS spectra of
Te3d5/2, Cd3d5/2, Hg 4 f-/2 and 0 Is levels obtained after oxidation without stirring
(figure 1) and with stirring (150 s, 66 rot/min) (figure 2). The thickness of the
oxidized layer obtained is about 100 A in the first case and 700 A in the second
case. The error on XPS profiles comes mainly from roughness and layers
mixing. It can be observed that the oxidized layer is highly depleted with
mercurium and tellurium, and that it presents a large excess of cadmium. The XPS
Cd3d5/2 spectra corresponding to figure 2, obtained for a 400 A sputter depth,
is reported on figure 3, curve a. It exhibits a peak maximum at 405.7 eV, with a full
width at half maximum (FWHM) of 1.10 eV, while for a 900 A sputter depth,
S0 .2 -.
0
30
0 100 200 Obepth A 400
Figure 1: Depth profiles of the elements in the oxide layer (oxidation without stirring)
O"-7 - Ols
S....... 3d52
..............
Te 3d5/2 (ox)
0.3 - - -- Te 3d 52
Q2 f....H4f7/2
0 ,- - -.. -
.- .. .. . .•-
Figure 2: Depth profiles of the elements in the oxide layer (oxidation with
stirring,66 rot/min)).
3100
2680
2114
600
588 586 564 582 580 578 576 574 572 570 568
,V
Figure 3: XPS analysis of the core level Te3dS/2 in the oxide layer; (a) at the
surface; (b) in the oxide layer; (c) in the bulk.
The fact that the composition of the oxidized layer is mainly governed by
cadmium and oxygen can be explained by the different solubilities of oxidized
cadmium, tellurium and mercurium in basic media. For pH values about 11, the ionic
solubility of Cd 2 + is much lower than the Hg2 + or Te 4 + one. Aspnes et al [5] have
shown that at a pH of 11, a fraction of the oxide formed on HgCdTe in basic media
is highly soluble leading to a porous layer. By stirring the solution during the
oxidation process, the more soluble TeO 2 and HgO are removed from the sample
surface, while the least soluble CdO is forming the most part of the oxidized layer on
the Hg 0 . 79 Cd 0 .21 Te. The oxidation of HgTe in a Fe(CN) 6 3 basic aqueous solution
confirms this mechanism, as no oxide layer growth is observed on pure HgTe,
except the native oxide.
Oxide formed
without stirring 1.2 1012 5.3 1011 1.7 1011
Oxide formed
with stirring 5.1 1011 1.7 1011 2.8 1011
(66 rot/min)
Oxidation
with two different 1 1012 not detectable 4 1010
successive stirring
speeds
By combining the two modes - oxidation with stirring and without stirring - the
interface state density is strongly decreased. The increase of the steady charge
density in the oxide layer should lead to an improvement of the photoconductor
device. The lifetime value of the minority carriers at the interface is about 5 10-8 s,
(bulk value: 10- 7 s). The control of the kinetics of etching of the Hg 0 .79 Cd0 .21Te
surface and deposition of the passivation oxide layer should allow to improve the
electrical properties of the interface and the oxide layer and consequently the
photodetector performances.
References
[1] R. Triboulet, T. Nguyen Duy and A Durand, J. Vac. Sci. Technol. A3 (1985)
95.
[2] U. Solzbach and H. J. Richter, Surface Science, 97 (1980) 191.
[3] C. Debiemme-Chouvy, F. Iranzo Marin, U. Roll, M. Bujor and A.
Etcheberry, Surface Science 352-354 (1996) 495.
[4] F. Iranzo Marin, J. Vigneron, D. Lincot A. Etcheberry and C. Debiemme-
Chouvy, J. Phys. Chem. 99 (1995) 15198.
[5] D. E. Aspnes and H. Arwin, J. Vac. Sci. Technolog. A2(3) (1984) 1309.
Cabral, C. 111
Cachet, H. 263
Carnell, C. 1
Chen, L. 71, 122
Chen, Michelle 25
Chen, William 340
Chiu, Shao-Yu 256
Chowdhury, Rina 103
Chung, D. 1, 111
Contolini, R.J. 83
Cooney III, E. 1
Cote, W. 1
Cunningham, Tim 238
Edelstein, Daniel C. 1
Elbahnasawy, R.F. 242
Ern•, B.H. 379
Etcheberry, A. 231, 379, 385
Keane, J. 309
Kelly, James J. 16
Khoperla, T.N. 352
Kim, Hong-seub 361
Lauffer, J. 185
Landau, Uziel 25
Lee, Charles Y. 61
Lee, Kae-hoon 361
Lee, YI-Fon 96
Leedy, K.D. 201
Lefevre, Frank 385
Lipin, Andrew 25
Liu, Kai Yu 168
Locke, P. 1,111
Long, John G. 149
Lopatin, Sergey 9
Lorans, Dominique 385
Luce, S. 1
Malhotra, S. 111
Malik, Atif 25
Martins, L.F.O. 221
Mason, A. 309
Mathieu, C. 379
Matsumura, M. 366, 373
Maurin, G. 263
McHugh, P.R. 71
Mclnerney 242
Megivern, C. 1
Mertens, P.W. 156
Mizuta, Naomi 366
Moffat, T.P. 41
Morante, J.R. 150
Munford, M.L. 221
Murarka, Shyam P. 212
Papapanaylotou, Demetrius 96
Sanz, F. 160
Sartorelli, M.L. 221
Schwarzacher, W. 221
Searson, Peter C. 149, 318
Seligman, L. 221
Shannon, Curtis 282
Shih, Han-C 256
Shin, Jung-wook 361
Simpson, Cindy R. 103
Spindler, J. 185
Stickney, John L. 272
Strubbe, K. 156
Sun, J.J. 201
Sutter, E.M.M. 231
Uzoh, C. 111
Varadarajan, Desikan 61
Via, G.D. 201
Vigneron, J. 231,379
Wachnik, R. 1, 111
Wade, Travis L. 272
Zambelli, T. 177
Zanchi, 0. 221
Zhou, C.D. 201
Zhu, Mel 96
Backmetal 185
Backside Metallization 185
Bath Aging 96, 111
Bis-(3-sulfopropyl)-disulfide (SPS) 16
Binding Energy 231
Bromide 41
CdSe 263
CdTe 272
CdZnTe 379
CMP 238, 256
Cobalt 221, 282
Copper 1, 9, 16, 25,
41
52, 61, 71, 83
96, 103, 111,
122, 134, 149
156, 168, 177
185, 194, 201
Faceting 134
Flip-Chip 340
Foot 361
Flux 52
HF 156, 366
HgCdTe 385
Holefiil 1
Hole Injection 156, 160
Leveling 16, 25
Limiting Current 16, 25, 71
Macroprofile 201
Manufacturing 1
Mass Transport 25, 61, 71,
111
Microprofile 201
Modulated Reverse Current 201
Mott - Schottky Plot 221
Passivation 242
PEG 16
Photovoltaic Device 309
Platinum 160
Polysilicon 361
Porosity 379
Power Devices 185
Precursor 309
p-type GaAs 231
p-type Si 156, 160, 373
Pulse 9, 61, 201,
212
329
Pulse Reverse 9, 103, 201
Pyrophosphate 149
Tantalum 185
Tellurium 379
Terminal Effect 25,83
Thermodynamics 134
Thermoelectric 282
TIN 149, 194
Wafer 1, 25, 83
Zincation 340
ZnS 294
200 mm 83
300 mm 83
I~lll~lliiiilll
Sea
i ELEC•!OCHMICALPF•On:ESiI$a2,s
i-5a~ir7 ~iSimpson
::ii P~mIA~fS,
l]
rF'L,._
CnM-ENG !i•;