Sie sind auf Seite 1von 25

Electrónica Digital I Unidad I.

UNIDAD I SISTEMAS NUMERICOS DIGITALES Y CODIGOS BINARIOS

1.1 REPRESENTACIONES NUMÉRICAS

En la ciencia, la tecnología, la administración y, de hecho muchos otros


campos de la actividad humana, constantemente se manejan cantidades. Estas
se miden, monitorean, registran, manipulan aritméticamente, observan o se
utilizan en muchos sistemas físicos. Cuando se manejan diversas cantidades es
importante que podamos representar sus valores con eficiencia y exactitud.
Existen básicamente dos maneras de representar el valor numérico de las
cantidades: la analógica y la digital.

Representaciones analógicas: En la representación analógica, una cantidad se


representa con un voltaje, corriente o movimiento de un indicador o medidor que
es proporcional al valor de esa cantidad. Un ejemplo de esto es el velocímetro de
un automóvil, en el cual la deflexión de la aguja es proporcional a la velocidad a la
que se desplaza el auto. La posición angular angular de la aguja representa el
valor de la velocidad del automóvil y la aguja sigue cualquier cambio que ocurra
conforme el vehículo acelera o frena. Otro ejemplo de una cantidad analógica es
el micrófono de audio. En este dispositivo se genera un voltaje de salida en
proporción con la amplitud de las ondas sonoras que chocan con el micrófono.
Las variaciones en el voltaje de salida siguen las mismas variaciones del sonido
de entrada.

Las cantidades analógicas antes citadas, tienen una característica importante:


Pueden variar gradualmente sobre un intervalo continuo de valores.

Representaciones digitales: En la representación digital las cantidades no se


representan por valores proporcionales, sino por símbolos denominados dígitos.
Para dar un ejemplo, consideremos el reloj digital, el cual da la hora del día en
forma de dígitos decimales que representan horas, minutos y segundos (y algunas
veces a décimas de segundos). Como sabemos, la hora varía en forma continua,
pero la lectura del reloj digital no cambia continuamente, mas bien lo hace por
etapas de uno por segundo o uno por minuto. En otras palabras, esta
representación digital de la hora del día varía en etapas discretas, comparada con
la representación analógica que varía de modo continuo.

En resumen: analógico ≡ continuo


digital ≡ discreto (paso a paso)

Debido a la naturaleza discreta de las representaciones digitales, no existe


ambigüedad cuando se lee el valor de una cantidad digital, mientras que el valor
de una cantidad analógica con frecuencia está abierto a interpretación.

1
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Ejemplos de cantidades analógicas:


 Multímetro regular
 Control de volumen de una radio
 Reloj de mecanismo
 Temperatura

Ejemplos de cantidades digitales:


 Interruptor
 Cartas de la baraja
 Granos de arena de la playa
 Cronómetro

1.2 SISTEMAS DIGITALES Y ANALÓGICOS

Un sistema digital es una combinación de dispositivos diseñado para manipular


cantidades físicas o información que estén representadas en forma digital, es decir
que solo pueden tomar valores discretos. Los sistemas digitales más conocidos
son las computadoras y calculadoras digitales, equipo digital de audio y el sistema
telefónico, el sistema digital más grande del mundo.

Un sistema analógico contiene dispositivos que manipulan cantidades físicas


representadas en forma analógica. En un sistema de este tipo, las cantidades
varían sobre un intervalo continuo de valores. Por ejemplo en un receptor de radio
la amplitud de la señal de salida para una bocina puede tener cualquier valor entre
cero y su límite máximo, equipos de cinta magnética, etc.

Ventajas de las técnicas digitales

1 Son más fáciles de diseñar. Esto se debe a que no es importante


conocer los valores exactos de voltaje y corriente, sino solamente el
rango en que éstos se encuentran (alto o bajo).
2 Facilidad para almacenar la información. Esto se logra mediante
circuitos especiales que pueden capturar información y retenerla el
tiempo que sea necesario.
3 Mayor exactitud y precisión: Los sistemas digitales pueden manejar el
número de dígitos que se necesite, simplemente añadiendo más
circuitos de conmutación.
4 Programación de la operación: El diseño de los circuitos digitales es
bien sencillo y la operación de los mismos se hace mediante un
programa.
5 Se afectan menos por el ruido. Las fluctuaciones de voltaje (ruido) no
son tan críticas ya que no es importante los valores exactos de los
voltajes o corrientes.
6 Se pueden integrar. Se puede integrar cada día más circuitos dentro de
una misma pastilla de circuito integrado.

2
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Sin embargo cuando se emplean técnicas digitales existe una desventaja: El


mundo real es fundamentalmente analógico y las técnicas digitales solo aproximan
una cantidad analógica pero nunca se podrá tener su valor real.

1.3 SISTEMAS DE NUMEROS DIGITALES.

El sistema numérico conocido o natural para el hombre es el sistema decimal. Los


sistemas de números aproximan una cantidad real a una cantidad entendible y útil
al hombre, por ejemplo cuando queremos saber la temperatura, esta nos es útil
con tan solo un dígito de precisión, por ejemplo 38.5 °C y no es necesario saber
exactamente su valor real como 38.49786777777°C.

Por lo tanto cualquier sistema numérico será un sistema digital.

Los sistemas numéricos se caracterizan por su valor posicional, esto quiere decir
que valen según en la posición en que se encuentre el número. Por ejemplo el
número 475

475 = 400 + 70 + 5 = 4 centenas + 7 decenas + 5 unidades

= 4x102 + 7x101 + 5x100 →


Representación por posición
Se multiplica el número por la base del sistema
elevada a la posición en que se encuentra el
número.
La posición del número comienza con el “0”
No es igual a tener 754, son los mismos números que se encuentran en diferentes
posiciones, el 7 ahora representa 7 centenas, el 5, 5 decenas y el 4, 4 unidades.

1.4 REPRESENTACION DE CANTIDADES BINARIAS

SISTEMA NUMERICO BINARIO

El sistema numérico binario consta de 2 símbolos: 0 y 1 por lo que es un sistema


base “2”. A los dígitos en el sistema binario se le llama comúnmente como bit.
(viene de la contracción de binary digit).
Conteo binario:
Número Número
Número decimal Número binario
decimal binario
0 0 11 1011
1 1 12 1100
2 10 13 1101
3 11 14 1110
4 100 15 1111
5 101 16 10000
6 110 17 10001
7 111 18 10010
8 1000 19 10011
9 1001 20 10100
10 1010
3
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

1.5 CONVERSION BINARIO - DECIMAL Y DECIMAL - BINARIO.

Conversión binario – decimal:

Para convertir un número binario a su equivalente decimal se procede a


desarrollar el número en su correspondiente representación por posición, esto es
multiplicar el número por la base del sistema (en este caso 2) elevado a la
posición en que se encuentra el número.

Ejemplo 1: convierta el número binario 110001 a su equivalente decimal.

5 43 21 0 → esta es la posición de cada número


1 1 0 0 0 1 = 1x25 + 1x24 + 0x23 + 0x22 + 0x21 + 1x20
= 32 + 16 + 0 + 0 + 0 + 1
= 49

Ejemplo 2: convierta el número binario 1001.11 a su equivalente decimal.

3 2 1 0 -1 -2 → esta es la posición de cada número


1 0 0 1. 1 1 = 1x23 + 0x22 + 0x21 + 1x20 + 1x2-1 + 1x2-2
= 8 + 0 + 0 + 1 + 0.5 + 0.25
= 9.75
Ejercicio:
Convierta los siguientes números binarios a su equivalente decimal.
a) 10111
b) 011111.1

Conversión decimal – binario.

Para la conversión de un número decimal a binario se procede a ejecutar múltiples


divisiones entre 2 (la base del sistema a convertir).

Ejemplo 1: Convertir el número 18 a su equivalente binario:

18 2
0 9 2
1 4 2
0 2 2
0 1

El resultado se forma con el cociente de la última división más los respectivos


residuos de las divisiones entre 2, se forma en la dirección que muestra la flecha.
Por lo tanto el resultado será:
10010 en binario

4
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Ejemplo 2: Convierta el número 25.625 a su equivalente binario.


Cuando tenemos un número decimal no entero, se trabaja de la siguiente manera:
- Se separa la parte entera de la parte decimal.
- Se trabaja con la parte entera haciendo divisiones sucesivas entre 2.
- Se trabaja con la parte decimal haciendo multiplicaciones sucesivas por 2.
- El número después del punto decimal formado son los enteros del resultado de
la multiplicación en el orden de arriba hacia abajo.

Solución del ejercicio 2:


Parte entera del número es: 25, parte decimal del número es: 0.625

25 2 0.625 x 2 = 1.25
1 12 2 0.25 x 2 = 0.5
0 6 2 0.5 x 2 = 1.0
0 3 2
1 1

El resultado de la conversión de 25.625 será: 11001.101

Ejercicio:
 Compruebe que el número 10010 = 18.
 Convierta los siguientes números decimales a sus equivalentes binarios.
a) 47
b) 100.45
c) 2008

1.6 SISTEMA DE NUMERACION OCTAL Y HEXADECIMAL

SISTEMA NUMERICO OCTAL

El sistema numérico octal consta de 8 símbolos (0, 1, 2, 3, 4, 5, 6,7), por lo que es


un sistema numérico base 8.

Número Número Número Número


decimal octal decimal octal
0 0 9 11
1 1 10 12
2 2 11 13
3 3 12 14
4 4 13 15
5 5 14 16
6 6 15 17
7 7 16 20
8 10

5
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

SISTEMA NUMERICO HEXADECIMAL

El sistema numérico hexadecimal consta de 16 símbolos como sigue:


0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F por lo que es un sistema base 16.

Número Número Número Número


decimal hexadecimal decimal hexadecimal
0 0 10 A
1 1 11 B
2 2 12 C
3 3 13 D
4 4 14 E
5 5 15 F
6 6 16 10
7 7 17 11
8 8 18 12
9 9 19 13

1.7 CONVERSIONES

Conversión Octal - Decimal

Para convertir un número de cualquier base a su equivalente decimal,


desarrollamos el número por su representación por posición (tomando en cuenta
la base del sistema y la posición en que se encuentra cada número).

Ejemplo 1: Convertir el número octal (127) 8 a su equivalente decimal.


210
(127) 8 = 1x82 + 2x81 + 7x80
= 64 + 16 + 7
= (87)10

Ejemplo 2: Convertir el número octal (14.7)8 a su equivalente decimal.

(14.7)8 = 1x81 + 4x80 + 7x8-1


= 8 + 4 + 0.875
= (12.875)10

Conversión Decimal-Octal

Para convertir un número decimal a su equivalente octal o de cualquier otra base,


se desarrollan múltiples divisiones entre la base del número a que se va a
convertir, esto si el número decimal es entero, si el número decimal no es entero,
se procede a separar la parte entera de la decimal y la parte entera se trabaja
normalmente (por divisiones) y la parte decimal por multiplicaciones igual a lo
explicado anteriormente.
6
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Ejemplo 1: Convierta el número decimal 100 a su equivalente octal.

100 8 El número formado es (144)8 en octal


4 12 8
4 1

Ejemplo 2: Convierta el número decimal 24.5 a su equivalente octal

La parte entera es 24 La parte decimal es 0.5


24 8 0.5 x 8 = 4.0
0 3
El número formado es entonces: (30.4)8 en octal

Ejercicio: Realice las siguientes conversiones:


 (250)10 a octal
 (77)8 a decimal
 (98.25)10 a octal

Conversión Octal - binario

Para la conversión Octal a binario se procede de la siguiente forma:

Se obtiene el equivalente binario a cada dígito octal y el número formado es el


equivalente número binario en formato de tres bits.

Ejemplo 1: Convierta el número (265)8 octal a su equivalente binario.

(265)8 = (010 110 101)2 el 010 es el equivalente binario al 2,


el 110 es el equivalente binario al 6,
el 101 es el equivalente binario al 7.

Ejemplo 2: Convierta el número (20.3)8 a su equivalente binario.

(20.3)8 = (010 000.011)2

Conversión binario - Octal

Para la conversión binario a Octal se procede a hacer grupos de 3 bits (a partir de


la derecha) del número binario y luego se obtiene su equivalente octal.

Ejemplo 1: Convierta el siguiente número binario 111011 a octal.

(111011)2 = (73)8 El primer grupo se forma 011 = 3 en octal


El segundo grupo se forma 111 = 7 en octal.

7
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Ejemplo 2: Convierta el siguiente número binario 01101 a octal

(001101)2 = (15)8 El primer grupo se forma 101 = 5 en octal


El segundo grupo se tienen dos bits, por lo que agregamos
un 0 a la izquierda para tener tres bits. (como se sabe, agregar
un 0 a la izquierda de cualquier número, no altera su valor)
Por lo que el grupo que se forma es 001 = 1 en octal.

Ejercicios: Realice la conversión de los siguientes números:


 (74.5)8 a binario y a decimal
 (110.10)2 a octal
 (1110101) 2 a octal
 (51.77) 8 a binario y a decimal

Conversión hexadecimal - decimal:

Para la conversión de hexadecimal a decimal, se desarrolla el número


hexadecimal por su representación por posición (base 16).

Ejemplo 1: convertir el número (131)16 a su equivalente decimal.

(131)H = 1x162 + 3x161 + 1x160


= 256 + 48 + 1
= 305 en decimal.

Ejemplo 2: convertir el número (2FE)H a su equivalente decimal.

(2FE)H = 2x162 + 15x161 + 14x160


= 512 + 240 + 14
= 766 en decimal

Conversión decimal - hexadecimal:

Para la conversión de decimal a hexadecimal se hacen múltiples divisiones entre


la base del sistema (16) si el número a convertir es entero, si no es entero
entonces separamos la parte entera y procedemos a dividir entre 16, luego
tomamos la parte decimal y multiplicamos por 16.

Ejemplo 1: Convertir el número decimal 203.35 a su equivalente hexadecimal.

Parte entera: 203 Parte decimal 0.35


203 16 0.35 x 16 = 5.6
11 12 0.6 x 16 = 9.6
B C 0.6 x 16 = 9.6

Por lo tanto se forma el número CB.599 en hexadecimal


8
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Conversiones hexadecimal - binario y binario - hexadecimal.

Para la conversión hexadecimal - binario se convierte cada dígito hexadecimal en


su correspondiente equivalente binario en formato de 4 bits.

Ejemplo1: convierta el número 14B a su equivalente binario.

(14B)H = (000101001011)2

Para la conversión binario - hexadecimal se agrupa en grupos de 4 bits el número


binario y se obtiene su equivalente número hexadecimal.

Ejemplo 1: convierta el número binario 111011110 a su equivalente hexadecimal

000111011110 = (1DE) H

Conversión Octal - Hexadecimal

No existe un método directo para convertir de octal a hexadecimal o viceversa, lo


que se recomienda hacer es la conversión octal a binario y luego binario -
hexadecimal. También puede hacerse la conversión a decimal y luego de
decimal a hexadecimal. Sin embargo la más sencilla es (octal-binario-
hexadecimal).

A continuación se muestra una tabla conteniendo los sistemas numéricos


estudiados

Sistema Sistema Sistema Sistema


Decimal binario octal hexadecimal
0 0 0 0
1 1 1 1
2 10 2 2
3 11 3 3
4 100 4 4
5 101 5 5
6 110 6 6
7 111 7 7
8 1000 10 8
9 1001 11 9
10 1010 12 A
11 1011 13 B
12 1100 14 C
13 1101 15 D
14 1110 16 E
15 1111 17 F
9
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

OPERACIONES ARITMETICAS CON DIFERENTES SISTEMAS NUMERICOS.

Sistema Binario:

Sumas: Restas: Multiplicación:


0+0=0 0-0=0 0x 0=0
0+1=1 1-0=1 0x 1=0
1+0=1 1-1=0 1x 0=0
1 + 1 =10 0 - 1 = 1 y se presta 1 1x 1=1

Ejemplo 1: Realice las siguientes operaciones aritméticas:


11 1 1 →Se prestan
1001 11011 10111 11.011
+0011 - 01110 x 10 +10.110
1100 01101 00000 110.001
+10111
101110

Podemos comprobar la operación de suma si convertimos los respectivos


números binarios a decimales.
1001 = 9
0011 =+3
1100 = 12

Para la segunda operación hacemos lo mismo


11011 = 27
01110 =-14
01101 = 13

Para la tercera operación:


10111 = 23
10 = x 2
101110 =46

Para la última operación:


11.011 = 3.375
10.110 = 2.750
110.001 = 6.125

Representación de números con signo:

En las computadoras digitales, la información se representa por medio de números


binarios; cuando se trata de datos numéricos, éstos deben ser representados con
su signo (+ ó - ). Una forma de representación es agregar un bit al número
llamado bit de signo. Se ha adoptado la convención que un 0 en el bit de signo
representa al signo positivo y un 1 al signo negativo.
10
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Ejemplo:
bit de signo

0110100 = + 52
magnitud

1110100 = - 52

Complemento a 1 de un número binario:


El complemento a 1 de un número se obtiene cambiando cada 0 por 1 y
viceversa. En otras palabras, se cambia cada bit del número por su complemento.

Ej: 101101

010010

Complemento a 2 de un número binario:


El complemento de un número binario se obtiene tomando el complemento
a 1 y sumándole 1 al bit menos significativo.
Ej: 4510

101101
010010
+ 1
010011
Cuál es el complemento a 2 del número 101100?

Representación de números con signo mediante el complemento a 2.


La otra forma de representar números con signo es utilizando el
complemento a 2 que trabaja como sigue:
 Si el número es positivo, la magnitud está representada por su equivalente
binario verdadero y se agrega un cero antes del bit más significativo.
 Si el número es negativo, la magnitud está representada por su equivalente en
complemento a 2 y se agrega un 1 antes del bit más significativo.

0 1 0 1 1 0 1
+ 45
1 0 1 0 0 1 1
- 45

Ejercicio:
Represente los siguientes números decimales como números binarios con signo
utilizando el sistema complemento a 2. Utilice un formato de 5 bits.
a) +13 b) -2 c) +8 d) -16
Se puede llegar a la conclusión que se niega a un número binario con signo al
someterlo a complemento a 2.
11
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

A continuación se muestra una tabla con las representaciones de números


binarios con signo en complemento a 2, en formato de 8 bits.

Adición en el sistema complemento a 2.


Existen varios casos cuando sumamos números binarios con signo.

Caso 1: Dos números positivos


+ 9 → 0 1001 cosumando
+ 4 → 0 0100sumando
0 1101

12
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

El cosumando y el sumando se forman con el mismo número de bits. Esto debe


tomarse en cuenta siempre en el sistema complemento a 2.
Caso 2: Número positivo y número negativo menor. (Ej + 9 y - 4). Recuerde que
-4 debe estar en su forma complemento a 2.

+ 9 → 0 1001
- 4 → 1 1100
1 0 0101
Observe que el bit de signo también participa en la adición, lo que genera un
acarreo en la última posición de la suma. Este acarreo se descarta.

Caso 3: Número positivo y número negativo mayor. (Ej. -9 y + 4)


- 9 → 1 0111
+4 → 0 0100
1 1011 = -5

Caso 4: Dos números negativos


-9 → 1 0111
-4 → 1 1100
11 0011
↑ bit de signo
El bit de acarreo se descarta

Caso 5: Números iguales y opuestos.


-9 → 1 0111
+9 → 0 1001
10 0000
Se descarta el bit de acarreo.

Sustracción utilizando el complemento a 2.


Cuando se resta un número binario (sustraendo) de otro número binario
(minuendo) el procedimiento es el siguiente:
 Niegue el sustraendo. Esto cambiará el sustraendo a su valor equivalente con
signo contrario.
 -Súmelo al minuendo. El resultado de esta sma va a representar la direrencia
entre el sustraendo y el minuendo.

Ej: Consideremos el caso en que +4 se restará de +9.

01001 (+9) → minuendo


11100 (- 4) → sustraendo
100101 (+5)
El bit de acarreo se descarta.

13
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Desborde aritmético:

En los casos anteriores se consideró ejemplos de sumas o restas de tal


manera que el resultado diera números que caben en formato de 4 bits y en estos
casos el acarreo externo se descarta. Si queremos sumar por ejemplo +9 y +8

01001
01000
10001→ magnitud incorrecta
↑ signo incorrecto

El resultado tiene un bit de signo negativo, lo que es obviamente incorrecto. La


respuesta debe ser +17 que necesita más de 4 bits, por lo tanto sobrepasa la
posición de bit de signo. Esto es llamado desborde, esta condición siempre
produce un resultado incorrecto y se detecta al examinar el bit de signo del
resultado y comparándolo con los bits de signo de los números que se suman. En
las computadoras se utiliza un circuito especial para detectar cualquier condición
de desborde para señalar que la respuesta es errónea.

Operaciones aritméticas en el Sistema Octal:

Suma:
Para realizar la suma en el sistema octal nos vamos a auxiliar de la tabla de
conteo octal. Nos situamos en el número que vamos a sumar y luego nos
desplazamos hacia abajo (avanzamos) de uno en uno la cantidad equivalente al
segundo número a sumar, el número a que lleguemos es el equivalente a la suma.

Ejemplo: Sume los siguientes números octales:


365 + 77

11
365 0
+ 77 1
464 2
3
4
5 Nos posicionamos en el 5 y avanzamos 7 pasos
6 1
7 2
10 3
11 4
12 5
13 6
14 7 llegamos a este punto.
15
16
14
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Eso quiere decir que la suma de 5 + 7 en octal es igual a 14, se pone el 4 y el 1 se


suma a la siguiente cifra. Luego tenemos 6 + 1 = 7 + 7, que haciendo lo mismo,
posicionándonos en el 7 y avanzando 7 espacios llegamos al 16, se pone 6 y el 1
suma a la siguiente cifra; quedando por último la suma de 3 mas el 1 que da un
total de 4, formando asi el resultado: 464 en octal.
También podemos utilizar el método siguiente: Sumamos los números como si
estuvieran en el sistema decimal, 5 + 7 = 12, si el resultado es mayor que 8,
entonces se le resta 8 al resultado y el número que queda se coloca y se suma un
1 a la cifra siguiente o sea que la suma real es 14 en octal.
11
365 5 + 7 = 12, 12 – 8 = 4 (4 y va 1); 6+1= 7 + 7 = 14, 14 – 8 = 6 (6 y va 1)
+77
464

Resta:
Para realizar la resta en el sistema octal también nos auxiliamos de la tabla de
conteo octal. Nos situamos en el número que vamos a restar y luego nos
desplazamos hacia arriba (retrocedemos) de uno en uno la cantidad equivalente al
sustraendo, el número a que lleguemos es el equivalente a la resta.

Ejemplo 1: Efectúe la siguiente operación:

450
- 127
321
Nos posicionamos en 10 ya que 0 - 7 no se puede y le tiene que prestar un 1 a la
siguiente cifra y queda en 10, nos movemos hacia arriba 7 espacios y llegamos al
1. Ponemos el 1 y el 5 quedó en 4 ya que prestó uno al cero. 4 menos 2 es igual
a 2 y nos queda por último 4 menos 1 que da tres, de tal manera que el resultado
es 321 en octal.

Multiplicación:
Para la multiplicación tenemos que ir trabajando en dos sistemas: octal y decimal
a la par. Veamos un ejemplo.

Multiplique los siguientes números octales: 33 x 45

33 Se multiplican los números en decimal y luego se convierten a octal,


x 45 el número resultante en octal se coloca debajo de la operación y
207 así sucesivamente hasta completar la suma en octal para llegar al
+154 resultado.
1747 3 x 5 = 15 decimal, 15 8
7 1 es igual a 17 en octal
por lo que se pone el 7 y el 1 se suma al resultado de la
multiplicación de la siguiente cifra.
3 x 5 = 15 + 1 = 16 decimal = 20 en octal
15
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

4 x 3 = 12 en decimal = 14 en octal
4 x 3 = 12 + 1 = 13 decimal = 15 en octal
Luego se procede a la suma en octal para dar un resultado de:
(1747)8

Operaciones aritméticas en el Sistema Hexadecimal

Suma:
Para realizar la suma en el sistema hexadecimal nos auxiliamos de la tabla de
conteo hexadecimal y el mismo procedimiento que usamos en el sistema octal.

Ejemplo: Resta
1 1
458 F694
+ 1C28 - 07FF
20 80 EE95

Multiplicación

256 6x13 = 78decimal = 4E hex


x 7D 5x13 = 65 + 4 =69decimal = 45 hex
1E5E 2x13 = 26 + 4 = 30 dec = 1E
105A 6x7 = 42 dec = 2A
123FE 5x7 = 35 + 2 = 37 dec = 25 hex
2x7 = 14 + 2 = 16 dec = 10 hex

1.9 CODIGOS BINARIOS: BCD, GRAY Y ASCII

Cuando se representan números, letras o palabras por medio de un grupo


especial de símbolos, se dice que se encuentran codificados, y al grupo de
símbolos se le llama código. Probablemente uno de los más conocidos es el
código Morse, en el cual una serie de puntos y rayas representan las letras del
alfabeto.
Hemos visto que cualquier número decimal se puede representar por medio
de un número binario equivalente. El grupo de ceros y unos contenidos en el
número binario se puede considerar como un código que representa al número
decimal. Cuando un número decimal se representa con su número binario
equivalente, recibe el nombre de codificación binaria natural.

Por lo que al sistema binario en sí se le conoce como código binario natural.

Sin embargo existen algunos códigos que utilizan los números binarios (1,0) para
formar su código propio.

CODIGO BCD (Binary Code Decimal) que significa Decimal codificado en binario.

16
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Este código utiliza 4 bits para representar los números del 0 al 9 en decimal, por lo
que contiene 10 símbolos propios llamados símbolos BCD y cuyo objetivo es
hacer más manejable las cifras al ser humano.

Sistema Decimal Código BCD


0 0000
1 0001
2 0010
3 0011
4 0100
5 0101
6 0110
7 0111
8 1000
9 1001

Para formar por ejemplo el número 12 decimal en BCD, tendríamos que juntar los
códigos para el 1 y para el 2, por lo tanto:

12 decimal = 00010010 en BCD

Para formar el número 109 decimal en BCD tendríamos:


000100001001 en BCD
Como vemos el código BCD es diferente a código binario natural, son iguales en
sus representaciones del 0 al 9 únicamente. Para formar un número de mas de
una cifra en decimal colocamos el equivalente a cada cifra en BCD, muy distinto
de dividir entre dos el número decimal, por lo tanto nos darán resultados
diferentes.

Ejemplo 1: Convierta el número decimal 85 en su equivalente binario y en su


equivalente BCD.
85 decimal = 1010101 en binario
85 decimal = 10000101 en BCD

Suma en BCD:
La suma en BCD se hace de la siguiente manera:
 Cuando tenemos solo una cifra sumamos en binario los números como si
fueran números binarios. Si la suma nos da un código BCD existente,
entonces la suma es válida, pero si la suma nos da un código no válido BCD
(por ej. 1010 o números mayores o bien un número de cinco dígitos), entonces
se debe corregir el resultado sumándole el número equivalente a 6 decimal
(0110). Esta corrección se hace ya que con 4 dígitos binarios se pueden

17
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

formar 16 combinaciones, sin embargo el código BCD utiliza solo 10, por lo que
16 - 10 = 6.

Ej1: 8 1000
+ 1 + 0001
_______ _______
9 1001

Ej2: 7 0111
+ 4 + 0100
_______ _______
11 1011 No válido
+ 0110
_______
0001 0001 Un número BCD de dos cifras
válido

1 1

 Cuando tenemos más de una cifra, sumamos las cifras por separado y
corregimos por separado, sin embargo el acarreo que produce la cifra menos
significativa se suma a la siguiente cifra.

Ej.1: 15 0001 0101


+25 + 0010 0101
40 0011 1010
1 110
0100 0000

Ejercicios: Encuentre el equivalente BCD de los siguientes números y luego


realice la suma de los mismos.
a) 408 + 99
b) 341 + 192

CODIGO GRAY

El código Gray pertenece a una clase de códigos llamada códigos de cambio


mínimo, en los cuales sólo un bit del grupo de código cambia cuando pasa de una
etapa a la siguiente. El código Gray es un código sin valor, es decir, las
posiciones de los bits en los grupos de código no tienen valor específico, debido a
esto el código no se ajusta a las operaciones aritméticas sino que halla aplicación
en dispositivos de entrada y salida y en algunos tipos de convertidores analógico -
digital.

18
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

El código Gray se usa en situaciones en las cuales otros códigos, como el binario,
podrían producir resultados erróneos o ambiguos durante estas transiciones en las
cuales cambia más de un bit de código. Por ejemplo, al aplicar el código binario
0111 y pasar a 1000 se requiere que los 4 bits cambien simultáneamente.
Dependiendo del dispositivo o circuito que genere los bits, puede haber una
diferencia significativa en los tiempos de transición de los diferentes bits. Si esto
es así, la transición antes ejemplificada podría producir uno o más estados
intermedios. Por ejemplo, si el bit más significativo cambia más rápido que el
resto, ocurrirán las siguientes transiciones:

0111

1111 código erróneo

1000
La aparición de 1111 es momentánea pero podría producir una operación errónea
de los elementos que son controlados por los bits. Obviamente, el uso del código
Gray eliminaría ese problema, ya que solo ocurre un cambio de bit por transición.

A continuación se muestra la representación del código Gray de los números


decimales del 0 al 15. Observe el cambio de un solo bit a la vez.

Decimal Código Gray


0 0000
1 0001
2 0011
3 0010
4 0110
5 0111
6 0101
7 0100
8 1100
9 1101
10 1111
11 1110
12 1010
13 1011
14 1001
15 1000

19
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

CODIGO ASCII (American Standard Code for Information Interchange)

El código ASCII es un código alfanumérico ya que reconoce letras, números


además de signos de puntuación, caracteres especiales y algunas funciones
básicas que se utilizan en un teclado de computadora. El código ASCII es el
código más usado en la mayoría de las computadoras, el cual utiliza 7 bits para la
representación del alfabeto, signos de puntuación básicos, signos (+, =, #, $,
%,etc.) y algunas funciones como RETURN(Enter), retroceso, espacio, etc.

El código ASCII se emplea para transferir información alfanumérica entre una


computadora y dispositivos de entrada/salida como terminales o impresoras. Una
computadora también lo emplea para guardar la información que el operador
proporciona mediante el teclado.

Código ASCII

B7 B 6 B 5
B4B3B2B1 000 001 010 011 100 101 110 111

0000 NUL DEL SP 0 @ P ` p


0001 SOH DC1 ! 1 A Q a q
0010 STX DC2 " 2 B R b r
0011 ETX DC3 # 3 C S c s
0100 EOT DC4 $ 4 D T d t
0101 ENQ NAK % 5 E U e u
0110 ACK SYN & 6 F V f v
0111 BEL ETB ' 7 G W g w
1000 BS CAN ( 8 H X h x
1001 HT EM ) 9 I Y i y
1010 LF SUB * : J Z j z
1011 VT ESC + ; K [ k {
1100 FF FS , < L \ l |
1101 CR GS - = M ] m }
1110 SO RS . > N ^ n ~
1111 SI US / ? O _ o DEL

El código de cada símbolo se forma con los bits en el orden siguiente


B7B6B5 B4B3B2B1B0

Por ejemplo:

Un operador está escribiendo un programa en BASIC en el teclado de cierta


microcomputadora, ésta convierte la pulsación de cada tecla en su código ASCII y
lo guarda en la memoria. Determine los códigos que serán colocados en la
memoria cuando el operador teclee la siguiente instrucción BASIC:
20
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

GOTO 25

G 1000111
O 1001111
T 1010100
O 1001111
0100000 (espacio SP)
2 0110010
5 0110101

Ejercicio: codifique la frase: "BUENA SUERTE"

METODOS DE DETECCION DE ERRORES

Cuando se transmite información de un dispositivo a otro, existe la posibilidad


de que ocurran errores, como sucede cuando el receptor no recibe la
información idéntica a la que envió el transmisor. La causa principal de
cualquier error de transmisión es el ruido eléctrico, el cual consiste en
fluctuaciones espurias de voltaje o corriente que están presentes en todos los
sistemas electrónicos en diferentes grados.

Cuando el transmisor envía una señal al receptor y el medio es ruidoso, esto


puede alterar el nivel lógico de la señal, cuando esto ocurre, el receptor quizá
interprete incorrectamente ese bit como un 1 lógico en vez de un 0. La
mayoría del equipo digital moderno se diseña para que estén relativamente
libres de errores, y la probabilidad de que estos ocurran es muy baja. Es muy
importante que en los sistemas digitales se empleen métodos para la detección
y en algunos casos para la corrección de errores. Uno de los esquemas más
simples y que más se utiliza en el método de paridad.

BIT DE PARIDAD
Un bit de paridad es un bit extra que se agrega a un grupo de código que se
transfiere de una ubicación a otra. El bit de paridad se compone de un 0 o un
1, dependiendo del número de “unos” contenidos en el grupo del código. El bit
de paridad se emplea para detectar cualquier error en un solo bit que ocurre
durante la transmisión de un código de una ubicación a otra.

Hay dos tipos de paridad, la paridad par y la paridad impar.

El método de paridad par consiste en escoger este bit extra o bit de paridad de
tal forma que el número total de 1s deberá ser par incluyendo al bit de paridad.
21
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

Ej:
Si el grupo de bits a enviar es 1000011, entonces a este grupo se le añadirá un
bit extra de tal forma que el número de unos sea par, como el grupo tiene 3
bits, el bit extra tendrá que ser 1 para que quede un total de 4 unos, que es un
número par. El nuevo código será: 11000011.

El método de paridad impar es igual que el método anterior excepto que el bit
de paridad tendrá el valor 1 o 0 de tal forma que el número de unos en el grupo
sea impar.

Ej:
Si el grupo de bits a enviar es 1000011, el bit de paridad impar tendrá que ser
0, ya que en el grupo ya existe un número impar de “1s”. El nuevo código será
01000011.

El receptor detectará si hay un error en la transmisión cuando reciba la


información y verifique si existe un número par o impar de números. Ambos el
receptor y el transmisor tienen que estar utilizando el mismo método de paridad
para poder emplear este método. Por ejemplo, suponga que se está
trabajando con la paridad impar y el código enviado por el transmisor es:
11000001

Cuando el receptor recibe este código, verifica que contenga un número impar
de unos, incluyendo al bit de paridad, si es así, el receptor asumirá que el
código ha sido recibido correctamente. Ahora suponga que debido a algún
ruido el receptor recibe el siguiente código: 1 1 0 0 0 0 0 0.
El receptor detectará que este código tiene un error, ya que no hay un número
impar de “unos”, sin embargo este método no puede identificar cuál es el bit
erróneo. Lo que se hace es que el receptor envía un mensaje al transmisor
para pidiéndole que se retransmita nuevamente el código enviado.

Como habrá notado si existen dos bits erróneos, este método no detectará el
error, ya que esto no cambia la condición de “par” o “impar” en el código
enviado. Este método es utilizado solo cuando la probabilidad de un solo error
es muy baja y la probabilidad de doble error es casi cero.

CODIGO HAMMING

22
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

EJERCICIOS PROPUESTOS DE LA UNIDAD I

1. Convierta los números binarios a decimal:


 1101111
 0100010
 11111.11
 110.101
2. Convierta los números decimales a octal:
 1999
 230
 987
3. Convierta los números octales a hexadecimales:
 777
 6000
 1032
4. Convierta los números hexadecimales a binarios
 F000
 87FF
 2AC5.D
5. Sume los siguientes números:
 (1110.1+0111.1)2
 (4567+5712)8
 (17FF+2477)H
 (45.6+74.3)8
6. Reste los siguientes números:
 (111010-1111)2
 (1000-756)8
 (7F77-17FF)H
7. Efectúe la resta de los siguientes números binarios utilizando el
complemento a 2.
23
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

 11010-10000
 100-110000
 11011-1101
8. Efectúe las siguientes multiplicaciones:
 101.1x110.01
 0.11x0.101
 111x10.1
9. Represente los números decimales en BCD:
 831
 1025
 6354
10. Represente los números sig. decimales en BCD y luego súmelos
 825+630
 917+179
 528+999
11. Escriba su nombre completo en ASCII usando un código de 8 bits donde el
último bit a la izquierda será siempre 0. Incluya un espacio entre los
nombres y un punto al final.

12. Descifre el siguiente código ASCII:


11101011101110110100101011101100101110010011101010101110
11011101101001
13. Convierta el número 295 decimal a su equivalente en:
 Binario
 BCD
 ASCII
14. ¿Qué bit debe complementarse para cambiar una letra ASCII de mayúscula
a minúscula y viceversa?
15. Se especifica que en una computadora tiene 4096 localizaciones de
memoria de 8 bits. ¿Qué intervalo de direcciones hexadecimales utiliza
esta computadora?
16. ¿Cuál es el mayor valor decimal que se puede representar con 7 bits?
¿Con 8 bits? ¿Con 12 bits?
17. Represente cada uno de los siguientes números decimales con signo en el
sistema complemento a 2. Use un total de 8 bits incluyendo el bit de signo.
 +32
 -1
 +128
 -32
 +63
 0
18. Cada uno de los siguientes números representa un número con signo en el
sistema complemento a 2. Determine el valor decimal en cada caso.
 01101

24
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.
Electrónica Digital I Unidad I.

 11101
 100000
 11111111
 10011001
19. ¿Qué intervalos de valores decimales con signo puede representarse con
10 bits? ¿Cuál es el intervalo de valores decimales sin signo que puede
representarse con 10 bits?

25
Esta información es un resumen de los capítulos correspondientes al Texto: Sistemas
Digitales, Tocci.
Elaborado por: Ing. Melania Solís Miranda.
Revisado por: Ing. Carlos Alberto Ortega.

Das könnte Ihnen auch gefallen