Sie sind auf Seite 1von 8

c 

„V QUE ES UNA CPLD Y DIGA EL SIGNIFICADO DE SUS SIGLAS?


2V DIGA TRES VENTAJAS DE LA CPLD
3V QUE ES VHDL Y DIGA EL SIGNIFICADO DE SUS SIGLAS?
4V DESCRIBA LOS CINCO TIPOS DE UNIDADES DE DISENO EN VHDL
5V DETERMINE CUALES SON LAS UNIDADES DE DISENO NECESARIAS PARA REALIZAR UN
PROGRAMA EN VHDL
6 V DESCRIBA EL SIGNIFICADO DE UNA ENTIDAD Y CUAL ES SU PALABRA RESERVADA
7 V DESCRIBA EL SIGNIFICADO DE LA ARQUITECTURA Y CUAL ES SU PALABRA RESERVADA
8 V EN LA SIGUIENTE DECLARACION INDIQUE:

g   IEEE;
 IEEE STD_LOGIC_„„64 gg;
  SELECCION c
 (
X:  STD_LOGIC_VECTOR(O TO 3);
F:
 STD_LOGIC);
 SELECCION;

AV EL NOMBRE DE LA ENTIDAD ______________________________________


BV LOS PUERTOS DE ENTRADA ______________________________________
CV LOS PUERTOS DE SALIDA ______________________________________
DV EL TIPO DE DATO ______________________________________

9 V SENALE CUALES DE LOS SIGUIENTES IDENTIFICADORES SON CORRECTOS O INCORRECTOS,


COLOCANDO DE RESPUESTA LA LETRA ǮP O Ǯ ǯ, RESPESTIVAMENTE

„LOGICO ___________ DESP_LAZA ___________


CON_TROL ___________ N_IVEL ___________
PAGINA ___________ ARCHITECTURE ___________
REGISTRO ___________ S_UMA# ___________
2SUMA ___________ RES__TA ___________

„ V DECLARE LA ENTIDAD DEL CIRCUITO DE LASSIGUIENTES FIGURAS

„„ V DECLARE LA ENTIDAD DE PARA LA COMPUERTA  :


„2 V DECLARE LA ENTIDAD PARA LA SIGUIENTE FIGURA:

„3 V DECLARE LA ENTIDAD PARA LA SIGUIENTE FIGURA,  g P P


:

„4 V DECLARE LA ENTIDAD PARA LA SIGUIENTE FIGURA,  g P P


:

„5 V DESCRIBA QUE ES UNA LIBRERÍA EN ë g


„6 V INDIQUE EL SIGNIFICA DE LA SIGUIENTE EXPRESION
  g
 Pgg;

„7 V DESCRIBA MEDIANTE DECLARACIONES DEL TIPO  g EL FUNCIONAMIENTO DE LA


COMPUERTA
MOSTRADA EN LA FIGURA, CON BASE EN LA TABLA DE VERDAD

„8 V DESCRIBA MEDIANTE DECLARACIONES DEL TIPO u g EL FUNCIONAMIENTO DE LA


COMPUERTA  MOSTRADA EN LA FIGURA, CON BASE EN LA TABLA DE VERDAD

„9 V DESCRIBA MEDIANTE ECUACIONES BOOLEANAS EL CIRCUITO MOSTRADO EN LA SIGUIENTE


FUNCION


Un Pcg (del acrónimoinglésPcg  


 ) es un dispositivo electrónico

Los CPLD extienden el concepto de un PLD (del acrónimo inglés c   


 
) a un mayor
nivel de integración ya que permite implementar sistemas más eficaces, ya que utilizan menor espacio,
mejoran la fiabilidad del diseño, y reducen costos Un CPLD se forma con múltiples bloques lógicos, cada
uno similar a un PLD Los bloques lógicos se comunican entre sí utilizando una matriz programable de
interconexiones, lo cual hace más eficiente el uso del silicio, conduciendo a una mejor eficiencia a menor
costo A continuación se explican brevemente las principales características de la arquitectura de un
c



Reducción del espacio físico

Mas practico en el diseño de circuitos

Mayor fiabilidad

Mayor escala de integración

Uso de menor numero de componentes externos



VHDL es el acrónimo que representa la combinación de VHSIC y HDL, donde VHSIC es el acrónimo de
Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description
Language Dentro del g hay varias formas con las que podemos diseñar el mismo circuito y es tarea
del diseñador elegir la más apropiada

[V  : Describimos la forma en que se comporta el circuito Esta es la forma que más se
parece a los     ya que la descripción es secuencial Estas sentencias
secuenciales se encuentran dentro de los llamados procesos en VHDL Los procesos son
ejecutados en paralelo entre sí, y en paralelo con asignaciones concurrentes de señales y con las
instancias a otros componentes

[V  : describe asignaciones concurrentes (en paralelo) de señales

[V   : se describe el circuito con instancias de componentes Estas instancias forman un
diseño de jerarquía superior, al conectar los puertos de estas instancias con las señales internas
del circuito, o con puertos del circuito de jerarquía superior

[V À: combinación de todas o algunas de las anteriores




Declaración de identidad

Arquitectura

Configuración

Declaración del paquete

Cuerpo del paquete



La Entidad y la Arquitectura son indispensables para la estructuración del programa



Una entidad es el bloque elemental de diseño de VHDL , las entidades son todos los elementos
electrónicos(sumadores , contadores ,compuertas, flop-flops, memorias, etc ) que forman de manera
individual o en conjunto un sistema digital

Una arquitectura se define como la estructura que describe el funcionamiento de una entidad , de tal
fromaque permita el desarrollo de los procedimientos que se llevaran a cabo con el fin de que la entidad
cumpla con las condiciones de funcionamientos deseadas



El nombre de la entidad: Selección

Los puertos de entrada: †  †  †  † 

Los puertos de salida: F

El tipo de dato: STD_Logic

½

LOGICO _____I______

CONTROL ____C_______

PAGINA ____C_______

REGISTRO ____C_______

SUMA ____I_______

DESPLAZA _____C______
NIVEL ______I_____

ARCHITECTURE _____I______

SUMA# _____I______

RESTA ____C_______



ENTITY PUNTO„ IS PORT (A3, B3, A2, B2, A„, B„, A, B: IN BIT;F: OUT BIT);

END PUNTO„;



  AND c


 (A:  STD_LOGIC;B: IN STD_LOGIC;C:
 STD_LOGIC);

 AND;



ENTITY DEMO IS PORT (A: IN STD_LOGIC;B: IN STD_LOGIC;DE_MO: OUT STD_LOGIC);

END DEMO;



  PROMEDIO c


 (A:  STD_LOGIC_VECTOR(2 DOWNTO );

B: IN STD_LOGIC_VECTOR(2 DOWNTO );

C:


STD_LOGIC_VECTOR(2 DOWNTO );

 PROMEDIO;



  CIRCUITO c


 (A:  STD_LOGIC_VECTOR( TO 3);

B: IN STD_LOGIC_VECTOR( TO 3);

C:


STD_LOGIC_VECTOR( TO 3);

 CIRCUITO;


Una biblioteca en VHDL es un lugar en donde se guarda la información relacionada con un diseño
determinado Al comienzo de cada diseño el compilador crea automáticamente una biblioteca llamada
WORK con este objetivo Además de esta biblioteca particular existen otras bibliotecas de tipo general
que contienen un conjunto de definiciones que pueden utilizarse en cualquier diseño Un ejemplo de
biblioteca general es la llamada Library IEEE, que contiene definiciones estándar para VHDL Para utilizar
una biblioteca general es necesario escribir su nombre al inicio del programa, por eso es muy común que
en la primera línea de un diseño en VHDL aparezca escrito "Library IEEE", de ésta forma dicha biblioteca
se hace visible para el diseño



La librería IEEE será usada , el paquete STD_LOGIC_„„64, todos los comandos que en este existe En los
paquetes se guardan definiciones de tipos y objetos que pueden ser utilizados en los diferentes diseños
que invoquen su utilización



LIBRARY IEEE;

USE IEEE STD_LOGIC_„„64 ALL;

  P
À
 

c
  g
 P 

F„: OUT STD_LOGIC);

END COM_OR;

ARCHITECTURE FUNCIONAL OF COM_OR IS

BEGIN

PROCESS (A,B) BEGIN

IF( A=ǯǯ AND B=ǯǯ) THEN

F„=ǯǯ;

ELSE

F„=ǯ„ǯ;

END IF;

END PROCESS;

END FUNCIONAL;


  

LIBRARY IEEE;

USE IEEE STD_LOGIC_„„64 ALL;

  P
À  

c
  g
 P 

F: OUT STD_LOGIC);

END COM_AND;

ARCHITECTURE COMPUERTA OF COM_AND IS

BEGIN

F=ǯ„ǯ WHEN(A=ǯ„ǯ AND B=ǯ„ǯ)ELSE

Ǯǯ;

END COMPUERTA;

½

LIBRARY IEEE;

USE IEEE STD_LOGIC_„„64 ALL;

ENTITY EJEMPLO IS

PORT(A,B,C,D: IN STD_LOGIC;

F: OUT STD_LOGIC);

END EJEMPLO;

ARCHITECTURE COMPUERTAS OF EJEMPLO IS

BEGIN

F=((A OR B) XNOR (C AND D));

END COMPUERTAS;
TALLER DE DIGITALES I

ING ILIANA RUMBO BARROS

GRUPO : 2

UNIVERSIDAD POPULAR DEL CESAR

FAC DE INGENERIAS Y TECNOLOGICAS

VALLEDUPAR Ȃ CESAR

2„„

Das könnte Ihnen auch gefallen