Sie sind auf Seite 1von 27

BRIEF OF APPLIED MATERIAL

Applied Materials, Inc. (Nasdaq: AMAT) is the global leader in Nanomanufacturing Technology solutions with a broad portfolio of innovative equipment, service and software products for the fabrication of semiconductor chips, flat panel displays, solar photovoltaic cells, flexible electronics and energy efficient glass. At Applied Materials, we apply Nanomanufacturing Technology to improve the way people live. The company is headquartered in Santa Clara, California in the Silicon Valley. Founded in 1967 by Michael A. McNeilly and others, Applied Materials went public in 1972. Applied Materials creates and commercializes the nanomanufacturing technology that helps produce virtually every semiconductor chip and flat panel display in the world. Our service products improve yield enhancement and increase nanomanufacturing productivity. Today, our expertise is also being used in solar photovoltaic (PV) panels that turn abundant sunlight into clean electricity.

COMPANY HISTORY
From humble beginnings in 1967 to a global leader today, Applied Materials has been instrumental in developing the technologies that improve the way people live. A growing number of people are embracing the technology that Applied Materials enables. Whether using more powerful and affordable chips for a widening array of applications, viewing media on energy-saving flat panel displays or employing solar technology to provide cost-effective, environmentally-friendly energy, billions of people around the world benefit from these advances. The opportunity to improve the way people live is the powerful motivator that drives the innovative thinking and technical solutions made possible by Applied Materials.

The Founding Years


In 1967, it would still be decades before the term Information Age entered the general vocabulary. The microprocessor had not been invented and the first personal computer was years away. Bill Gates and Steve Jobs were in junior high school. The cell phone was only a concept. And work on ARPANET, the forerunner of todays Internet, had just begun. Few people at the time could have predicted that the technology industry would grow so rapidly or become as large as it is today. And, without Applied Materials it would not have been possible.

2007 1st integrated production line for manufacturing thin film silicon solar modules using 5.7m2 glass panels 2007 1st etch system specifically designed to meet the challenges of high-k/metal gate production 2007 1st system capable of etching 80:1 aspect ratio trenches 2006 1st system to capture critical 30nm immersion lithography defects 2006 1st suite of FPD systems for manufacturing Generation 8.5 (2.2m x 2.6m) substrates 2005 1st laser 3D brightfield inspection tool 2004 1st Ecmp system to provide an extendible solution for 65nm and below copper/low k manufacturing 2004 1st 65nm X Architecture interconnect test chip produced at the Maydan Technology Center 2003 1st in-line production tool to integrate advanced DR-SEM capability with automated FIB cross-sectioning and EDX analysis technology 2003 1st multi-step copper ECP capability for 65nm and beyond copper chips 2002 1st tool to integrate ALD and PVD technologies for forming the critical barrier and seed layers in 65nm-generation and beyond copper interconnects 2002 1st fully-automated 3D CD-SEM for precisely controlling 193nm-wavelength lithography and etch processes 2001 1st HDP-CVD solution to support sub-100nm device manufacturing with advanced gap-fill technology 2000 1st patterned wafer inspection system to detect critical defects in sub-100nm devices at the high speeds required for chipmakers volume production lines 2000 1st in-line production-worthy CD-SEM to provide 3D imaging technology 1999 1st MES software designed specifically for 300mm fabs 1998 1st integrated PVD/CVD system for depositing the critical Ti/TiN liner/barrier films in advanced metal interconnect structures 1998 1st facility for chipmakers to develop and test copper interconnect processes

1998 1st fully-automated DR-SEM designed for in-line operation within advanced production lines 1997 1st system for depositing the critical barrier and seed layers to build smaller, faster copper interconnect structures 1997 1st chamber cleaning process to virtually eliminate PFC emissions from dielectric CVD systems 1997 1st semiconductor equipment manufacturer to have a dedicated 300mm metrology lab 1997 1st semiconductor equipment company to ship more than 5,000 single-wafer, multi-chamber systems 1997 1st semiconductor equipment manufacturer to ship a 300mm production wafer processing system to a customer 1996 1st semiconductor equipment maker to open large-scale technology centers in Korea and Taiwan 1996 1st CVD-based dielectric ARC for the lithography process 1995 1st semiconductor equipment company to ship more than 1,000 single-wafer, multi-chamber dielectric CVD systems 1994 1st Lifetime Achievement Award presented to Dan Maydan, Sass Somekh and David N. K. Wang from SEMI 1993 1st semiconductor equipment manufacturer to exceed $1 billion in annual sales 1993 1st semiconductor equipment maker to have its system added to the permanent collection in the Smithsonian Institution 1992 1st U.S. semiconductor equipment company to form flat panel display equipment venture 1991 1st U.S. semiconductor equipment manufacturer to exceed $250 million in annual revenues to the Japanese market 1991 1st semiconductor equipment maker to introduce "paperless" cleanroom documentation using CD ROM technology for technical manuals 1990 1st semiconductor equipment company to offer 10-9 torr PVD production capability

1990 1st semiconductor equipment manufacturer deposition/etchback for blanket tungsten CVD

to

offer

integrated

1989 1st semiconductor equipment maker to offer 200mm wafer capability on its full line of systems 1987 1st semiconductor equipment company to manufacture complete systems in a cleanroom environment 1987 1st production-worthy integrated system 1986 1st semiconductor equipment manufacturer to offer particulate performance guarantees 1986 1st fully-automated cleanroom compatible epi reactor 1985 1st successfully automated production ion implanter 1985 1st semiconductor equipment maker to manufacture, market and support high technology products in the United States, Europe and Japan 1984 1st U.S. semiconductor equipment company to open its own Japanese Technology Center 1984 1st semiconductor equipment manufacturer to open a Service Center in China 1983 1st non-Japanese company to receive funding from the Japan Development Bank 1981 1st U.S. semiconductor equipment maker to establish a wholly-owned Japanese subsidiary 1981 1st commercially successful reactive ion etch system 1976 1st commercial plasma CVD system 1971 1st commercial epitaxial reactor 1970 1st commercial production system for depositing films used in LED displays 1969 1st radiantly-heated CVD reactor produced to build semiconductors

PRODUCTS AND FEATURES


Its products are categories in four broader groups as 1. 2. 3. 4. Display products. Energy & environment. Fab solutions Semiconductors

1. Display products
y Applied E3 Fault Detection for AKT PECVD

The Applied E3 Fault Detection for AKT PECVD package is specifically designed for the challenging tool integration, monitoring, utilization and scrap issues display manufacturers have today. Applied solves this by providing a fault detection package specifically configured and tuned for AKT PECVD tools that reduces scrap by acting on hard-to-find leaks before running product. Features Better results faster Fastest implementation with preconfigured AKT PECVD tool integration. Higher tool utilization Reduce number of faults by knowing what sensors to monitor from the start. Reduce scrap Triggers immediate action or automatic intervention. Detects issues before they become a problem . y AKT Electron Beam Array Test System for TFT-LCD

The AKT Electron Beam Array Test (EBT) system provides dynamic pixel and TFT characterization and functional tests of flat panel matrix in mass production. With minimal mechanical motion and applied field proven AKT PECVD systems technology,
5

the AKT EBT TFT array tester realizes high-throughput, high-reliability, low scheduled down time and low running cost. The AKT-15K EBT, AKT-25K EBT and AKT-40K EBT features fast large area beam positioning and multi e-beams testing in parallel, yields high-throughput especially with larger size TFT-LCD displays for flat panel television. The AKT-55K EBT system features seven electron beam guns to provide rapid, accurate pixel array testing. The systems non-contact test technology ensures safe testing of high-value LCD TV panels without damaging or scratching the display. AKT has eight major testing platforms from the AKT-1600 EBT for 360mm x 465mm substrates to the AKT-55K EBT for for 2200mm x 2500mm class substrates, which are used to make TFT-LCD displays. y AKT NEW ARISTO Color Filter Sputter System

Designed to apply critical conductive and reflective coatings used in the flat panel display industry (FPD), the NEW ARISTO system has rapidly evolved to become the standard thin film deposition solution for FPD manufacturers. It runs deposition processes for the full range of todays FPD technologies and accommodates glass plate sizes up to Gen 8+. As a fully automated vertical in-line sputtering system, the NEW ARISTO provides the highest throughput in the industry. Automated loading and unloading capabilities, as well as our patented magnetic carrier transport system, permit significantly reduced cycle times. The newly developed MoveMag cathodes round off the NEW ARISTOs profile as an innovative and market proven mass production solution. The modular door concept, which allows for easy system upgrades, provides investment security y AKT PECVD System for a-Si TFT-LCD

As the market leader in flat panel display PECVD technology, AKT has ten major processing platforms from the AKT-1600 PECVD for 360mm x 465mm substrates to the AKT-55K PECVD for 2200mm x 2500mm class substrates, which are used to make TFT-LCD displays for flat panel televisions. AKT's PECVD systems offer processes for multiple applications, including both doped and undoped amorphous silicon (a-Si), silicon oxide, silicon oxynitride (SiON), silicon nitride, and in-situ multi-layer depositions.
6

Systems equipped with Remote Plasma Source Clean technology enable highly repeatable deposition for over one month of full production without wet cleaning. AKT55K PECVD is equipped with its innovative AKT-APXL PECVD process chambers which enable excellent film uniformity and property for large size deposition. y AKT PECVD System for Low Temperature Polysilicon LCD

AKT's Low Temperature Polysilicon PECVD technology for manufacturing bright, highresolution, low-power TFT-LCDs features a multi-step (SiN/SiO2/a-Si) single chamber deposition process that provides the industry's highest quality, hydrogen-controlled polysilicon precursor film at temperatures up to 430C. A separate pre-heat/postdeposition chamber significantly reduces hydrogen in the deposited silicon film while increasing system throughput. The reduced hydrogen content in the polysilicon precursor film enables rapid conversion of the film into polysilicon with an excimer laser anneal process or other complementary activation processes performed in a separate system. Currently 3 platforms are available for low temperature polysilicon according to the substrate size: the AKT-1600 PX PECVD for up to 370mm x 470mm substrates, the AKT-4300 PX PECVD for up to 620mm x 750mm substrates and the AKT-5500 PX PECVD for up to 730mm x 920mm substrates y AKT PiVot PVD System for TFT-LCD Array

The AKT-PiVot 55KV PVD system has a vertical platform for sputtering deposition of metal and pixel ITO materials on glass substrate sizes above Gen. 8 for TFT-LCD manufacturing. This system is deigned to enable customers to further reduce COO (Cost of Ownership) of LCD panel manufacturing. The AKT-PiVot systems module architecture delivers significantly faster cycle time and enables a large variety of configurations to maximize production efficiency. Unlike traditional in-line systems, the AKT-PiVots parallel processing capability eliminates bottlenecks caused by different process times for each film layer. The systems clusterlike arrangement also allows continuous operation during individual module maintenance.
7

Attributes as high throughput, excellent layer properties and better uptime enable customers to produce higher quality panels at lower cost of ownership

2. Energy & environment.


y Applied ATON

The Applied ATON is an innovative PVD system that deposits silane-free silicon nitride anti-reflective/passivation layers on silicon wafer substrates. With superior film uniformity and high throughput, the ATON provides high performance with a low cost of ownership. Its modular design and ability to accommodate various substrate sizes offer exceptional production flexibility. A new rotatable target design extends the target change and cleaning interval from 10 days to 20 days or more, increasing system uptime to over 93%. This new design more than triples target utilization to over 75%, improving lifetime and reducing overall operating cost over planar target technology. Each ATON system can provide over 100 MW output, with world class film uniformity (+/- 2.5%) maintained throughout target lifetime. Refractive Index (RI) and hydrogen content are controlled independently, enabling cell efficiency to be easily managed in day-to-day production. Overall, the ATON technology provides c-Si manufacturers with equivalent cell efficiency compared to CVD, yet with 40% lower COO. y Applied AXL 870

The innovative design of the AXL 870 Compartment Coating System allows manufacturers the ultimate flexibility to configure a large-area coating system to meet their evolving productions needs. The high-performance AXL 870 Compartment system offers unparalleled productivity, product quality and yield. The universal compartments are designed to enable configuration as a AXLuum pumping section for process pumping; a source compartment for sputtering; or a spare compartment for future expansion. This unique coating system is the only system in the industry that offers the option of using turbo pumps, diffusion pumps or a combination of both. Interchangeable deposition sources, shielding, and pump components can fit into any compartment. Our one-piece, remove and maintain philosophy is applied to all critical compartment components for fast maintenance turnarounds. The AXL 870 Compartment Coating System embodies the glass industrys highest performance levels for productivity, product quality and yield.
8

y y y y y

Applied HCT MaxEdge Applied HCT MaxEdge Wire saw for ultra-thin wafering with unique dual wire management Applied HCT Squarer System for slicing silicon ingots into bricks. Applied HCT Cropper System for cropping the tops and tails of silicon ingots Applied HCT Slurry Recovery Unit (SRU) Slurry recovery unit for slurry abrasive and coolant

Applied HCT Precision Wafering Systems

Wafering systems from PWS are known for their high mechanical accuracy and load capacity, as well as high uptime (>97%), minimal maintenance and low consumables cost. Cropper and squarer systems from PWS provide silicon savings of up to 10 metric tons per year with a kerf loss of only one-fifth that of band saws. y Applied Modular Coater Enhanced design integrated with advanced technology make the Modular Coater a highly efficient, low maintenance, reliable system. Used across the manufacturing industry for a variety of production coating applications - from standard solar-control and single low-e to the most advanced double, triple, temperable or bendable low-e coatings - the Modular Coater is a complete inline production system for large-area sputter coating. This low cost, low maintenance diffusion-pump base system provides process chambers in an identical and fully interchangeable three-source bay configuration that offers flexible production source selections: either planar or C-MAG rotatable magnetrons. Specially designed entry/exit chambers help manufacturers meet rapid mechanical cycle-time requirements. Today, our large production systems with six or more process chambers are operating at well below 30 seconds per load. y Applied SmartWeb

The SmartWeb is extremely versatile, available in a range of sizes and able to handle a wide variety of substrates, from metal foils to thin polymer films. Because of its small footprint, 2.5m height and modular architecture, the SmartWeb is well suited for both
9

laboratory environments and large scale production. The SmartWeb is also clean room compatible, simple to operate and easy to maintain, making it the ideal production system to meet the challenges of emerging applications y Applied SunFab Thin Film Line

SunFab is the worlds first and only production line capable of manufacturing 5.7m2 thin film panels. The large size of these panels drives installation efficiencies to significantly reduce system cost. Both single and tandem junction panels produced on the SunFab line are IEC certified; this certification enables SunFab customers to accelerate their own IEC testing process. y Applied Terra-G Coating System

The Terra-G Coating System, widely used for the production of solar-control glass as well as a variety of low-e coatings, is a state-of-the-art large-area coating solution that offers rapid, high-quality coating, high process stability, excellent reproducibility and minimum conditioning times with maximum process flexibility y Applied TOPBEAM

The Applied TOPBEAM is a high-power solution for the application of transparent or metallic coatings on rolled packaging materials. Utilizing an electron beam evaporation technology, this platform deposits microscopically thin, transparent barrier protective layers promoting freshness and extending shelf life in consumer products. TOPBEAM is exceedingly flexible and productive. This unique system design is capable of coating many different materials on a wide variety of plastic film and paper substrates. Coating substrate widths range from half meter to over two meters at the industrys top speed of 17 meters/second. TOPBEAM is equipped with our state-of-theart electron beam scan, ECOSYS control system and special plasma pretreatment to enhance adhesion. y Applied TOPMET

Applied Materials' TOPMET roll-to-roll coating system provides the latest production solutions for the packaging industry. Utilizing a thermal evaporation process, thin layers of aluminum are metallized onto flexible substrates, like plastic or pre-coated paper, for production of protective packaging or decorative materials. TOPMET offers state-of-theart engineering, equipped with advanced high rate evaporation sources, closed-loop automatic layer control, self-diagnostic out of range monitoring, and high performance
10

pumping systems. As the industry leader for innovative production solutions, this system is available in various coating widths ranging from 650 mm to the worlds largest system at 4,500 mm in width, with an astonishing speed of up to 17 meters per second.

3. FAB. SOLUTION
y Applied Xsite

Applied Xsite Computerized Maintenance Management Software (CMMS) automates maintenance management of capital equipment and facilities in the fab to ensure that these assets are in top productive condition and ready to support optimized product flow throughout the enterprise. To minimize impact on production plans, Xsite offers the unique ability to plan and manage maintenance based on real-time equipment inputs received directly from the MES and equipment automation. Remote and mobile access allow technicians to check current work orders and equipment status, to see what parts are required for a maintenance activity and whether parts are available before work begins. In addition, Xsite optimizes spare part management across the enterprise, reducing inventory levels and managing parts replenishment, through real-time integration with enterprise resource planning packages.
y

Applied WorkStream

y y y y y y

Controls and automates manufacturing on several levels, from planning to production control and execution, to management reporting a product Provides full materials control tracking in semiconductor processing and assembly facilities in all phases of operations Controls costs and improves quality Optimizes equipment utilization and efficiency Minimizes cycle time Open architecture is easily scaleable to adapt quickly to current business requirements

y y y y y y y y

Applied Wafer Reclaim Segregated copper and non-copper lines eliminate cross-contamination More uses per wafer due to minimal loss of Si in reclaim process Meets reclaim requirements of 65nm technology node Proprietary carbon-based film removal process Fast turnaround processing MES quality control wafer tracking Single wafer, ultra clean wafer processing

11

y y y y y

Metron Vector Low cost of ownership and high reliability Treatment of up to four process effluent streams Three application-specific models for optimal performance Specialized entries for challenging processes

Total Kit Management

Total Kit Management is a technology-enhanced process kit management service that reduces cost of ownership with zero cycletime and guaranteed kit availability. This service solution includes the cleaning, coating, refurbishment, and logistics support required to manage process chamber kits. Applied completely manages the inventory and associated cost burdens, parts refurbishment and quality issues. This enables improved chamber performance and predictability as well as reduced downtime, thereby increasing tool availability and lowering maintenance costs.
y y

Applied Training Services Applied Sentinel

Applied Sentinel is the market leader in diffusion-based equipment automation offering recipe creation and management as well as rich pre-packaged data collection for rapid deployment. Unmatched in its functionality, Sentinel also offers simplified integration with the existing factory software infrastructure. Integration with Applied E3 further extends Sentinels capabilities and provides a comprehensive solution for equipment automation and APC requirements. Sentinel has a proven track record of over 4000 successful installations worldwide.
y

Applied SmartMove

The Applied SmartMove real-time lot management system provides cost-effective, comprehensive lot automation tracking and location to non-automated 200mm and 300mm fabs. It offers control like an Automated Material Handling System (AMHS) but at a fraction of the cost and with greater flexibility to change fab configuration and automate processes.
y

Applied SPACE

Applied SPACE (SPC Analysis and Control Environment) is an advanced statistical process control (SPC) software application that addresses process variability to maintain high quality and improve product yield in complex manufacturing environments. By continuously monitoring equipment and tool process parameters, SPACE can quickly respond to process excursions by shutting down continued
12

processing and sending email notification to the fab operator or process engineer. SPACE also provides instant drill-down to raw data values enabling rapid analysis.
y

Applied SunFab Abatement

Applied SunFab Abatement is the production tool of record (PTOR) for Applied Materials SunFab thin film manufacturing line, providing customers with an integrated, cost-efficient process and subfab exhaust solution. As a key component of the SunFab line, this system provides over 99% abatement efficiency for SiH4, F2, NF3, and SiF4. Its ability to remove >99% of the hydrogen fluoride produced during the abatement process at the point of use prevents potential corrosion of facilities ductwork and overloading of the house scrubber. In addition, SunFab Abatement features advanced wet scrubber technology that ensures superior particulate management, >52% improvement by weight over burn/trap technology, for extended preventative maintenance cycles.
y

Applied SunFab Automation

Leveraging over 20 years of proven mission-critical performance in leading semiconductor and flat panel fabs, Applied SunFab automation software provides a complete integrated automation solution to shorten ramp time to volume production and ensure optimum fab performance. Real time equipment performance tracking and control enable fast problem resolution and predictability to improve utilization.
y

Applied SunFab Service

Applied SunFab Performance Service is the industrys first and only comprehensive integrated engineering support solution for solar applications that maximizes fab performance and guarantees cost, enabling the fastest path to maximum megawatt (MW) output and lowest cost per watt. Building on extensive equipment service experience, this complete package includes equipment and fab optimization, certified maintenance and repair, complete parts management, and advanced cleaning and kitting services of process critical components. By offering predictable factory support costs with continuous performance improvements, SunFab Performance Service enables fast return on investment while minimizing risks to manufacturers by eliminating start-up infrastructure costs such as building spare parts inventory as well as hiring and training engineering resources.
y

Applied System Enhancements

Applied System Enhancements provide improved performance and additional capabilities through a comprehensive portfolio of hardware and software upgrades for installed base systems. Designed to extend the life of existing tools, these upgrades include performance improvements, technology extensions, chamber conversions, and customer engineering solutions. System Enhancements can provide technical differentiation by improving specific equipment performance areas such as throughput,
13

energy efficiency, defect reduction, and operating costs. Customers can also update current equipment configurations with chamber conversions and system
y

Applied Real-Time Dispatcher

Applied Real-Time Dispatcher (RTD) optimizes cycle time and equipment utilization by enhancing MES capabilities with its real-time, intelligent decision-making process. RTD uniquely allows end users to define their heuristic or rule-based dispatching in a graphical environment. Instead of following fixed work hours, an expert rule can be applied to the current state of the factory to provide a real-time, immediate response for what next for tool and where next lot. With this flexibility, fabs can quickly modify the logic of this automation tool as the dynamics of the business change. In addition, RTD enables fabs to standardize operational policies and ensure consistent production decisions.
y

Applied Remanufactured and Certified Tools

Applied Remanufactured and Certified Tools are completely reconditioned by Applied Materials' expert technicians using genuine spare parts and state-of-the-art testing methods to original specifications. Tools are fully upgraded to meet today's safety standards, include current and licensed system software, and may offer technology not available when the original equipment was sold. Features:
y y y

Thoroughly tested to ensure high quality Same quality and manufacturing methods as new tools Available through multiple Applied Materials' global remanufacturing centers

Applied Performance Spares

Applied Performance Spares are engineered to ensure high tool performance and meet stringent technical specifications. Applied's parts planning and inventory management are backed by major continental distribution centers (CDCs) in Asia, Europe and North America and supported by a vast global network of parts depots. Spares demands are met 24 hours a day, 365 days a year.
y

Metron Precision Cleaning and Coating

Using consistent, controlled cleaning processes, established global infrastructure and advanced coating techniques, Precision Cleaning and Coating delivers optimized parts cleaning services to lower the overall cleaning cost and chamber cost of ownership. Cleaning methods are precisely documented and validated through extensive chamber performance and analytical testing. High quality cleaning standards are maintained
14

through on-going monitoring and stringent control of process, environment and equipment. In addition, the segregation of parts ensures the cleanliness and integrity of cleaning processes. Precision Cleaning and Coating services a wide range of process chamber types, including etch, CVD, ALD, PVD, and EPI/RTP.
y

Applied PROMIS

Applied PROMIS software is an integrated manufacturing execution system solution that combines planning, scheduling, costing, document control, statistical process control, production, and performance management in one comprehensive MES. The latest release provides significantly increased functionality and integration capability using established standards, including SOA interoperability and information sharing between the MES and external applications. PROMIS 5.8 supports operational data store that can be used to monitor and analyze production data to improve fab efficiency and reduce manufacturing costs. In addition, the @PROMIS web GUI provides an easyto-use user interface that enables increased productivity and ensures consistent operational quality in production
y

Applied NeXus

Applied NeXus is a fab-level platform which links hardware, process and service data from many different processing systems in an entire fab. This equipment engineering solution can correlate data across time, systems and fabrication facilities enabling customers to quickly find and correct process or hardware excursions. Applied NeXus is compatible with both Applied Materials and non-Applied Materials semiconductor equipment.
y

Metron Novapure

The Novapure system offers a safe, efficient, and reliable solution for the treatment of hydride and acid-based gases. Utilizing chemisorptive technology, process gases and by-products react with resin to form nonvolatile solids, an irreversible process that traps by-products and ensures safe disposal of the resin. The proprietary resin technology provides high abatement efficiency with extended lifetime of the canister for cost of ownership reduction.
y

Applied Managed Automation Services

Applied Managed Automation Services offers a comprehensive portfolio of system integration services including project management and design and deployment for global semiconductor and flat panel display manufacturing. Applied Materials also provides a series of packaged services offering customers proven methodologies for migration, upgrades, performance tuning, and database optimization to reduce implementation risks. By leveraging Applieds extensive tool and process knowledge in both enterprise and equipment solutions, these solutions enable faster time to first silicon for improved return on investment
15

Metron Marathon

The Marathon series offers advanced, point-of-use, integrated burn-wet abatement systems that provide combustion, decomposition and oxidation treatment of process emissions. These solutions specifically target perfluorocarbons (PFC) emissions from gas effluents in semiconductor fabrication tools and high particulate-loading processes in flat panel display and solar equipment. The advanced reactor chamber and smart abatement technology are designed to be safe and cost-effective in high-volume production.
y

Applied iDurables

Applied iDurables is an off-the-shelf solution that offers automated durable management throughout the enterprise. iDurables minimizes equipment idle time by providing accurate, real-time location updates, re-qualification and inspection management, and real-time state transitions. Event-driven notification allows the user to take timely actions and optimize cycle time. This solution is extensible to an unlimited number of durable materials supported by user configurable attributes, actions, measurements, and state model per durable type. Production-proven at multiple facilities worldwide, iDurables can be deployed as a stand-alone solution or integrated with other applications such as manufacturing execution systems, advanced process control and statistical process control.
y

Metron Guardian

The Guardian series destroys residual process gases through active flame oxidation and combustion for reliable and safe abatement. Effluent gases pass through a wall of flame in the main chamber of the system which provides ignition of flammable and pyrophoric gas by-products. The advanced design of the flame ignition system ensures a stable flame with high inert gas flow. With no moving parts, Guardian systems are highly reliable with MTBF measured in years.
y

Applied FAB300

Designed specifically for 300mm production facilities, the Applied FAB300 manufacturing execution system solution provides superior tool automation and factorywide process automation for improved fab productivity through an embedded, workflowdriven integration framework. This fab management system includes critical tool automation applications such as recipe management and engineering data collection as well as work in process tracking and dispatching. In addition, FAB300 has been designed to support the extension and modification of business practices, allowing rapid, controlled adjustment to respond immediately to changing business conditions.

16

Applied Fab Transition Service

Backed by Applied's extensive experience and established global network, Applied Fab Transition Services enables fast and efficient transition of semiconductor process systems ensuring rapid return to production. Applied and partner teams provide comprehensive service solutions in the areas of project management, tool sourcing, decommissioning, relocation, reconfiguration, installation, start-up, and qualification on Applied and other OEM systems. Fab Transition Services can be easily integrated with other Applied product and services offerings such as system upgrades, automation, service agreements, parts cleaning and abatement for a complete fab expansion or relocation package.
y

Applied FACTORYworks

Applied FACTORYworks is a proven complete turn-key manufacturing execution system designed specifically to meet the needs of highly automated 300mm fabs. This solution provides all core MES functionalities plus out-of-the-box integration to other Applied products, such as Applied Real-Time Dispatcher, Activity Manager, iDurables, Xsite, and SPACE, enabling fast ramp up and reducing cost of ownership over the life of the factory. The FACTORYworks open architecture also offers integration with standard third-party manufacturing applications. Flexible modeling capabilities ensure high system scalability and configurability for future business needs
y

Applied E3 Metron CDO

Metron CDO is an advanced point-of-use thermal-wet treatment system designed to provide a controlled gas conditioning environment for demanding semiconductor process chemistries utilizing a low-cost patented water reagent system. Metron CDO is the industry standard for controlled decomposition-oxidation treatment of flammable, pyrophoric, corrosive, and particulate generating process recipes. Constructed from non-corrosive advanced metal alloys, Metron CDO is designed to safely treat fluorine generated by plasma chamber clean applications without the use of fuel or toxic reagents. Proprietary particulate management technology extends MTBC for high particulate loading processes.
y

Applied Chamber Maintenance Wafer

The Chamber Maintenance Wafer (CMW) effectively reduces downtime associated with tool errors due to particulates deposited on electrostatic and vacuum chucks used on semiconductor processing equipment. The CMW consists of a polymer film deposited on a silicon wafer, and is processed film-side down in situ. Usable multiple times, the polymer film is effective in greatly reducing metallic contamination of the chuck surface and safely removing contaminants from the chuck and handling surfaces. The CMW has been successfully tested on photolithography scanners as well as HDP-CVD, etch, implant, wafer inspection systems, and PVD tools.

17

Metron Chamber Performance Services

Chamber Performance Services provide world-class precision cleaning, technologyenhanced coating and refurbishment services on chamber process kits and components. With an extensive understanding of equipment and process technology, optimized cleaning methods ensure high quality chamber performance. Leading-edge analytical testing capabilities validate cleaning methods while clean parts are certified to conform to performance specifications. With Metron Chamber Performance Services technical expertise and globally located facilities, customers worldwide can extend the life of capital investments, improve yield and reduce costs throughout the lifecycle of critical manufacturing systems
y

Applied CLASS MCS 5

Installed in more facilities than any other competing product, Applied CLASS MCS 5 is a real-time, material control system (MCS) that manages the transporting and storing of work in process in complex manufacturing facilities such as semiconductor and flat panel display to ensure high equipment utilization rates. CLASS MCS 5 features a user interface that works in conjunction with the automated material handling system (AMHS) hardware and host computer to provide centralized material control across the factory. The system also includes a database that allows operators to quickly identify and track material in the system.
y

Applied Activity Manager

Applied Activity Manager provides fab managers with the ability to manage and control resources, equipment, software applications and personnel, for higher utilization and productivity. With its event-based architecture, Activity Manager provides automated responses to factory events capabilities to reduce production delays from workstation events. The application also offers event notification for automated lot/carrier coordination and exception process handling. For easy development and deployment, Activity Manager features an icon-based job development environment with no software programming required. Activity Manager readily integrates with key external systems, including facilities MES, integrated equipment interface (IEI), material control system (MCS), and preventive maintenance systems.
y

Metron Analytical Test Services

Metron Analytical Test Services are employed by customers worldwide to identify sources of contamination in clean manufacturing environments. Metron facilities are outfitted with state of the art analytical equipment to deliver results that allow customers to make informed decisions regarding their manufacturing processes. Analytical test services are available to augment Metron Chamber Performance Services programs and as stand-alone services.

18

Applied AutoMod

Applied AutoMod simulation software provides an environment for building highly accurate modules for analysis and development as well as for control system emulation. Users can easily simulate systems of any size or level of detail, from manual operations to fully automated facilities, with true-to-scale 3-D virtual reality animation to easily analyze complex systems. With its flexible architecture, AutoMods simulation capabilities are used in a wide range of industries, including aerospace, automotive, semiconductor, and transportation. Optional add-ons provide enhanced statistical analysis, presentation graphics and animated movies, modeling of complex movement, and information transfers between models, control systems, and third party applications.
y

Applied AutoSched AP

Applied AutoSched AP (Accelerated Processing) is an advanced planning and simulation application that enables higher work in process throughput and capacity utilization through simulation modeling of complex workflows and what if scenarios. This simulation environment allows users to accurately experiment and test ideas for improving fab operations, such as new scheduling rules, product mixes and start rates, without the expense and impact of implementing the ideas on the real factory. Developed for modeling manufacturing operations where data is available to describe the equipment set, part routing and customer order profiles, AutoSched AP uses a link to the enterprise resource planning (ERP) or MES applications to get up-to-date, accurate information about manufacturing operations.
y

Automation Products

he complexity of production operations requires visibility to real-time information from the production floor to enterprise applications and across each node of the supply chain. Achieve high manufacturing operation performance by optimizing your capital equipment investment. Our software solutions are built on two decades of experience in mission-critical and complex environments. Tracking fab operations in real-time, you are better able to make informed decisions that lead to increased fab productivity. Global integration and support teams accelerate time to first silicon and keep your applications up and running to drive higher levels of throughput and greater return on investment.
y

Applied 200mm Systems

Applied 200mm Systems deliver production-proven technology with a broad portfolio that includes CVD, PVD, etch, implant, RTP, CMP, epi, and process diagnostics and control. New 200mm tools extend the life of mature 200mm fabs by enabling customers to quickly add capacity to meet production requirements. Applied Materials continues to offer highly reliable 200mm tools, along with expert start-up and process support from Applied's world-class global support network.

19

4. SEMICONDUCTOR PRODUCTS There are various products and its features which is given below

y y y y y y y y y y y y y y y y y

Applied Aera2 Applied Centura Advanced Gate Stack Applied Centura AdvantEdge G5 Silicon Etch Applied Centura Carina Etch Applied Centura DPN Gate Stack Applied Centura eMAX Etch Applied Centura Enabler E5 Etch Applied Centura Epi Applied Centura iSprint Tungsten ALD/CVD Applied Centura Mariana Trench Etch Applied Centura POLYgen LPCVD Applied Centura RP Epi Applied Centura Silvia Etch Applied Centura SiNgenPlus LPCVD Applied Centura Ultima HDP-CVD Applied Charger UBM PVD Applied ComPlus 4T Inspection

MARKETING AREA OF OPERATION


To support customers, Applied Materials employs approximately 12,600 people throughout Canada, China; Europe and Israel; India; Malaysia and Singapore; Japan; Korea; Taiwan; and the United States. 1. Applied Materials in Europe y Three Business Centers - Dresden, Germany - Grenoble, France - Hoofddorp, The Netherlands European System Refurbishment Center in Crolles, France y Five Research, Development and Manufacturing Centers - Alzenau, Germany - Cheseaux, Switzerland - Heimstetten, Germany - Treviso, Italy
20

2. Applied Materials in India


Applied Materials mission in India is to grow and sustain Product and Software Development, Information Technology, and Business Process Solutions capability that delivers high-quality, cost-effective solutions for all business groups in Applied Materials. As one of the world's fastest growing emerging economies, India has the potential to be a strong consumer of semiconductor chip related products and a center for semiconductor chip manufacturing (fabs). Applied Materials is ready to provide the necessary infrastructure and equipment to support these new fabs when they are created. 3. Applied Materials in Israel Headquartered in Israel, Applied Materials Process Diagnostics and Control (PDC) Business Group brings constant technological innovation to the global semiconductor industry. PDC develops and manufactures metrology and inspection tools that integrate a wide variety of cutting-edge technologies designed for the worlds leading chip manufacturers. PDCs leading product portfolio includes a breadth of Metrology and Inspection tools. Applied Materials Israel employs around 1,000 people and has centers in Rehovot, Kiryat Gat and Migdal Haemek. Our ability to look ahead and provide the tools and solutions for the next generation of semiconductors places us as a leader in the field of process diagnostics and control. 4. Applied Materials in Japan y Principal office in Tokyo y Training Center in Tokyo 5. Applied Materials in Korea y Principal office in Bundang 6. Applied Materials in Malaysia and Singapore y Principal office in Singapore

y Technical Training Center in Singapore 7. Applied Materials in Taiwan y y y Principal office in Hsinchu Technical Training Center in Hsinchu

Two Research, Development and Manufacturing Centers - Hukou - Tainan 8. Applied Materials in the United States
21

Principal office in Santa Clara, CA Technology Center in Sunnyvale, CA Five Research, Development and Manufacturing Centers - Austin, Texas - Chelmsford, MA - Fairfield, CA - Phoenix, AZ - Salt Lake City, UT 9. Applied Materials in Canada y Research and Development Center in Ontario y y y 10. Applied Materials in China y Principal office in Shanghai y Engineering and Development Center in Xi'an

MACHINES & EQUIPMENT USED FOR PROVIDING SERVICE TO CUSTOMERS


"The Remote Assist units are an additional way of providing our customers with more comprehensive support solutions that can potentially increase the efficiency of their Applied Materials systems," said Sass Somekh Sass Somekh was born in 1946 in Iraq and moved to Israel at a very young age. Sass completed his Undergraduation in Israel. He completed his Masters and Ph.D in Electrical Engineering from California Institute of Technology. , senior vice president of Applied Materials. "These units supplement the considerable investment made by Applied Materials in assuring the highest performance of our tools in our customers' fabs. It is another example of Applied Materials' commitment to using innovative ideas and technologies to maximize the productivity, uptime and availability of our systems while reducing cost of ownership." Varian views this milestone order as a sharing of this customer support technology to elevate the level of service global chipmakers receive from their semiconductor equipment providers. Varian anticipates an increased demand for Remote Assist as more semiconductor equipment vendors leverage their customer service programs to deliver improved, cost-effective consultation and training.

22

ANNUAL REPORT

23

24

A strong balance sheet with low debt and liquidity of ~$3 billion in cash, cash equivalents and investments as of October 25, 2009. A strong business model that generates high cash returns.

COMPETITORS

25

SALES PROMOTION STRATEGY SHOULD BE


y y y Free Demonstration Awareness advertisement Sponsorship

BUDGET FOR NEXT THREE YEAR


Geographical expansion
As the global demand is continuously on the upward trend, the Group still sees the opportunities to capture worldwide demand by geographical expansion, especially in regions with great potential such as South America and India. According to a global industry research company, VLSI Research Inc., Applied Material is now the 2nd largest player manufacturing equipment industry. The Group will continue to focus on global market expansion to further increase our market shares in both pre-recorded and recordable sectors.

New product development


In line with the Groups strategy to produce new products, our R&D department has been working to provide innovative and advanced replication systems to maintain the Group's technological edge.

Vertical Integration into optical media manufacturing


We will continue to strengthen our low cost structure, R&D capability and process knowhow to ensure that we are positioned to accelerate our global growth. We believe our emphasis on R&D will produce better products that will cater to our customers' needs in various markets.

AS AN M.D. THESE STRATEGY SHOULD BE FOLLOWED TO INCRESE THE SALE


Competitive Cost Structure
With both manufacturing and R&D facilities we can be the market leader in this industry.

High Barriers To Entry


26

a. Technology: The replication equipment industry is technology and R&D driven and requires a strong team of engineers who are highly conversant in mechanical, chemical and process engineering. Applied Material's equipment has a proven technological and process edge and we are continuously investing in R&D to position ourselves for the next generation formats b. Strong Relationships with Customers: Applied Material 's integrated solutions have enabled our customers, who are relatively new players in the replication industry, to gain significant market share over a short period of time and this has strengthened our business relationships with them. Our early partnerships with our customers in the PRC also gave us the first mover advantage in this major strategic market.

Experienced Management Team Backed By Pool of Skilled Engineers And Technicians

27

Das könnte Ihnen auch gefallen