Sie sind auf Seite 1von 5

Pollution Prevention and Abatement Handbook

WORLD BANK GROUP


Effective July 1998

Electronics Manufacturing

Industry Description and Practices sides), and multilayer (three or more circuit lay-
ers). Board manufacturing is accomplished by
The electronics industry includes the manufac- producing patterns of conductive material on a
ture of passive components (resistors, capacitors, nonconductive substrate by subtractive or addi-
inductors); semiconductor components (discretes, tive processes. (The conductor is usually copper;
integrated circuits); printed circuit boards (single the base can be pressed epoxy, Teflon, or glass.)
and multilayer boards); and printed wiring assem- In the subtractive process, which is the preferred
blies. This chapter addresses the environmental route, the steps include cleaning and surface
issues associated with the last three manufactur- preparation of the base, electroless copperplating,
ing processes. The manufacture of passive com- pattern printing and masking, electroplating, and
ponents is not included because it is similar to etching.
that of semiconductors. (A difference is that pas- Printed wiring assemblies. Printed wiring assem-
sive component manufacturing uses less of the blies consist of components attached to one or
toxic chemicals employed in doping semiconduc- both sides of the printed circuit board. The at-
tor components and more organic solvents, ep- tachment may be by through-hole technology, in
oxies, plating metals, coatings, and lead.) which the ”legs” of the components are inserted
Semiconductors. Semiconductors are produced through holes in the board and are soldered in
by treating semiconductor substances with place from underneath, or by surface mount tech-
dopants such as boron or phosphorus atoms to nology (SMT), in which components are attached
give them electrical properties. Important semi- to the surface by solder or conductive adhesive.
conductor substances are silicon and gallium ar- (The solder is generally a tin-lead alloy.) In
senide. Manufacturing stages include crystal printed circuit boards of all types, drilled holes
growth; acid etch and epitaxy formation; doping may have to be copper-plated to ensure intercon-
and oxidation; diffusion and ion implantation; nections between the different copper layers.
metallization; chemical vapor deposition; die SMT, which eliminates the drilled holes, allows
separation; die attachment; postsolder cleaning; much denser packing of components, especially
wire bonding; encapsulation packaging; and fi- when components are mounted on both sides. It
nal testing, marking, and packaging. Several of also offers higher-speed performance and is gain-
these process steps are repeated several times, ing over through-hole technology.
so the actual length of the production chain may
well exceed 100 processing steps. Between the Waste Characteristics
repetitions, a cleaning step that contributes to the
amount of effluent produced by the process is Air Emissions
often necessary. Production involves carcinogenic
and mutagenic substances and should therefore Potential air emissions from semiconductor manu-
be carried out in closed systems. facturing include toxic, reactive, and hazardous
Printed circuit board (PCB) manufacturing. There gases; organic solvents; and particulates from the
are three types of boards: single sided (circuits process. The changing of gas cylinders may also
on one side only), double sided (circuits on both result in fugitive emissions of gases. Chemicals

302
Electronics Manufacturing 303

in use may include hydrogen, silane, arsine, phos- Solid and Hazardous Wastes
phine, diborane, hydrogen chloride, hydrogen
fluoride, dichlorosilane, phosphorous oxychlo- Solid and hazardous wastes from semiconductor
ride, and boron tribromide. manufacture may include heavy metals, solder
Potential air emissions from the manufacture dross (solder pot skimmings), arsenic, spent ep-
of printed circuit boards include sulfuric, hydro- oxy, and waste organic solvents (contributing the
chloric, phosphoric, nitric, acetic, and other ac- largest volume of waste). In printed circuit board
ids; chlorine; ammonia; and organic solvent operations, solid wastes may include scrap board
vapors (isopropanol, acetone, trichloroethylene; materials, plating and hydroxide sludges, and
n-butyl acetate; xylene; petroleum distillates; and inks. In the manufacture of printed wiring assem-
ozone-depleting substances). blies, solid wastes may include solder dross, scrap
In the manufacture of printed wiring assemblies, boards, components, organic solvents, and met-
air emissions may include organic solvent vapors als. Boards may also be treated with brominated
and fumes from the soldering process, including flame retardants, which may pose some environ-
aldehydes, flux vapors, organic acids, and so on. mental risk when boards are disposed of in land-
Throughout the electronics manufacturing fills. All conventional electronics present
sector, chlorofluorocarbons (CFCs) have been a additional hazards in landfills because of the
preferred organic solvent for a variety of appli- presence of lead in cathode-ray tube envelopes
cations. CFCs are ozone-depleting substances and in solder, as well as lead and other metal salts,
(ODSs). Their production in and import into de- particularly if they have not been cleaned in a
veloping countries will soon be banned. postsoldering operation.
Hydrochlorofluorocarbons (HCFCs) have been All three manufacturing processes may gen-
developed as a substitute for CFCs, but they too erate sludges containing heavy metals from
are ODSs and will be phased out. Methyl chloro- wastewater treatment plants. Organic solvent
form, another organic solvent, has also been used residues also require management and disposal.
by the electronics industry; it too is an ODS and
is being eliminated globally on the same sched- Pollution Prevention and Control
ule as CFCs. Chlorobromomethane and n-propyl
bromide are also unacceptable because of their Semiconductor Industry
high ozone-depleting potential.
Measures such as plasma etching of silicon ni-
Effluents tride (a dry process) in metal oxide semiconduc-
tor (MOS) technology replace the hot corrosive
Effluents from the manufacture of semiconductors phosphoric acid (H3PO4) wet process and offer
may have a low pH from hydrofluoric, hydro- reductions in generated waste and better safety
chloric, and sulfuric acids (the major contribu- for workers while reducing the number of pro-
tors to low pH) and may contain organic solvents, cessing steps. Because of the reaction of the
phosphorous oxychloride (which decomposes in plasma with the substrate, several substances are
water to form phosphoric and hydrochloric ac- formed that are regarded as carcinogenic or mu-
ids), acetate, metals, and fluorides. tagenic and that may pose a danger to mainte-
Effluents from the manufacture of printed cir- nance personnel. Risks are minimized by
cuit boards may contain organic solvents, vinyl sweeping equipment with nitrogen before open-
polymers; stannic oxide; metals such as copper, ing it. A gas mask with breathing equipment
nickel, iron, chromium, tin, lead, palladium, and should be worn by personnel during repair and
gold; cyanides (because some metals may be maintenance.
complexed with chelating agents); sulfates; fluo-
rides and fluoroborates; ammonia; and acids. Printed Circuit Board Manufacturing
Effluents from printed wiring assemblies may
contain acids, alkalis, fluxes, metals, organic sol- A number of process alternatives exist for the
vents, and, where electroplating is involved, manufacture of printed circuit boards. These in-
metals, fluorides, cyanides, and sulfates. clude:
304 PROJECT GUIDELINES: INDUSTRY SECTOR GUIDELINES

eliminates a process step and the correspond-


• In board manufacture: SMT rather than plated
ing equipment, and has been shown to give
through-hole technology; injection molded
adequate product quality according to the
substrate; additive plating
application.
• In cleaning and surface preparation: use of
nonchelating cleaners; extension of bath life;
General
improvement of rinse efficiency; countercur-
rent cleaning; recycling and reuse of cleaners
Organic solvent losses can be reduced by conser-
and rinses
vation and recycling, using closed-loop delivery
• In pattern printing and masking: aqueous
systems, hoods, fans, and stills. Installation of
processable resist; screen printing to replace
activated carbon systems can achieve up to 90%
photolithography; dry photoresist; recycling
capture and recycle of organic solvents used in
and reuse of photoresist strippers; segregation
the system. All solvents and hazardous chemi-
of streams; recovery of metals
cals (including wastes) require appropriate safe
• For electroplating and electroless plating: re-
storage to prevent spills and accidental dis-
placement of these processes by mechanical
charges. All tanks, pipework, and other contain-
board production; use of noncyanide baths;
ers should be situated over spill containment trays
extension of bath life; recycling and reuse of
with dimensions large enough to contain the to-
cleaners and rinses; improvement of rinse ef-
tal volume of liquid over them. Containment fa-
ficiency; countercurrent rinsing; segregation of
cilities must resist all chemical attack from the
streams; recovery of metals
products. In lieu of containment facilities, the floor
• In etching: use of differential plating; use of
and walls, to a reasonable height, may be treated
nonchelated etchants and nonchrome etchant;
(e.g., by an epoxy product, where chemically ap-
use of pattern instead of panel plating; use of
propriate) to prevent the possibility of leakage of
additive instead of subtractive processes; re-
accidental spills into the ground, and there should
cycling and reuse of etchants.
be doorsills. (Untreated cement or concrete or
Metal recovery by regenerative electrowinning grouted tile floors are permeable.) It is unaccept-
results in a near-zero effluent discharge for seg- able to have a drain in the floor of any shop where
regated metal-bearing streams. Heavy metals are chemicals of any description are used or stored,
recovered to metal sheets, which eliminates 95% except where such a drain leads to an adequate
of sludge disposal. Metal-bearing sludges that are water-treatment plant capable of rendering used
not treated for recovery of metals should be dis- or stored chemicals in its catchment area.
posed of in secure landfills. Waste organic solvents should be sent to a sol-
vent recycling operation for reconstitution and
Printed Wiring Assemblies reuse. Where recycling facilities are not available,
waste solvents may need to be incinerated or
In the printed wiring assembly process, non- destroyed as appropriate for their chemical com-
ozone-depleting alternatives are readily available position.
for cleaning printed wiring assemblies. These al-
ternatives include other organic solvents, hydro- Target Pollution Loads
carbon/surfactant blends, alcohols, and organic
solvent blends, as well as aqueous and semi- Implementation of cleaner production processes
aqueous processes. More important, the indus- and pollution prevention measures can yield both
try has shown that even sophisticated printed economic and environmental benefits. The fol-
wiring assemblies intended for military uses lowing production-related targets can be
(where specifications are very exacting) can be achieved by measures such as those described in
made without cleaning by using low-residue the previous section.
fluxes that leave very little in the way of con- Ozone-depleting substances are not to be used
tamination on the boards. The no-clean concept in production operations unless no proven alter-
does away with the use of organic solvents and native exists. Discharges of organic solvents
the need to dispose of organic solvent waste, should be minimized, and alternative technolo-
Electronics Manufacturing 305

gies should be considered where available. Sol- vironmental assessment (EA) process on the ba-
der dross should not be sent to landfills. (Waste sis of country legislation and the Pollution Pre-
can be sent to suppliers or approved waste recy- vention and Abatement Handbook, as applied to
clers for recovery of the lead and tin content of local conditions. The emissions levels selected
the dross.) Scrap boards and assemblies having must be justified in the EA and acceptable to the
soldered components should have their compo- World Bank Group.
nents and solder connections removed before The guidelines given below present emissions
they are sent to landfills or recycled for other uses. levels normally acceptable to the World Bank
Group in making decisions regarding provision
Treatment Technologies of World Bank Group assistance. Any deviations
from these levels must be described in the World
Wet scrubbers, point-of-use control systems, and Bank Group project documentation. The emis-
volatile organic compound (VOC) control units sions levels given here can be consistently
are used to control toxic and hazardous emissions achieved by well-designed, well-operated, and
of the chemicals used in semiconductor manu- well-maintained pollution control systems.
facturing. It is often appropriate to scrub acid and The guidelines are expressed as concentrations
alkaline waste gases in separate scrubbers be- to facilitate monitoring. Dilution of air emissions
cause different scrubber liquids can then be used, or effluents to achieve these guidelines is un-
resulting in higher removal efficiencies. acceptable.
Air emission concentrations of chemicals such All of the maximum levels should be achieved
as arsine, diborane, phosphine, silane, and other for at least 95% of the time that the plant or unit
chemicals used in the process should be reduced is operating, to be calculated as a proportion of
below worker health levels for plant operations. annual operating hours.
Because of the many chemicals used in the elec-
tronics industry, wastewater segregation simpli- Air Emissions
fies waste treatment and allows recovery and
reuse of materials. Organic wastes are collected The air emissions levels presented in Table 1
separately from wastewater systems. (Note that should be achieved.
solvent used in the semiconductor industry
cannot be readily recycled because much of it
Liquid Effluents
is generated from complex mixtures such as pho-
toresist.) Acids and alkalis are sent to onsite
wastewater treatment facilities for neutralization, The effluent levels presented in Table 2 should
after segregation of heavy-metal-bearing streams be achieved.
for separate treatment. Fluoride-bearing streams
in a semiconductor plant are segregated and Ambient Noise
treated on site or sent off site for treatment or
disposal. Treatment steps for effluents from the Noise abatement measures should achieve either
electronics industry may include precipitation, the levels given below or a maximum increase in
coagulation, sedimentation, sludge dewatering, background levels of 3 decibels (measured on the
ion exchange, filtering, membrane purification
and separation, and neutralization, depending on Table 1. Air Emissions from Electronics
the particular stream. Sanitary wastes are treated Manufacturing
separately (primary and secondary treatment (milligrams per normal cubic meter)

followed by disinfection) or discharged to a mu- Parameter Maximum value


nicipal treatment system.
VOC 20
Emissions Guidelines Phosphine 1
Arsine 1
Hydrogen fluoride 5
Emissions levels for the design and operation of Hydrogen chloride 10
each project must be established through the en-
306 PROJECT GUIDELINES: INDUSTRY SECTOR GUIDELINES

Table 2. Effluents from Electronics cess. Effluents should be monitored continuously


Manufacturing for pH, and other parameters should be tested
(milligrams per liter, except for pH ) once a month.
Parameter Maximum value Monitoring data should be analyzed and re-
viewed at regular intervals and compared with
pH 6–9 the operating standards so that any necessary
BOD 50 corrective actions can be taken. Records of moni-
TSS toring results should be kept in an acceptable
Maximum 50
format. The results should be reported to the
Monthly average 20
Oil and grease 10
responsible authorities and relevant parties, as
Phosphorus 5.0 required.
Fluoride 20
Ammonia 10 Key Issues
Cyanide
Total 1.0 The key production and control practices that will
Free 0.1 lead to compliance with emissions requirements
Total chlorocarbons and
can be summarized as follows:
hydrochlorocarbons 0.5
Metals, total 10 • Cylinders of toxic gases should be well secured
Arsenic 0.1 and fitted with leak detection devices as ap-
Chromium, hexavalent 0.1
Cadmium 0.1
propriate. Well-designed emergency prepared-
Copper 0.5 ness programs are required. Note that fugitive
Lead 0.1 emissions occurring when gas cylinders are
Mercury 0.01 changed do not normally require capture for
Nickel 0.5 treatment, but appropriate safety precautions
Tin 2.0 are expected to be in place.
• No ozone-depleting chemicals should be used
Note: Effluent requirements are for direct discharge to surface
waters.
in the process unless no proven alternatives
are available.
• Equipment, such as refrigeration equipment,
A scale) [dB(A)]. Measurements are to be taken containing ozone-depleting chemicals should
at noise receptors located outside the project not be purchased unless no other option is
property boundary. available
• Toxic and hazardous sludges and waste mate-
Maximum allowable log rials must be treated and disposed of or sent
equivalent (hourly to approved waste disposal or recycling
measurements), in dB(A) operations
Day Night • Where liquid chemicals are employed, the
Receptor (07:00–22:00) (22:00–07:00) plant, including loading and unloading areas,
should be designed to minimize evaporation
Residential,
(other than water) and to eliminate all risk of
institutional,
educational 55 45
chemicals entering the ground or any water-
Industrial, course or sewerage system in the event of an
commercial 70 70 accidental leak or spill.

Monitoring and Reporting Source

World Bank. 1997. “Industrial Pollution Prevention and


Monitoring of sources of toxic emissions (such Abatement: Electronics Manufacturing.” Draft Tech-
as the toxic gases used in the semiconductor in- nical Background Document. Environment Depart-
dustry, should be continuous and part of the pro- ment, Washington, D.C.

Das könnte Ihnen auch gefallen