Sie sind auf Seite 1von 144

DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING

COURSE STRUCTURE & SYLLABII OF B.TECH (ECE) PROGRAMME Submitted & approval by

Board of studies

2010-2011

KLE UNIVERSITY DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING (ECE)


TO Dr. B. S. Nagendra Parashar Pro-vc & Dean-Academics KLEF University. From, Dr. Habibulla Khan HOD, ECE. Respected Sir, I am here with submitting the BOS minutes of Electronics and Communication Engineering Department held on 12th, Feb.2011with the following items. 1. Minutes of BOS meeting 2. Annexure-I: Program Educational Objectives of the Department. 3. Course Structures: a) Annexure-II Course name, Course, L.T.P, contact hours, credits b) Annexure-III Four specialization streams ` 4. A) Annexure-IV syllabi for second year course year: 2010-2014 B) Annexure-V syllabi for third & fourth year course Year: 2010-2014 & 2009-2013 C) Annexure-VI syllabi for different electives specialization streams Dt: 07.03.2011

5. Annexure-VII Mapping of compulsory courses with objective and outcomes. Thanking You Sir, Yours Sincerely, Dr. Habibulla Khan Professor &HOD, Dept of ECE

DEPARTMENT OF ELECTRONICS & COMMUNICATION NGINEERING 11th, Feb.2011 CIRCULAR The Board of Studies Meeting of ECE Department held on 12.2.11 at 9.00 A.M. in Faculty Seminar Hall. The following BOS members are attended the meeting. 1 2 3 4 5 6 7 8 9 10 11 12 Dr.Habibulla Khan Dr.N.V.S.N.Sarma Professor in ECE,NIT, Warangal Dr.L.Pratap Reddy Professor in ECE, JNTU, Hyderabad Dr.S.Lakshmi Narayana Dr.K.S.Ramesh Prof.T.V.Rama Krishna Dr.K.S.N.Murthy Dr.Sarat Kumar Sri D.S.Ram Kiran Sri M.Siva Ganga Prasad Sri K.Rajendra Prasad Mr.G.Rakesh Chowdary Chairman Member Member Member Member Member Member Member Member Member Member Member

HEAD OF THE DEPARTMENT C.C. to : 1. 2. 3. 4. The Vice Chancellor Registrar Dean, Administration Dean, Academics

Minutes of the Meeting of BOS of ECE Department The BOS meeting of ECE department was held on 12-02-2011. at 10.00 A.M in ECE faculty Conference hall .The following resolution are made. 1. It is resolved to accept to change the name of the course Electromagnetic theory as Electromagnetic field & Waves 2 It is resolved to accept to change the Probability theory & Random Process as Probability theory & Stochastic Process 3. It is resolved to accept the Modification of contents in the Syllabus of Digital Logic Design, Signal & Systems, Networks & Transmission Lines, Electronic Devices & Circuits for IInd Year Ist Semester. 4. It is resolved to accept to change the Modification of Contents in the syllabus of Analog electronic Circuits Probability Theory & Stochastic Process Analog Communication, Electronics Measurements & Instrumentation for II year,2nd semester. 5. It is resolved to accommodate Digital Signal Processing (Elective Subject) as core subject in III year Ist Semester 6. It is resolved to shift the core subject Radar Navigational aids as elective subject in IV year. 7. It is resolved to incorporate the change in the contents of suggested subjects

Annexure - I
PROGRAM EDUCATION OBJECTIVES I. Application oriented teaching with live demonstrations in the class room with power point presentations and lessons and video demonstrations of experts from various foreign and Indian Universities. Strong theoretical background of fundamentals in Mathematics, Physics & Electrical Technology. Motivating the students in developing instruments and gadgets of social importance like accessories for blind and deaf and other physically challenged and also design the products for real life problems. Prepare the student in doing the live projects from 2nd year onwards to make him directly fit in the industrial and R & D environment. By developing a feedback mechanism, good academic environment will be created and communication skills are improved, ultimately leading to good leadership qualities and become complete professionals. Through theoretical concepts of relevant technical subjects mentioned below with the following programme objectives.External training in advanced topics not covered in the syllabus well enable the students to pursue for higher education for successful professional career.

II. III.

IV.

V.

PROGRAM OUTCOMES The program outcomes are the application of knowledge what they learnt by way of theoretical background, application skills, practical training, communication skills etc. during their time of graduation. a. The graduate students will exhibit their theoretical & Technical knowledge skills of all the subjects they studied. b. Take up practical applications and apply their technical skills for their solutions. c. Conduct the experiments to analyze and design and thereby evaluate their performance. d. To design the hardware circuits both in analog and digital versions. Application of micro-controllers and their interface, PC interface, software development leading to multi disciplinary tasks. e. The ECE students are exposed to multi disciplinary fields from which students will be able to develop and design various applications so as to suit for the Industrial needs. f. In the process of design the students are already trained in the use of modern engineering tools like Multisim, Mat lab etc to optimize the end results. g. They are also get acquainted with software skills and ethical responsibilities from the professionals. h. They are also trained to take up the projects having social relevance like water resources management, power saving, traffic monitoring etc., i. Those interested in pursuing higher education can write GATE, GRE and apply for other technical competitive examinations. j. Those who are having skills of entrepreneurship can develop their own small scale industry for the products having industrial or sociological relevance. k. Competent students can develop a training centre after their graduation.

Program Objectives I II III IV V X X X A X X X b

Program Outcomes c d e f g h i X X X X X X X X X X X X X X X j X k

Annexure II

COURSE STRUCTURE FOR B.TECH (ELECTRONICS & COMMUNICATION ENGINEERING)

FIRST YEAR (FIRST SEMESTER) (Y: 2010-2014) S.N O 1. 2. 3. 4. 5. 6. COURSE TITLE TECHNICAL COMMUNICATION SKILLS-I MATHEMATICS I APPLIED MATHEMATICS-II WITH MATLAB ENGINEERING CHEMISTRY PROGRAMMING IN C ENGINEERING MECHANICS TOTAL L 2 3 3 2 3 3 16 PERIODS T 0 1 1 1 1 1 5 P 4 0 2 2 2 10 CONTACT HOURS 6 4 6 5 6 4 31 CREDIT S 4 4 5 4 5 4 26

FIRST YEAR (SECOND SEMESTER) (Y: 2010-2014) S.N O COURSE TITLE L 1. 2. 3. 4. 5. 6. TECHNICAL COMMUNICATION SKILLS-II ENGINEERING PHYSICS ELEMENTS OF CIVIL AND MECHANICS ENVIRONMENTAL STUDIES AND ENGINEERING CIRCUIT THEORY BASIC ELECTRICAL ELECTRONICS TOTAL & 2 3 3 3 3 2 16 PERIODS T 0 1 1 1 1 4 P 4 2 2 2 8 6 6 6 3 4 5 30 4 5 4 3 4 4 24 CONTA CT HOURS CREDI TS

SECOND YEAR (FIRST SEMESTER) (Y: 2010-2014) S.N O 1. 2. 3. 4. 5. 6. COURSE TITLE L 3 3 3 3 3 3 18 PERIODS T 1 1 1 1 4 P 2 2 2 6 Contact Hours 3 5 6 4 6 4 28 CREDIT S 4 4 4 4 4 4 24

ENGINEERING MATHEMATICS-III DIGITAL LOGIC DESIGN ELECTRONIC DEVICES & CIRCUITS ELECTROMAGNETIC FIELDS & WAVES SIGNALS & SYSTEMS NETWORKS AND TRANSMISSION LINES TOTAL

SECOND YEAR (SECOND SEMESTER) (Y: 2010-2014) S.N O 1. 2. 3. 4. 5. 6. COURSE TITLE ANALOG ELECTRONIC CIRCUITS PROBABILITY THEORY & STOCHASTIC PROCESSES LINEAR CONTROL SYSTEMS PULSE CIRCUITS ANALOG COMMUNICATIONS ELECTRONIC MEASUREMENTS AND INSTRUMENTATION TOTAL L 3 3 4 3 3 3 PERIODS T 1 1 1 P 2 2 2 Contact Hours 6 3 4 6 6 3 CREDIT S 5 3 4 4 5 3

19

28

24

10

THIRD YEAR (FIRST SEMESTER) (Y: 2010-2014, 2009-2013) S.N O 1. 2. 3. 4. 5. 6. COURSE TITLE L 3 3 3 3 4 2 18 SOFT PERIODS T 1 1 2 P 2 2 2 2 8 Contac t CREDITS Hours 6 6 5 3 4 4 28 4 5 4 3 4 2 22

DIGITAL SIGNAL PROCESSING LINEAR ICS APPLICATIONS MICROPROCESSORS INTERFACING ELECTIVE COMMUNICATION AND SKILLS -1 TOTAL AND AND

ELECTIVE(INTER-DISCIPLINE)

THIRD YEAR (SECOND SEMESTER) (Y: 2010-2014, 2009-2013) S.N O COURSE TITLE L 1. 2. 3. 4. 5. 6. 7. ANTENNA AND WAVE PROPAGATION DIGITAL COMMUNICATION ELECTIVE ELECTIVE ELECTIVE(INTER-DISCIPLINE) COMMUNICATION SKILLS-II MINI PROJECT TOTAL & SOFT 3 3 4 4 4 2 20 PERIODS T P 0 2 2 4 8 3 5 4 4 4 4 4 28 3 5 4 4 4 2 2 24 Conta ct Hours CREDIT S

11

FOURTH YEAR (FIRST SEMESTER) (Y: 2010-2014, 2009-2013) S.NO COURSE TITLE L 1. PRACTICE SCHOOL TOTAL PERIODS T P 18 18 Contact Hours CREDITS

(OR) FOURTH YEAR (FIRST SEMESTER) (Y: 2010-2014, 2009-2013) S.NO COURSE TITLE L 4 4 8 PERIODS T -P Contact Hours 4 4 8 CREDITS

1. 2. 3.

ELECTIVE -1 ELECTIVE -2 PROJECT TOTAL

3 3 12 18

12

FOURTH YEAR (SECOND SEMESTER) (Y: 2010-2014, 2009-2013) S.N O 1. 2. 3. 4. 5. 6. COURSE TITLE L 3 3 4 4 4 4 22 PERIODS T 1 1 2 P 2 2 4 Contact Hours 6 6 4 4 4 4 28 CREDIT S 5 5 3 3 3 4 23

MICROWAVE ENGINEERING OPTICAL COMMUNICATION ELECTIVE ELECTIVE ELECTIVE MANAGEMENT ELECTIVE TOTAL

Practice Oriented Industrial Project ( 6 Months): 50% students will be allowed in the 7th semester while rest of the 50% will be allowed in the 8th semester.

13

Annexure III

ELECTIVES FOR DIFFERENT SPECIALIZATION STREAMS

14

SPECIALIZATION IN COMMUNICATION SYSTEMS CO UR S.NO. SE No. Con tact Hou rs CRED ITS

COURSE TITLE

PERIODS

1 2 3. 4. 5. 6 7 8 9 10 11 12 13 14

A B C D E F G H I J K L M N

INFORMATION THEORY& CODING TV AND VIDEO ENGINEERING RF SYSTEM DESIGN MULTIMEDIA COMMUNICATION
SPECTRUM ANALYSIS MODELING & SIMULATION OF COMMUNICATION SYSTEMS

L 3 4 4 4 4 4 4 4 4 4 4 4 4 4

T -

P -

3 4 4 4 4 4 4 4 4 4 4 4 4 4

3 3 3 3 3 3 3 3 3 3 3 3 3 3

RADAR AND NAVIGATIONAL AIDS MOBILE AND CELLULAR COMMUNICATION ESTIMATION AND DETECTION THEORY SATELLITE COMMUNICATION ELECTROMAGNETICS FOR COMMUNICATIONS
RELIABILITY OF ELECTRONICS & COMMUNICATION SYSTEMS

LASER COMMUNICATION OPTICAL CDMA SYSTEMS

15

SPECIALIZATION IN VLSI COU RSE No. Conta ct Hours CRED ITS

S.NO.

COURSE TITLE

PERIODS L 3 4 4 4 4 4 4 4 4 4 4 4 4 4 T P 2 -

1. 2 3. 4. 5. 6 7 8 9 10 11 12 13 14

A B C D E F G H I J K L M N

DIGITAL DESIGN THROUGH HDL DESIGN OF FAULT TOLERANT SYSTEMS VLSI DESIGN CPLD & FPGA ARCHITECTURE ANALOG VLSI DESIGN VLSI SUB SYSTEM DESIGN DESIGN FOR TESTABILITY LOW POWER VLSI DESIGN VLSI SIGNAL PROCESSING INTRODUCTION TO MEMS SYSTEM DESIGN ADVANCED VLSI DESIGN ASIC DESIGN VLSI TECHNOLOGY VLSI LAYOUT SYNTHESIS ALGORITHMS

5 4 4 4 4 4 4 4 4 4 4 4 4 4

5 3 3 3 3 3 3 3 3 3 3 3 3 3

16

SPECIALIZATION IN SIGNAL PROCESSING COU RSE No. Con tact CREDITS Hou rs

S.NO.

COURSE TITLE

PERIODS

1. 2. 3. 4 5 6 7 8 9 10 11

A B C D E F G H I J K

NEURAL NETWORKS AND FUZZY LOGIC MODERN DIGITAL SIGNAL PROCESSING DIGITAL IMAGE PROCESSING SPEECH PROCESSING REAL TIME DSP ARRAY SIGNAL PROCESSING TRANSFORM TECHNIQUES BIOMEDICAL SIGNAL PROCESSING MULTIRATE SIGNAL PROCESSING WAVELET TRANSFORMS: THEORY & CONSTRUCTION OPTICAL SIGNAL ROCESSING

L 3 4 3 4 4 4 4 4 4 4 4

T -

P 2 -

3 4 5 4 4 4 4 4 4 4 4

3 3 5 3 3 3 3 3 3 3 3

17

SPECIALIZATION IN NETWORKING S.NO. COU RSE No. COURSE TITLE PERIODS L 1. 2. 3. 4. 5 6 7 8 9 10 11 A B C D E F G H I J K COMPUTER NETWORKS TCP/IP INTERNETWORKING HIGH SPEED NETWORKS NETWORK SECURITY & CRYPTOGRAPHY TELECOMMUNICATION AND SWITCHING NETWORKS AD HOC NETWORKS WIRELESS SENSOR NETWORKS NETWORK MANAGEMENT CLOUD COMPUTING BROAD BAND ACCESS TECHNOLOGIES FIBER OPTIC NETWORKING 3 4 4 4 4 4 4 4 4 4 4 T P 3 4 4 4 4 4 4 4 4 4 4 3 3 3 3 3 3 3 3 3 3 3 Contac CRED t Hours ITS

18

Annexure-IV

SYLLABII FOR SECOND YEAR COURSE YEAR: 2010-2014

19

ENGINEERING MATHEMATICS-III (Prerequisite: Engineering Mathematics-II)


L 3 T 0 P 0 UNIT 1 FOURIER SERIES: Introduction, Eulers Formulae, Condition for a Fourier series change of interval, Odd and Even functions, expansions of add and even functions, Half range series, Parsevals formulae, complex form of Fourier series, Harmonic analysis (9) UNIT II INTERGRAL TRANSFORMS: Definition, Fourier Integrals, Fourier sine and cosine integrals, complex form of Fourier Integrals, Fourier Transforms, Fourier sine and cosine Transforms, Finite Fourier sine and cosine Transforms, Fourier transform of derivative of a function (9) UNIT-III Numerical Differentiation : Finding out first % second order differentials using Newtons formulae. Numerical Integration : Trapezoidal rule, Simpsons rule, Gauss quadrature formulae, numerical solution of ordinary differential equation, Eulers method, modified Eulers method, Rungekutta method of 4th order. (9) UNIT IV TAYLORS SERIES: method of solving ordinary differential equations, picards methods, boundary value problems. solutions of laplace, poissons equation by integration method. (9) UNIT V SET THEORY Set Operations, Theorems functions and Relations, Properties of relations, Equivalence relations, Venn diagrams, Inverse of a function, groups (9) TEXT BOOKS: 1. Ervin Kreyszic ,Advanced Engineering Mathematics 2. B.S.Grewal ,Higher Engineering Mathematics

20

DIGITAL LOGIC DESIGN (Prerequisite: Nil)


L 3 T 0 P 2 UNIT I LOGIC GATES & FAMILIES AND, OR, NOT, NAND, NOR, EX-OR, EX-NOR gates, and their implementation using NAND and NOR gates, two level and multi level NAND and NOR implementations. Types of Boolean expressions, simplifications of Boolean expressions(using Boolean laws and theorems, K-maps up to four variables) Logic Families: Classification and characteristics of logic families, RTL, DTL, TTL, ECL, IIL, MOS and C-MOS logic families and their comparison. (9) UNITII COMBINATIONAL LOGIC CIRCUITS: General design procedure for Combinational logic circuits, Design and applications of Binary Adders and Subtractors, Comparators, Encoders, Decoders, Multiplexers and De-multiplexers, Design of BCD to 7 Segment Decoder, Code converters, Parity Generator and Checker, BCD Adder / Subtractor, Carry look ahead adders. (9) UNIT III FLIP-FLOPS Flip-Flops - SR, JK, D, T and Master Slave their characteristic equation, characteristic and excitation table, conversion of flip flops, Edge triggering and level triggering, State table and State diagrams for Flip-Flops (9) UNIT IV REGISTERS & COUNTERS Register Shift register universal shift register, Asynchronous /Ripple up/Down counter, mod counters, Sequence Generator (9) UNIT V SEQUENTIAL CIRCUITS: Finite state machine-capabilities and limitations, Mealy and Moore models-minimization of completely specified and incompletely specified sequential machines, Partition techniques and Merger chart methods-concept of minimal cover table. (9) TEXT BOOKS: 1.Khan & Khan, Digital Logic Design, Scitech, 2008 2. M Morris Mano, Digital Logic and Computer Design, PHI, 2003. REFERENCE BOOKS: 1.Zvi Kohavi, Switching and Finite Automata Theory, 2nd Edition, TMH. 2.RP Jain, Modern Digital Electronics, 3rd Edition, TMH, 2003 3.Ronald J Tocci, Digital Systems, Pearson Education, 9th Edition.

21


DIGITAL CIRCUITS LAB 1) Realization Of Gates Using Universal Gates 2) Combinational Circuits 3) Comparator 4) Code Converters 5) Multiplexer And Demultiplexer 6) Flip Flops Using Gates 7) Ring And Johnson Counters 8) Synchronous Counter 9) Conversion Of One Flip-Flop To Another Type 10) Bcd-To-Seven Segment Decoder Driver 11) Cd 4033 Decade Counter/Seven Segment Decoder 12) Sequence Generator 13) Study Of Decade Counters Using 7490 14) Modulo N Counters Using 7490

22

ELECTRONIC DEVICES & CIRCUITS (Prerequisite: Circuit Theory)


L 3 T 1 P 2 UNIT I RECTIFIERS & FILTERS Diode as a Rectifier, Half Wave, Full wave, Full wave and Bridge Rectifiers without filter and with inductor filter, Capacitor filter, L section and - section filters, Percentage of regulation, variation of output voltage with load current for all combinations. (8) UNIT II SPECIAL DEVICES : Break down mechanism, Zener, diode, Tunnel diode and energy diagram Varactor diode, LED and their characteristics, Shortly diode, Photo diodes, PNPN diodes, SCR and their characteristics. (8) UNIT III TRANSISTOR BIASING TECHNIQUES : Transistor DC bias its stabilization, stability factors S;S, Fixed bias, collector to base bias and self bias stabilization circuits, diode, thermister and sensistor bias compensation circuits, thermal runaway and thermal stability. (10) UNIT _ IV LOW FREQUENCY TRANSISTOR AMPLIFIER CIRCUITS :Hybrid parameter model of transistor, inter conversions of h-parameters, Low frequency hybrid equivalent circuit of CE, CB, CE configurations, small signal analysis of transistor with A1., Av, A1s, Avs, Z1, Zo,, CE amplifier with Emitter resistor, Millers Theorem, High input resistance transistor circuits : Darlington pair amplifier, cascade amplifier. (12) UNIT V FET & BIASING : JFET, depetion MOSFET and enhancement MOsFET : basic construction, operation, drain and transfer characteristics FET parameters - rd, gm, : biasing methods, FET low frequency model. (7) TEXT BOOKS : 1.Jacob Millman and Christos, C Halkias, Electronic Devices and Circuits, TMH 2002 2.G.S.N.Raju, Electronic Devices and circuits, IK International, 2006 REFERENCE BOOKS : 1.Balbir Kumar & Shail B.Jain, Electronic Devices and Circuits, PHI 2007 2.David A Bell , Electronic Devices and circuits, 4th Edition, PHI 2003. 3.Robert boylestad & louis nashelsky ,electronic devices and circuit theory ,seventh edition, prentice hall 4. Allen Mottershed, Electronic Devices and Circuits An Introduction, PHI 2001

23


ELECTRONIC DEVICES LAB 1) V-I Characteristics of Zener junction Diode. 2) Half wave rectifier without and with capacitor filter. 3) Full wave rectifier without and with capacitor filter. 4) Input & output Characteristics of Transistor in common Base configuration. 5) Input & Output Characteristics of Transistor in common Emitter configuration. 6) Input & Output Characteristics of Transistor in common Collector configuration. 7) Transistor Biasing circuit (CE configuration) 8) Characteristics of junction Field Effect Transistor 9) Static Emitter Characteristics of Unijunction Transistor 10) V-I Characteristics of Silicon Controlled Rectifier. 11) Transistor as a switch. 12) Emitter Follower

24

ELECTROMAGNETIC FIELDS & WAVES (Prerequisite: Nil)


L 3 T 1 P 0 UNIT I ELECTROSTATICS Introduction to vector analysis, Types of charge distributions, coulombs Law, Electric field intensity, Electric-field intensity due to different charge distributions, Potential and Potential difference, potential field of a point charge and a system of charges, potential gradient, the electric dipole, electric flux, electric flux density, gauss Law and applications, Divergence, theorem Poissons and Laplaces equations. Capacitance of different configurations. Boundary conditions on E and D , energy density in Electrostatic field (10) UNIT II MAGNETOSTATICS Electric current, current densities, equation of continuity.Fundamentals of steady magnetic field, faradays Law of Induction, Magnetic flux density, Magnetic field strength, B iot-savarts Law and applications, Amperes work law or circuital law, differential form of Amperes circuital law, stokes theorem, Lorentt force equation, force on a current element in magnetic field, Amperes force law, Boundary conditions on H and B, scalar and vector magnetic potentials, energy density in magnetic field. (9) UNIT III MAXWELLS EQUATIONS Introduction, equation of continuity for time - varying fields, faradays law, Inconsistency of Amperes Law, the concept of displacement current, modified Amperes circuital Law, Maxwells equations for static fields and time varying fields both in differential form of integral form. Maxwells equations in phasor form for sinnsoildally tune varying fields, Boundary conditions. (9) UNIT IV ELECTRO MAGNETIC WAVES Introduction, wave equations for free space and conducting medium, Uniform plane wave equation, general solution of uniform plane wave equation, wave equations in phaser form, up wave propagation in free space, perfect dielectrics, lossy dielectric and good conductors skin effect. Poynting vector and flow of power, complex Poynting vector. (8) UNIT-V GUIDED WAVES Introduction: Waves between parallel plates, Derivation of field equations between parallel plates and propagation parameters, Field components for TE waves (E2 = 0 ) , field components of TM waves (H2 = 0), Propagation parameters of TE and TM waves, Guide wavelength. Transverse electromagnetic wave (TEM wave), velocities of propagation. Attenuation in parallel plane guides, wave impedances, waves in rectangular wave guides, Derivation of field equations in rectangular bellow wave guides, propagation parameters of TE and TM waves in rectangular wave guides. (9) (PTO)

25


TEXT BOOKS 1.W.H. Hayt Jr , Engineering Electromagnetic,MC Graw Hill New York, 7th Edition 2.GSN Raju, Electromagnetic field Theory and Transmission Lines,Pearson Education Pvt. Ltd., New Delhi, 2005. REFERENCE BOOKS 1.EC.Jordan, EM waves and Radiating systems, Pearson Education, 1997 2.Mathew no Sadiku, Elements of Electromagnetics , Oxford University Press, 2003. 3.Joseph A Edminister, Theory and problems of Electromagnetics, 2nd edition, Schams outline series, MC-Graw Hill International. SIMULATION TEXT BOOK 1.Karl E. Lonngren,Sava V Savov, Fundamentals of Electromagnetic with Matlab, SciTech.

26

SIGNALS & SYSTEMS (Prerequisite: Nil)


L 3 T 1 P 2 UNIT I CLASSIFICATION OF SIGNALS & SYSTEMS: Classification of Signals, Basic operation on Signals, Elementary Signals, Classification & Properties of Systems, Transformations of the Independent variable, Exponential and sinusoidal signals, Orthogonality and orthogonal functions. FOURIER SERIES REPRESENTATION OF SIGNAL: Introduction, Fourier Series representation of continuous Time signals, convergence of the Fourier Series, Properties of continuous Time Fourier Series. (9) UNIT II THE CONTINUOUS TIME FOURIER TRANSFORM: Introduction, Representation of Aperiodic Signal: The continuous Time Fourier Transform, The FT for periodic Signals, Properties of the CTFT, Convolution of Signals Concept of convolution in Time domain and Frequency domain, Graphical representation of Convolution, The convolution property, The multiplication Property. (8) UNIT III SIGNAL TRANSMISSION THROUGH LINEAR SYSTEMS: Linear System, Impulse response, Response of a Linear System, Linear Time Invariant (LTI) System, Linear Time Variant (LTV) System, Transfer function of a LTI system, Filter characteristics of Linear Systems, Distortion less transmission through a system, Signal bandwidth, System bandwidth, Ideal LPF, HPF and BPF characteristics, Causality and Paley-Wiener criterion for physical realization, Relationship between Bandwidth and Rise time. (8) UNIT IV SAMPLING: Sampling theorem Graphical and analytical proof for Band Limited Signals, Impulse Sampling, Natural and Flat top Sampling, Reconstruction of signal from its samples, Effect of under sampling Aliasing, Introduction to Band Pass sampling. CORRELATION: Correlation of Signals - Cross Correlation and Auto Correlation of functions, Properties of Correlation function, Energy density spectrum, Parsevals Theorem, Power density spectrum, Relation between Auto Correlation function and Energy/Power spectral density function, Relation between Convolution and Correlation. (10) UNIT V THE LAPLACE TRANSFORM: Introduction, The Laplace transform, properties of the region of convergence, properties of the Laplace Transform, Inverse of the Laplace transform, Unilateral & Bilateral Laplace Transforms, Systems characterized by Linear constant coefficient Differential equations & Solution to Linear constant coefficient Differential equations, Analysis and characterization of LTI systems using Laplace Transform. THE Z- TRANSFORM: Introduction, The Z- transform, properties of the region of convergence, properties of the Z transform, Inverse of the Z transform, Unilateral & Bilateral Z transform, Analysis and characterization of LTI systems using Z transforms. (10) (PTO)

27


TEXT BOOKS 1. B.P.Lathi, Signals, Systems and Communications, BSP, 2003 2. Simon Haykin and Barry Van Veen, Signals and Systems, John Wiley, 1999 REFERENCES 1.Hwei P.Hsu, Signals and Systems , Schaums outline series, MC Graw Hill, 1995 2.P.Ramesh Babu, Signals and Systems, Scietech, 2008 3.Bernd Girod, Signals and systems , John Wiley & Sons Ltd, 2001 4.P.Ramakrishna Rao, Signals and systems, Tata Mc Graw Hill, 2008. SIMULATION TEXT BOOKS 1.Steven T Karris, Signals & Systems with Matlab Applications, Second Edition, Orchard publications. 2.Steven T Karris, Signals & Systems with Matlab Computing and Simulink Modeling, Third Edition, Orchard publications. 3.Misza Kalechman, Practical Matlab, CRC press.

28


SIGNALS & SYSTEMS LAB 1.Generation of elementary sequences. 2. Basic operations on sequences 3. Linear Convolution 4 .Auto Correlation 5. Cross correlation 6. Fourier series. 7.Laplace Transform 8.Fourier Transform of Rectangular Pulse 9.Fourier Transform of Triangular Pulse. 10 Z-Transform 11. Up sampling 12. Aliasing due to down sampling SIMULATION TEXT BOOKS 1.Steven T Karris, Signals & Systems with Matlab Applications, Second Edition, Orchard publications. 2.Steven T Karris, Signals & Systems with Matlab Computing and Simulink Modeling, Third Edition, Orchard publications. 3.Misza Kalechman, Practical Matlab, CRC press.

29

NETWORKS AND TRANSMISSION LINES (Prerequisite: Circuit Theory)


L 3 T 1 P 0 UNIT I: NETWORK FUNTIONS & SYNTHESIS: Network functions for the one port and two port, Poles and Zeros, Poles and zeros of network functions, Restrictions on pole and zero locations for driving point functions and transfer functions, Time domain. Positive real functions, Reactance functions, RC and RL functions, Two Port functions, Minimum Phase Network, FOSTER and CAUER methods of Synthesis, Bartlettsbi-section theorem (10) UNIT II: FILTERS: Characteristic impedance of symmetrical networks, Properties of symmetrical networks, Filter fundamentals, Pass and stop bands, Characteristic impedance, Constant K low pass filter, Constant K high pass filter, m - derived T section, m - derived Section, Variation of characteristic impedance over the pass band, Termination with m-derived half section, Band pass filters, Filter circuit design, Filter performance, Composite filters. (10) UNIT: III: ATTENUATORS: Symmetrical and Asymmetrical attenuators, T-type attenuator, -type attenuator, Lattice attenuator, Bridged T attenuator, L-type attenuator. EQUALIZERS: Equalizer configuration, Inverse network, Two terminal equalizer, Constant resistance equalizer, Full series equalizer, Full shunt equalizer, Bridged T equalizer, Lattice equalizer. (10) UNIT IV: TRANSMISSION LINES-I: Types of transmission lines, Applications transmission lines, Equivalent circuit of a pair of transmission lines, Primary constants, Transmission line equations, Secondary constants, Lossless transmission lines, Distortion less line, Phase and Group velocities, loading of lines, Input impedance of transmission line. (8) UNIT V: TRANSMISSION LINES-II (UHF & VHF LINES): RF lines, reflection coefficient, voltage standing wave ratio(VSWR), Lines of different lengths/8, /4, /2 lines and applications, Losses in transmission lines, Smith chart and Stubmatching. (7) TEXT BOOKS: 1. John D Ryder, Networks, Lines And Fields, 2nd Edition, Pearson, 2003. 2. GSN Raju, Electromagnetic field Theory and Transmission Lines, Pearson Education Pvt. Ltd., New Delhi, 2005. REFERENCE BOOKS: 1. A.P.Godse & U.A.Bakshi Network Analysis Technical Publishers. 2. K.M.Soni, Circuits & Systems, Katson Publilishers. 3. P.Ramesh Babu, Network Analysis, Scietech, 2008

30

ANALOG ELECTRONIC CIRCUITS (Prerequisite: Electronic Devices & Circuits)


L 3 T 1 P 2 UNIT I TRANSISTOR AT HIGH FREQUENCES : Hybrid model of transistor, Hybrid conductances, Hybrid capacitances, validity of Hybrid model, variation of Hybrid parameters, CE short circuit current gain, current gain with resistive load, gain bandwidth product, Analysis of CS amplifier, CD amplifiers, FET as V V R. (9) UNIT II MULTISTAGE AMPLIFIERS : Distortion in amplifiers, Frequency response of BJT and FET Amplifier, Band pass of cascaded stage Interaction and Non-interacting, RC coupled amplifier, Effect of emitter bypass capacitor on overall response. (9) UNIT III LARGE SIGNAL AMPLIFIERS : Classification Design and analysis of Direct-coupled class A, Transformer coupled class A, class B, Push-pull, Direct coupled Push-Pull , complementary symmetry push-pull, class C power amplifiers, Harmonic distortion in amplifiers. (9) UNIT IV FEEBACK AMPLIFIERS : Block Diagram, Loop gain, gain with feedback, De-sensitivity of gain, Distortion and cut off frequencies with feedback, Four basic feedback topologies and the type of gain stabilized by each type of feedback. Input and Output resistances with feedback. METHOD IDENTIFYING TOPOLOGY : Voltage series, voltage shunt, current series, current shunt Feed back amplifiers. (9) UNIT V TUNED AMPLIFIERS AND OSCILLATORS: Classification, Analysis of, single tuned amplifier, Tuned primary, Tuned secondary amplifiers. Synchronous and stagger tuned amplifiers, class C amplifier applications, efficiency OSCILLATORS: Barkhausen criterion, RC phase shift oscillator, wein bridge oscillator, Analysis of LC oscillators, Analysis of collpitts, Hartley, crystal oscillator circuits. (9) (PTO)

31


TEXT BOOKS : 1.Jacob Milliman and christos C Halkias, Electronic Devices and Circuits, 2nd Edition, TMH 2002. 2.Jacob Milliman and christos C Halkias, Integrated Electronics : Analog and Digital Circuits and systems, 3rd Edition, TMH, 2003. REFERENCES : 1.Donald L.Schilling and Charles Belove, Electronic Circuits Discrete and Integrated, 3rd Edition, TMH, 2002. 2.Theodore F Bogart Jr. Jeffery S Beasley and Guillermo Rico, Electronic Devices and circuits , 6th Edition, pearson Education 2004 3.Jimmie J cathey, Electronics Devices and Circuits, 2nd Edition, TMH. SIMULATION TEXT BOOK 1.John O Attia, Electronics and Circuit Analysis using Matlab , CRC Press. 2.Steven T Karris, Electronics Devices and Amplifier Circuit with Matlab Applications, Third Edition, Orchard publications.

32


ELECTRONIC CIRCUITS LAB 1 Introduction to Analog Electronics Lab Introduction to Analog Electronics Lab(oral presentation)

2 3 4 5 6 7 8 9 10 11 12 13

Transistor single CE Amplifier RC Coupled Amplifier Complementary push pull Power amplifier Voltage series Feedback amplifier Current Series Feedback amplifier Tuned Amplifier RC Phase shift oscillator Wein bridge Oscillator Collpits Oscillator Hartley Oscillator Crystal oscillator Differential amplifier

SIMULATION TEXT BOOK 1John O Attia, Electronics and Circuit Analysis using Matlab, CRC Press. 2.Steven T Karris, Electronics Devices and Amplifier Circuit with Matlab Applications, Third Edition, Orchard Publications.

33

PROBABILITY THEORY & STOCHASTIC PROCESSES (Prerequisite: Nil)


L 3 T 0 P 0 UNIT -I PROBABILITY : Probability introduced trough sets and relative frequency, Experiments and sample spaces, discrete and continuous sample spaces, Events, Probability Definitions and Axioms, Mathematical Model of Experiments, Probability as a Relative Frequency, Joint Probability, Conditional Probability, Total probability, Bayes Theorem, Independent events : Two events, Multiple events, Combined sample space, Events on the combined space, Probabilities, Permutations, Combinations, Bernoulli trials. (9) UNIT - II: RANDOM VARIABLES & DISTRIBUTIONS :Definition of Random variable, single and multiple Random variables, Probability of Distribution Function, Probability Density, Function (PDF). Conditional and Joint Distribution and Densities, Binomial, Poisson, Uniform, Gaussain, Exponential, Rayleigh Distributions. (9) UNIT III : Expected value of a Random Variable, Functions of Random variables, conditional Expectations, Moments, Central Moments, Moment Generating Function, Transformations of Random variables, Statistical Independence, sum of Two Random Variables, sum of several Random Variables, Central Limit Theorem, (Proof not expected). (9) UNIT IV : RANDOM PROCESSES: Introduction, Mathematical definition of a Random Process, stationary processes, Mean, Correlation, and Covariance Functions, Ergodic Processes. The power spectrum : Properties, Relationship between power spectrum and auto correlation function. (9) UNIT V : NOISE : Noise Sources, Thermal Noise, Noise power spectral density, Noise temperature, Available noise power and available noise power density, available noise bandwidth, Noise figure, Effective input noise temperature, Noise fiture of cascaded systems. (9) (PTO)

34


TEXT BOOKS : 1.Peyton Z.Peebles Jr. Probability, Random Variables and Random Signal Principles , 4th Edition, Tata Mc Graw Hill. 2.Atjamasops Papoulis and S.Unnikrishna Pillai, Probability, Random Variables and stochastic Processes, 4th Edition, Tata Mc Graw Hill. REFERENCE BOOKS : 1.Hwei Hsc, Probability, Random variables and Random variables and Random Process , schaums Outline, Mc Graw Hill. 2.Simon Haykin, communication Systems, 4th Edition, John wiley & Sons. SIMULATION TEXT BOOK : Steven M. Kay, Intutive Probability and Randomk Processing using Mat lab, Springer, 2006.

35

LINEAR CONTROL SYSTEMS (Prerequisite: Circuit Theory)


L 4 T 0 P 0 UNIT I INTRODUCTION Control system terminology, example of simple control systems, open loop and closed loop control systems, effect of feed back on over all gain, stability, sensitivity, external noise, types of feedback control systems-linear time invariant and time varying, non linear. MATHEMATICAL MODELS OF PHYSICAL SYSTEMS: Formulation of differential equations for electrical, transfer functions of open and closed loop systems, poles and zeros, block diagram representation of control systems, block diagram algebra, signal flow graph, Masons gain formula. (9) UNIT II TIME DOMAIN ANALYSIS Standard test signals step, ramp, parabolic and impulse: impulse response function, characteristic polynomial and characteristic equation of feed back systems, transient response of first order and second order systems to standard test signals, time domain specifications, steady state response steady state error and error constants; Introduction to P, PI, PID controllers. (9) UNIT III STABILITY ANALYSIS IN THE COMPLEX PLANE Absolute, relative, conditional, bounded input bounded output, zero input stability, conditions for stability, Routh-Hurwitz criterion, dominant poles of transfer function. ROOT LOCUS TECHNIQUE The root locus concept, basic properties, magnitude and angle conditions, properties and construction of the complex root loci, root sensitivity, (9) UNIT IV FREQUENCY RESPONSE ANALYSIS & DESIGN Introduction, typical frequency response plots of ideal low pass and high pass filters, frequency domain specifications, correlation between time and frequency response, polar (Nyquist) plot, Bode plot, magnitude vs phase plot, Determination of frequency domain specifications and transfer function from Bode diagram; phase margin and gain margin; stability analysis from Bode plots. Nyquist stability criterion, effect of adding poles & zeros to G(s) H(s) on the shape of polar plots, (9) (PTO)

36


UNIT V STATE SPACE ANALYSIS Concept of State, State variables, Phase Variables, Canonical variables, State vector, input vector, output vector, Development of State models for simple systems, Solution of State equation, the state transition matrix and its properties, Characteristic equation and transfer function from state models, Eigen values, Eigen vectors. Diagonalization; transformation to phase variable canonical form, diagonal canonical form, Jordan canonical form. Concepts of controllability and observability. (9) TEXT BOOKS 1.B.C. Kuo, Automatic Control Systems, Pearson Education. 2.IJNagrath & M Gopal, Control Systems: Principles a Design, 2nd Edition New Age International publication. REFERENCE BOOKS 1.Schaum Series, Feedback and Control Systems, TMH 2.Norman S. Nise,CONTROL SYSTEMS ENGINEERING Sixth Edition ,John Wiley & Sons 3. S. M. Tripathi ,Modern Control SystemsAn Introduction INFINITY SCIENCE PRESS LLC 4.Ajit K Mandal, Introduction to Control Engineering, New Age International publication. SIMULATION TEXT BOOK 1.Rao V Dukkipatim, Analysis and design of control systems using MATLAB New age international publishers, 2006. 2.Ashish Tewari, Modern Control Design With MATLAB and SIMULINK, John Wiley & Sons Ltd

37

PULSE CIRCUITS (Prerequisite: Circuit Theory, Electronic Devices & Circuits)


L 3 T 1 P 2 UNIT-1 LINEAR WAVE SHAPING: Different types of waveforms and their characteristics, Response and design of RC High Pass filter and RC Low pass filter to sinusoidal, step, pulse, ramp and exponential inputs, criteria for good differentiation and integration, uncompensated and compensated attenuators and their applications. (9) UNIT-II NON-LINEAR WAVE SHAPING: Analysis and design of Clipping circuits with diode and their transfer characteristics, Multi-diode clipping circuits, Transient and steady state response of a diode clamping circuit, the clamping theorem, practical clamping circuits and their applications. (9) UNIT III SWITCHING CHARACTERISTICS OF DEVICES: Diode as a switch, piecewise linear diode characteristics, Transistor break down voltages, working of Transistor as a switch in CE configuration, Design of transistor as a switch, Transistor switching times, Methods of improving the switching times of transistors. (9) UNIT-IV MULTIVIBRATORS(USING BJTS): The Bistable multivibrator: Fixed bias and Self bias transistor binary stable state voltages and currents, Commutating Capacitors, Symmetrical and unsymmetrical Triggering, Analysis and design of Schmitt trigger circuit, Monostable multivibrator (collector coupled only), analysis and design of Astable multivibrator (collector coupled only) and applications of Multivibrators.FET multi vibrators(circuits & operation) (9) UNIT-V SWEEP CIRCUITS: Voltage sweep waveform, Deviation from linearity expressed as errors, Voltage sweep waveform through Exponential charging, free running mode operation, UJT sweep waveform generator, transistor constant Current sweep circuits, Principles of Boot strap and miller sweep circuits, Current sweep generation, Practical current sweep generator and its applications, need for a trapezoidal waveform for linearity correction. BLOCKING OSCILLATORS: Pulse transformer, Blocking oscillator Base timing, Emitter timing and Astable blocking oscillator and their applications. (9) TEXT BOOKS: 1.J Millman and Taub, Pulse, Digital and Switching Circuits, TMH, 2003. 2.David A Bell, Solid State Pulse Circuits, 4th Ed, PHI, REFERENCES: 1.MS Prakash Rao, Pulse and Digital Circuits, TMH, 2006. 2.Anand Kumar, Pulse & Digital Circuits, PHI 3.R Venkataraman, Pulse, Digital circuits and Computer fundamentals, PHI

38

PULSE LAB
1. Design 2. Design 3. Design 4. Design 5. Design 6. Design 7. Design 8. Design 9. Design 10. Design 11. Design 12. Design and and and and and and and and and and and and analysis analysis analysis analysis analysis analysis analysis analysis analysis analysis analysis analysis of RC low pass filter & integrator of RC high pass filter & differentiator. of clipping circuits. of clamping circuits of transistor as a switch of Astable Multivibrator using transistors. of Monostable Multivibrator using transistors. of Schmitt trigger (using transistors) UJT Sweep generator. of Constant current, voltage sweep generator. of Miller time base generator. of Boot Strap time base generator

Simulation with NI Multisim 10.01 1.Clamper 2.Clipper 3.Diode Voltage Doublers

39

ANALOG COMMUNICATIONS

(Prerequisite: Signals & Systems)


L 3 T 1 P 2 UNIT -1 AMPLITUDE MODULATION: Time domain description, Frequency domain description, Single tone modulation, Generation of AM wave, Square law modulator, Switching Modulator, Detection of AM waves, Square law detector, Envelope detector, DSB-SC Modulation, Timedomain and frequency-domain descriptions of DSB-SC, Generation of DSB-SC: Balanced modulator, Coherent detection of DSB-SC modulated waves. (10) UNIT II SSB AND VSB MODULATIONS: Band-pass transmission, Complex low-pass representation of Narrow-band signals, Concepts of pre-envelope, Complex envelope and Natural envelope, Equivalent low-pass transmission model, Single side band modulation: Frequency domain description, Generation of SSB-SC wave, Frequency-discrimination method, Phase discrimination method, Demodulation of SSB-SC waves, Vestigial side-band modulation, Frequency domain description, Generation of VSB modulated wave, Envelope detection of VSB wave plus carrier, Comparison of AM techniques (10) UNIT III ANGLE MODULATION: Introduction to Angle modulation, Relation between frequency Modulation and phase modulation, Single tone frequency modulation, Spectrum analysis of sinusoidal FM wave, Narrow Band FM and Wide Band FM, Transmission bandwidth of FM waves, Carsons Rule, Generation of FM waves, Indirect FM (Armstrong Method), Direct FM, Demodulation of FM waves, Balanced frequency discriminator, balanced phase discriminator, limiter, radio detector, introduction to PLL and its applications (10) UNIT IV AM&FM TRANSMITTERS: Frequency allocation for radio communication systems, Block diagrams and functions of radio receivers AM&FM RECEIVERS: TRF and Super heterodyne receivers, RF, mixer, IF stages. FM receivers: Slope detector, balanced slope detector, Foster-Seeley discriminator, PLL FM demodulator. (9) UNIT -V NOISE IN ANALOG MODULATION: AM/FM Receiver model, Signal to noise ratios for coherent reception. DSB-SC receiver, SSB-SC receiver, Noise in AM receivers using envelope detection., Noise in FM reception (6) (PTO)

40


TEXT BOOKS: 1.Simon Haykin, Introduction to Analog and Digital Communication Systems, John Wiley and Sons, 3rd Edition, 2001 2.Taub and Schilling, Principles of Communication Systems, TMH, Second Edition, 1986 REFERENCES 1.Leon W Couch II, Digital and Analog Communication Systems, Pearson Education, 2004 2.B.P Lathi, Modern Analog and Digital Communication, 3rd edition, Oxford Press. 3.John G. Proakis& Masoud Salehi, Communications System Engineering, 2nd Edition, Pearson Education. 4. G. Kennedy and B Davis, Electronics & Communication Systems, TMH 2004.

41

ANALOG COMMUNICATION LAB


1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. Amplitude modulation demodulation using transistors PLL characteristics (locking range capture range) Frequency modulation and demodulation using PLL Pre-emphasis and De-emphasis Single Transistor mixer with band stop and Low pass filters Pulse amplitude modulation (PAM) verification of sampling theorem Pulse width modulation pulse position modulation and their Demodulation Amplitude Modulation Double side Band Modulation Single side Band Modulation Frequency and Phase Modulations Simulation of Flat topped PAM spectrum 1. Audio Power Amplifiers 2. FM Radio 3. Modem SIMULATION TEXT BOOKS 1.John G. Proakis& Masoud Salehi, Contemporary Communications Systems using Matlab, PWS publishing. 2.Paul Tobin, Pspice for Analog Communications, Morgan & Clay Pool, 2007.

42

ELECTRONIC MEASUREMENTS & INSTRUMENTAION (Prerequisite: Circuit Theory, Electronic Devices & Circuits)
L 3 T 0 P 0 UNIT I A.C & D C MEASUREMENTS & ERRORS Definitions, Errors in Measurement, DC Ammeters, DC voltmeters, Ohmmeter Series type, shunt type, calibration. Multimeter as DC voltmeter, AC voltmeter, DC Ammeter and Ohmmeter, AC voltmeter using rectifier, Digital voltmeter Ramp, integrating continuous balance type(Basic Concept) (10) UNIT II BRIDGES DC & AC bridges: Wheat stone, Maxwells, Kelvin, Hay, Schering and weinbridge, Precautions in using bridges, Q meter. (8) UNIT III OSCILLOSCOPES CRO; Block Diagram; CRT features, difference betweenCRTs;X-Ray Tube,&T.V Tube.Digital Storage oscilloscope, Lissajous method of frequency measurement & vertical amplifiers Delay line triggered sweep CRO, Dual trace oscilloscope, Compensating Attenuators probes for CROActive and passive attenuator type. (9) UNIT IV: WAVE GENERATORS Signal Sources: Fixed and variable AF oscillator, Function generator-sine, square pulse and triangular waves , Time and Frequency counter block diagram, Fourier Transform, FFT spectrum analysizer and its applications (9) UNIT V TRANSDUCERS: Active & Passive Transducer: Resistance, Capacitance, Inductance, Strain gauges, Strain Gauges Force Transducer LVDT, Piezo electric transducers, Resistance Thermometer, Thermo couplers, Thermistor (PTC & NTC),Measurement of displacement and velocity (9) TEXT BOOKS 1. Electronic Instrumentation & Measurements - David A Bell, PH1, 2nd Edition, 2003. 2.Electronic Instrumentation, Second edition H. S Kalsi, Tata Mc Graw-Hill, 2004. 3.Modern Electronic Instrumentation & Measurement Techniques A.D. Hell Frick and W.D Cooper, PH1, 5th 2002. REFERENCES 1.Electrical and Electronic measurement techniques by A.K Sahaney 2.Measuring systems, Applications & Design E.O. Doebelin, Mc Graw Hill, 4th Ed. 1990.

43

Annexure-V

SYLLABII FOR THIRD & FOURTH YEAR COURSE YEAR: 2010-2014 & 2009-2013

44

THIRD YEAR (FIRST SEMESTER)

45

DIGITAL SIGNAL PROCESSING (Prerequisite: Signals & Systems)


L 3 T 1 P 2 UNIT I THE DISCRETE TIME FOURIER TRANSFORM: Introduction, Representation of Aperiodic signals, The Fourier transform for periodic signals, properties of the DTFT, The convolution property, The multiplication property, Duality, systems characterized by linear constant coefficient Difference Equations. (9) UNIT II DFT & FFT : Discrete Fourier series, Properties of DFS, Discrete Fourier Transform, Properties of DFT, Linear convolution using DFT, computation for evaluating DFT , Decimation in time FFT, Decimation in frequency FFT, computation of inverse DFT (9) UNIT III IIR FILTER: Introduction, properties of IIR filters, Design of Digital Butterworth and chebyshev filters using bilinear transformation, Impulse invariance transformation methods, Design of digital filters using frequency transform method. (9) UNIT IV FIR FILTERS: Introduction, characteristics of linear phase FIR filters, frequency response, Designing FIR filters using Windowing methods, comparison of IIR & FIR filters. Direct form I, Direct Form II, Canonic Parallel, Cascade forms, State Space Realization of Digital filters, Lattice Realization (9) UNIT V REALIZATION OF DIGITAL FILTERS: Applications of ZTransforms, Solution of Difference Equations of Digital Filters, System Function, Stability Criterion, Frequency Response of Stable Systems, Realization of Digital Filters Direct, Canonic, Cascade and Parallel Forms. (9) TEXTBOOKS 1.John G Proakis, Dimtris G Manolakis, Digital Signal Processing: Principles, Algonithms and Applications, Pearson Education, 2000. 2. Ludeman Fundamentals of Digital Signal Processing, Wiley India Pvt. Ltd REFERENCE BOOKS 1.Alan V Oppenherim, Ronald W Schafer, John R Back, Discrete Time Signal Processing, Pearson Education, 2nd Edition 2000. 2.Emmanuel C Ifechor, Digital Signal Processing, Pearson Education, 2nd Edition. 3.Ramesh Babu, Digital signal Processing, Scitech publication, 3rd edition, 2008 4.Andreas Antonious, Digital Signal Processing , Signals, systems and filters Mc-Graw Hill, 2006 SIMULATION TEXT BOOKS 1.Vinay . Ingle, John G Proakis, Digital Signal Processing Using Matlab, PWS Publishing. 2.Paul Tobin, Pspice for Digital Signal Processing, Morgan & ClayPool, 2007. 3.Nasser kehtarnavaz, Namjin kim,Digital Signal Processing System Level Design using Labview, Elsevier, 2005.

46


DIGITAL SIGNAL PROCESSING LAB

The Programs shall be implemented in Software (Using MATLAB / Lab view / C Programming/ Equivalent) and Hardware (Using TI / Analog devices / Motorola / Equivalent DSP processors). 1. Evaluation of DFT of 8 & 16 samples sequence using DIT/DIF algorithm 2. Evaluation of IDFT of 8 & 16 samples sequence using DIT / DIF algorithm 3. Design of IIR Butterworth filter using impulse invariant method 4. Design of IIR Butterworth filter using Bilinear Transformation 5. Design of IIR Chebysheb-1 Bilinear transformation 6. Design of Elliptic filter using Bilinear transformation 7. Design of FIR filter using different Windowing Techniques 8. DFT analysis of Noise Corrupted Signal. 9. Circular convolution 10. Circular shift of a sequence 11 Realization of cascade form structure 12 Realization of parallel form SIMULATION TEXT BOOKS 1.Vinay . Ingle, John G Proakis, Digital Signal Processing Using Matlab, PWS Publishing., wiley India Pvt. Ltd 2.Paul Tobin, Pspice for Digital Signal Processing, Morgan & Clay Pool, 2007. 3.Nasser kehtarnavaz, Namjin kim,Digital Signal Processing System Level Design using Labview, Elsevier, 2005.

47

LINEAR ICS AND APPLICATIONS (Prerequisite: Analog Electronics)


L 3 T 1 P 2 UNIT I OPERATIONAL AMPLIFIERS: Differential amplifier: Introduction, cascade Differential amplifier stages, level translators. Block diagram of Operational Amplifier, Ideal and practical Op-amp specifications, DC & AC characteristics, 741 Op-amp and its features, FET input.Op-Amp parameters, Input offset voltage and current, Input bias current, CMRR Frequency compensation, Slew rate and methods of improving slew rate. Negative feedback concept in Op Amps- Voltage series and shunt feedback amplifiers and their analysis, Cascaded M Op-Amps. (9) UNIT II OP-AMP APPLICATIONS: Linear Applications: The summing amplifier, Difference and instrumentation amplifiers, Voltage to current and current to voltage conversion, Differentiators and integrators. Non Linear Applications: Comparators: Introduction, Zero-crossing detector, Schmitt Trigger, Comparator characteristics, Limitations of Op-Amps as comparators, voltage limiters, Window detector, Log and Antilog amplifiers, Precision rectifiers. (9) UNIT III CONVERTERS Sample and hold circuit. D/A conversion fundamentals, Weighted resistor summing D/A Converter, R-2R Ladder D/A converter and IC 1408 DAC. A/D conversion:, Parallel A/D converters, Ramp converters, Successive Approximation A/D converters, Dual slope converters, Tracking A/D converters, DAC & ADC Specifications. (9) UNIT IV APPLICATIONS OF SPECIAL ICS The 555 timer, 555 as Monostable and Astable Multivibrator and applications. Phase Locked Loops, Operating principles, (9) UNIT IV Monolithic PLLs, 565 PLL applications- frequency multiplication, frequency translation, A 723 Voltage Regulator and its design, Three terminal regulators (9) TEXT BOOKS 1.Rama Kant A. Gayakwad ,Op-Amps and Linear Integrated Circuits, PHI, 1987 2.D.Roy and Chowdhury, shail B.Jain, Linear Integrated Circuits, 2nd edition, New Age International,2003. REFERENCES 1.S.Shalivahanan, V.S.Kanchana Bhaskaran ,Linear Integrated Circuits, Tata Mc GrawHill, 2008 2.RF Coughlin and Fredrick Driscoll, Operational amplifiers and Integrated Circuits, Pearson education, 6th edition. 3.William D Stanely, Operational amplifiers and Integrated Circuits, Pearson Education, 2004.

48


LICA LAB PART A 1) Measurement of Op-Amp parameters 2) Applications of Op-Amp (Adder, Subtractor, adder-subtractor, average amplifier, Current to voltage converter) 3) Integrator and Differentiator using Op-Amp 4) Instrumentation Amplifier using Op-Amp 5) Wave form generation using Op-Amp (square, triangular) 6) Design of Active filters (LPF,HPF) 7) Design and verification of Schmitt trigger using Op-Amp 8) Study of Op-Amp comparator 9) Study of D/A converter using R-2R ladder 10) Study of Op-Amp logarithmic amplifier 11) Applications of 555 timer (Astable, Monostable multivibrator) 12) Study of PLL using 565, study of capture range, lock range, VCO 13) Design of IC regulator using 723 14) Frequency modulation 566 PART B DESIGN AND SIMULATION USING NI MULTISIM11/ORCAD PSPICE 16.3 SIMULATION SOFTWARE. 1.OpAmp Inverting Amplifier 2.OpAmp Non-Inverting Amplifier 3.OpAmp Comparator 4.Schmitt Trigger 5.Inverting Adder 6.Non-Inverting Adder 7.OpAmp Differentiator 8.OpAmp Integrator 9. OpAmp Subtractor 10.OpAmp Triangular wave generator 11. First Order LPF/HPF 12. Third Order LPF 13.Third Order HPF 14.Butterworth Second order HPF Note: Five Experiments must be chosen from each Part

49

MICROPROCESSORS & INTERFACING (Prerequisite: Digital Electronics)


L 3 T 0 P 2

UNIT-I 8086 ARCHITECTURE: Introduction to 8085 microprocessor, 8086 Microprocessor internal architecture, Register organization, Memory segmentation, system bus structure, pipelining process 8086 pin diagram, Minimum & maximum mode of operation, Timing diagrams, Stack structure and subroutines, procedures and macros, 8086 interrupts & interrupt responses. (9) UNIT-II INSTRUCTION SET & ASSEMBLY LANGUAGE PROGRAMMING: Addressing modes of 8086, Instruction set descriptions, Assembler directives; Assembly Language programs involving Logical branch & call instructions, sorting, and evaluation of arithmetic expressions, String manipulation instructions, programming using procedures and macros, Timing and delays. (9) UNIT-III PROGRAMMABLE INTERFACING USING 8086: Addressing memory & ports, parallel data transfer schemes, programmable parallel I/O 8255, modes of 8255. Digital interfacing: Interfacing p to 7-segment display, keyboard, stepper motor and display. Analog interfacing: D/A and A/D converter interfacing and applications (9) UNIT-IV PERIPHERAL DEVICES & INTERFACING: 8237 programmable DMA, 8253 programmable interval timer, 8251A programmable communication, 8259A programmable Interrupt controller,RS-232C Serial data standard. (9) UNIT-V INTRODUCTION TO MICROCONTROLLERS: Microcontroller families, Architecture of 8051 micro controller, Register organization. Addressing modes, Instruction set, Interrupts, Timer/counter, Serial communication, simple programs on 8051 microcontroller TEXT BOOKS: 1.D.V.Hall Microprocessor and Interfacing, 2nd Edition Tata McGraw Hill Publishing Company, 2006. 2.Mazidi & Mc kinley The 8051 micro controller and embedded systems: using assembles and c, 2nd edition. REFERENCE BOOKS: 1.Yu.Cheng Liu & Glenn A Gibson, Microcomputer System, 8086/8088 Family, 2nd Edition, PHI, 1986. 2.Ramesh.S.GaonkarMicroprocessor Architecture,-Programming & applications with 8085/8080-Penram International-1997. 3.Rafiquzzaman M., "Microprocessor Theory And Applications-Intel And Motorola", PHI, 4. A.K. Ray & K. M Bhurchavdi, Advanced Microprocessors & peripherals, Tata Mc Graw Hill Publishing Company 2002.

50

LIST OF EXPERIMENTS:
The following Programs/Experiments are to be written for the assembler and execute the same with the 8086/8051 Kits 1 2 3 4 5 6 7 8 9 Programs on Data Transfer in forward and reverse direction using 8086 Programs on Arithmetic Instructions with 16-bit data using 8086 Programs on logical Instructions using 8086 Programs on String manipulation using 8086 Programs on Sorting and Searching an array using 8086 Programs on Procedures and Macros for BCD to Binary conversion, factorial using 8086 Programs on Interrupts for using 8086 Programs on Arithmetic, Logic& Bit manipulation Instructions 8051 Interfacing A/D & D/A to 8086/8051

10 Interfacing of Binary Counters8086/8051 11 Interfacing Stepper Motor 8086/8051 12 Interfacing 7-Segment Display8086/8051 13 LCD Interfacing to 8086/8051 14 Keyboard Interface 8086/8051

15 Data Transfer between two PCs using RS.232 C Serial Port


Note: Minimum of 10 programs to be conducted

51

THIRD YEAR (SECOND SEMESTER)

52

ANTENNAS AND WAVE PROPAGATION (Prerequisite: Electromagnetic Fields & Waves)


L 3 T 0 P 0 UNIT 1 RADIATION FIELDS OF WIRE ANTENNAS Concept of vector potential, Modification for time varying, retarded case. Power radiated and radiation resistance of current element. Radiation resistance of elementary dipole with linear current distribution, Radiation from Half-wave Dipole & Quarter-wave Monopole, Radiation Fields, Near and Far fields. (9) UNIT II ANTENNA FUNDAMENTALS & LOOP ANTENNAS Definitions: Radiation intensity, Directivity, Beam width, Gain and radiation resistance of current element, Half wave dipole and folded dipole, Main lobe, side lobe level, Null depth, Front to back Ratio, Figure of Merit, Polarization, Reciprocity Principle, Antenna efficiency, Effective length and Effective Area, Relation between gain, Effective length and Radiation Resistance, Relation between Effective Area and Directivity and related problems. (9) UNIT III ANTENNA ARRAYS AND ANTENNA TYPES Antenna Arrays: Expression for Electric field from two or three element Arrays, Uniform linear arrays BSA, EFA, Directivity of BSA, EFA, Related problems, Principle of Pattern multiplication, Binomial Arrays. Antenna Types: Yagi Uda Antenna, V and Rhombic Antennas, Turnstile antenna, Horn antenna, Slot antenna, Micro strip antenna. (9) UNIT IV REFLECTOR AND LENS ANTENNAS Focusing in paraboloid Reflectors Geometry, Uniform and tapered illumination, Types of feeds, Importance of F/D ratio, Cassegrain feed system, Focusing in a lens Antenna Dielectric lenses & metal plane Lens Antenna, Lumeberg lens, Plane Reflector, Corner reflector. (9) UNIT V WAVE PROPAGATION The three basic types of propagation; ground wave, space wave & sky wave propagation. Ground wave propagation: Attenuation characteristics for Ground wave Propagation, Summerfield analysis of Ground wave, Losses due to earth constants. Space wave propagation: Effect of curvature of an Ideal earth, Atmospheric effects in Space-wave propagation, Radio-Horizon, Duct Propagation, Maximum range of distance for LOS. Sky Wave Propagation: Structure of Ionospheric Propagation, Gyro frequency, Refraction and Reflection of sky waves by Ionosphere, Critical frequency, Skip distance, Maximum unable Frequency, Virtual height. (9) (PT0)

53


TEXT BOOKS 1.C.A Balanis, Antenna Theory, John Wiley & Sons, 2nd ed., 2001. 2.E.C. Jordan and K.G. Balamain, Electromagnetic Waves and Radiating Systems. 2nd ed., Pearson Education, 2000. 3.G S N Raju, Antenna and Wave Propagation, Pearson Education. REFERENCE BOOKS 1.K D Prasad, Satya Prakashan, Antennas & Wave Propagation, Tech India Publications, New Delhi, 2001 2.John D Kraus, Antennas. 2nd ed., Mc Graw-Hill,1988 3.F.E.Terman , Radio Engineering, MC Graw Hill SIMULATION BOOK 1.Sophocles J. Orfanidis, Electromagnetic Waves and Antenna

54

DIGITAL COMMUNICATIONS (Prerequisite: Analog Communications)


L 3 T 0 P 2 UNIT-1 ELEMENTS OF DIGITAL COMMUNICATION SYSTEMS: Model of Digital Communication Systems, Digital Representation of Analog Signal, Certain Issues in Digital Transmission, Advantages of Digital Communication Systems, Bandwidth-S/N Tradeoff, Hartley Shanon Law, Sampling Theorem PULSE MODULATION: Types of Pulse Modulation, Generation and Demodulation of PAM, PWM and PPM; TDM, FDM, comparison of pulse modulation techniques, Quantization Process, Pulse Code Modulation: Encoding, Regeneration Decoding, DELTA MODULATION: Its draw backs, Adaptive delta modulation, comparison of PCM and DM systems, noise in PCM and DM system, Differential Pulse Code Modulation. (10) UNIT - II BASE BAND PULSE TRANSMISSION: Matched filter, Properties, Inter-symbol interference, Correlative level coding, Ideal Nyquist channel, Raised cosine spectrum, Duo binary signaling, Modified Duo binary signaling. (9) UNIT III OPTIMAL RECEPTION OF DIGITAL SIGNAL: Pulse Shaping for Optimum Transmissions, A Baseband Signal Receiver, Probability of Error, Optimum Receiver, Optimal of Coherent Reception, Signal Space Representation and Probability of Error, Eye Diagrams, Cross Talk. (9) UNIT-IV DIGITAL MODULATION TECHNIQUES: Introduction, ASK, FSK, PSK, DPSK, QPSK, M-ary PSK, ASK, FSK (8) UNIT-V DIGITAL PASSBAND TRANSMISSION: Introduction, Pass band transmission model, Gram Schmidt Orthogonalization procedure, Geometric interpretation of signals, Coherent detection of signals in noise, Probability of error, Correlation receiver, detection ,Coherent BPSK, QPSK, BFSK, Non Coherent BFSK, DPSK. (9) TEXT BOOKS 1.Simon Haykin, Communication Systems, John Wiley & Sons, 4th Edition, 2001 REFERENCES 1. Leon W Couch II, Digital and Analog Communication Systems, Pearson, 2004 2. B.P Lathi, Modern Analog and Digital Communication, 3rd edition, Oxford Press. 3. John G. Proakis & Masoud Salehi, Communications System Engineering, 2nd edition, Pearson education SIMULATION BOOK 1.Paul Tobin, Pspice for Digital Communications Engineering, Morgan & Clay Pool, 2007. 2.Cory L Clark, Labview Digital Signal Processing and Digital Communications, McGraw Hill.

55


DIGITAL COMMUNICATION LAB PART A 1. 2. 3. 4. 5. 6. HARDWARE

Carrier modulation techniques. (ASK, PSK, FSK) Analog sampling and reconstruction techniques. Generation and detection of TDM. Generation and Detection of PCM Pulse modulation and demodulation techniques Delta Modulation and Demodulation. SIMULATION USING MATLAB 2010b/NI LABVIEW 2010/VisSim Comm

PART B

1. PCM with sampling, Uniform quantization & Uni-polar RZ encoding. 2. PCM with sampling, A - law encoding. 3. PCM with sampling, u -law encoding. 4. S/N for PCM u -law encoding. 5. Simulation of delta modulation. 6. Linear delta modulation for Sine wave. 7. Simulation of adaptive Delta modulation. 8. Simulation of sigma Delta modulation. 9. Simulation of PSK/FSK 10. Simulation of DPCM. Note: Five Experiments must be chosen from each Part SIMULATION BOOKS 1.Paul Tobin, Pspice for Digital Communications Engineering, Morgan & Clay Pool, 2007. 2.Cory L Clark, Labview Digital Signal Processing and Digital Communications, McGraw Hill. 3.John G. Proakis & Masoud Salehi, Contemporary Communications Systems using Matlab, PWS publishing.

56

MINI PROJECT
L 0 T 0 P 4

57

FOURTH YEAR

58

MEDICAL ELECTRONICS (Elective-1) (Prerequisite: Analog Electronics & Electronic Instrumentation)


L 4 T 0 P 0

UNIT I ELECTRO-PHYSIOLOGY AND BIOPOTENTIAL RECORDING The origin of Biopotentials; biopotential electrodes; biological amplifiers; ECG, EEG, EMG, PCG, EOG lead systems and recording methods, typical waveforms and signal characteristics. (9) UNIT II BIO-CHEMICAL AND NON ELECTRICAL PARAMETER MEASUREMENTS pH, pO2, pCO2, pHCO3, Electrophoresis, colorimeter, photometer, Auto analyzer, Blood flow meter, cardiac output, respiratory measurement, Blood pressure, temperature, pulse, Blood cell counters, differential count. (9) UNIT III ASSIST DEVICES Cardiac pacemakers, DC Debrillators, Dialyser, Heart-Lung machine, Hearing aids. (9) UNIT IV PHYSICAL MEDICINE AND BIO-TELEMETRY Diathermies Short-wave, ultrasonic and microwave type and their applications, medical stimulator, Telemetry principles, frequency selection, Bio-telemetry, radio-pill and telestimulation, electrical safety. (9) UNIT V RECENT TRENDS IN MEDICAL INSTRUMENTATION Thermograph, endoscopy unit, Laser in medicine, Surgical diathermy, cryogenic application, introduction to telemedicine. (9) TEXT BOOKS 1.John G.Webster, Medical Instrumentation Application and Design, John Wiley and Sons, (Asia) Pvt.Ltd., 2004. 2.Lesile Cromwell, Biomedical instrumentation and measurement, Prentice Hall of India, New Delhi, 2007. REFERENCES 1.Khandpur, R.S. Handbook of Biomedical Instrumentation, Tata McGraw-Hill, New Delhi, Second edition, 2003. 2.Joseph.J, Carr and John M.Brown, Introduction to Biomedical equipment technology, Pearson Education Inc.2004.

59

EMI AND EMC (Elective-2) (Prerequisite: Electromagnetic Fields & Waves)


L 4 T 0 P 0 UNIT I EMI ENVIRONMENT: Sources of EMI, Conducted and Radiated EMI, Transient EMI, EMI EMC Definitions and Units of Parameters. EMI Specifications/Standards/Limits: Units of specifications, Civilian Standards and Military Standards (9) UNIT II EMI CONTROL TECHNIQUES: Shielding, Filtering, Grounding, Bonding, Isolation Transformer, Transient Suppressors, Cable Routing, Signal control, Component Selection and mounting. (9) UNIT III EMC DESIGN GUIDELINES AND CHOICE OF PASSIVE COMPONENTS FOR EMC: EMC Design Guidelines: Typical Sub systems in Electronic Equipment, Transmitters, Receivers, Antenna Systems, Power Supplies, Motors, Control Devices, Digital Circuits, Digital Computers. (9) UNIT-IV CHOICE OF PASSIVE COMPONENTS FOR EMC : Capacitors, Inductors, Transformers, Resistors, Conductors, Ferrite Beads, Coaxial Connectors, Conductive Gaskets. (9) UNIT V EMI MEASUREMENTS: EMI Test Instrument / Systems, EMI Test, EMI Shielded Chamber, Open Area Test Site, TEM cell Antennas (9) TEXT BOOKS: 1.V P Kodali, Engineering EMC Principles, Measurements and Technologies, IEEE press, 2.Bernard Kieser, Principles of Electromagnetic Compatibility, Artech House 3rd Edition,

60

MICROWAVE ENGINEERING (Prerequisite: Electromagnetic Fields & Waves)


L T P 3 1 2 UNIT I INTRODUCTION: Limitations of Conventional tubes at Microwave frequencies, Klystron: Velocity modulation process. Bunching process, output power and beam loading, Multicavity Klystron amplifiers: beam current density, output current and output power of two cavity Klystron, reflex Klystron, Velocity modulation, Power output and efficiency. (10) UNIT II MICROWAVE TUBES: Traveling Wave tubes, Microwave crossed field tubes: Cylindrical Magnetron, CFA and BWO (Qualitative analysis only). (8) UNIT III MICROWAVE PASSIVE COMPONENTS: Wave guide bends and twists, wave guide Tees, Tee junction parameters, fields and currents in tee junctions, theorems on Tee junctions, shunt or H-plane tee, series of E-plane Tee, Equivalent circuit of magic tee, applications of magic tee. Directional couplers, coupler parameters, directional couplers in use, applications of directional couplers, Ferrite Devices, Faraday Rotation Isolator, Circulator, Gyrator (elementary principles only), Attenuators, microwave resonators, rectangular and cylindrical cavity resonators. (9) UNIT-1V MICROWAVE SOLID-STATE DEVICES: Microwave tunnel diode, Avalanche transit time diodes: Read diode, IMPATT diode, TRAPATT diode, Gunn Effect diodes and modes of operation, BARITT Diode, Strip and Microstrip (8) UNIT-V MICROWAVE MEASUREMENTS: Scattering MatrixSignificance, Formulation and Properties, S Matrix Calculations for 2 port Junctions, E plane and H plane Tees, Magic Tee, Circulator and Isolator, Illustrative Problems. Description of Microwave Bench Different Blocks and their Features, Errors and Precautions, Microwave Power Measurement, Bolometers Measurement of Attenuation, Frequency Standing Wave Measurements Measurement of Low and High VSWR, Cavity Q, Impedance Measurements. (10) TEXT BOOKS 1.GSN Raju, Microwave Engineering, IK International Publications 2.Samuel Y Liao, Microwave Devices and Circuits Pearson Education REFERENCE BOOK 1. ML Sisodia & GS Raghuvamshi, Microwave Circuits and Passive Devices. 2. RE Collin, Foundations for Microwave Engineering, IEEE Press Series, 2003 3. Mathew. R. Radmanesh, RF & Microwave Engineering,PHI-2001 4. David M. Pozar, Microwave and RF Design of Wireless systems , John Willey & Sons, 2001. 5.PeterA.Rizzi,Microwave Engineering Passive Circuits,PHI

61

MICROWAVE LAB
Microwave Experiments: 1. Measurement of Gain of an Waveguide Horn Antenna 2. Measurement of low VSWR using Microwave Bench. 3. Measurement of high VSWR using Microwave Bench 4. Verification of the Expression 1 o 1 g 1 c 5. Measurements of unknown impedance using Microwave Bench. 6. Determination of radiation pattern of an waveguide horn antenna 7.Determination of a given Directional Coupler characteristics
2 2 2

62

OPTICAL COMMUNICATIONS (Prerequisite: Electromagnetic Fields & Waves)


L 3 T 1 P 2

UNIT I INTRODUCTION: Historical development, Elements of an Optical Fiber transmission link. Advantages of Optical Fibers, Applications of Optical Fiber, Ray Theory Transmission, Total internal reflection, Acceptance angle, Critical Angle, Numerical Aperture, Fiber types: Step Index, Graded Index: Modes of Propagation: single mode and multimode fibers, fiber materials, Fiber Fabrication (9) UNIT II TRANSMISSION CHARACTERISTICS OF OPTICAL FIBERS: Attenuation, absorption, scattering and bending losses in fibers, Dispersion: Inter-model and intra-model. FIBER OPTIC COMPONENTS. splicing, connectors, connection losses, Fiber Optic couplers, Fiber Optic Switches (9) UNIT III OPTICAL SOURCES AND DETECTORS LEDS: Principles of Light Emission, Light Emitting Diodes: Simple structure and characteristics LASER: Principle, Simple structures and its characteristics. DETECTORS: Principles of photo detection. PIN Photodiode, Avalanche Photodiode and their characteristics, Noise sources (9) UNIT IV OPTICAL FIBER SYSTEMS: Optical Transmitter Circuits, Optical Receiver Circuit, Digital System planning considerations, Advanced Multiplexing Strategies, Optical power budgeting. (9) UNIT V OPTICAL FIBER MEASUREMENTS: Numerical Aperture, attenuation, refractive index, cutback and OTDR. ADVANCED OPTICAL SYSTEMS AND FIBER MEASUREMENTS: Fiber Optic LANs, FDDI, Feature Concepts, (9) TEXT BOOKS: 1. Keiser G, Optical Fiber Communication, McGraw-Hill 2.John M Senior, Optical Fiber Communications: Principles and Practice, 2nd Edition, PHI. REFERENCE BOOKS 1.J C Palais , Fiber Optic Communications, 2nd Edition, PHI 2.W. Tomasi , Advanced Electronic Communication Systems, PHI

63

OPTICAL COMMUNICATION LAB


Fiber Optics Experiments: 1. Fiber Optics Cable: Numerical Aperture Measurements 2. Measurement of Coupling and Bending Losses of a Fiber 3. Analog Link Setup using a Fiber 4. Digital Link Setup using a Fiber 5. Setup of Time Division Multiplexing using Fiber Optics 6. Characteristics of Light Sources / Detectors 7. Study of pulse amplitude modulation using fiber optics. 8. Study of pulse width modulation using fiber optics 9.Study of Pulse Position Modulation using Fiber optics

64

Annexure-VI

SYLLABII FOR DIFFERENT ELECTIVES SPECIALIZATION STREAMS

65

SPECIALIZATION IN COMMUNICATION SYSTEMS

66

TELEVISION AND VIDEO ENGINEERING (Prerequisite: Analog Communications)


L 4 T 0 P 0 UNITI INTRODUCTION TO MONOCHROME TELEVISION: Basic Television system, Vision characteristic Geometric form and aspect ratio, image continuity, progressive and Interlaced scanning systems, composite video signal, Horizontal and vertical sync, Television standards. (9) UNIT II TV SIGNAL TRANSMISSION AND PROPAGATION : Broad Cost T V Transmitter, T V Receiver, Picture signal transmission, positive and negative modulation, high level and low level VSB transmission, sound signal transmission, standard channel BW, TV signal propagation, interference, TV broadcast channels, TV transmission Antennas. (9) UNITIII TV CAMERAS &PICTURE TUBES: Television Cameras: Image Orthicon, Vidicon, Plumbicon, Silicon diode array Vidicon, Color TV Camera, Comparison of TV Camera tubes.Color TV Picture tube characteristics and specifications, Trigun, PIL and Trinitron (9) UNIT IV COLOR TELEVISION: Compatibility, Color fundamentals, Perception of brightness and colors, color mixings, luminance signal, Color TV transmission and Reception ,color difference signals, encoding of color difference signals, formation of chrominance signals, NTSC and PAL systems, (9) UNIT V T.V RECEIVER SUBSYSTEMS: RF tuner, IF subsystem, video amplifier, AGC and noise cancellation, sound section, sync separation and processing, AFC, deflection systems, scanning sections, power supply. Modern TV transmission Schemes: cable TV, DIGITAL TV, Direct to Home Satellite TV. (9) TEXT BOOKS: 1. Modern Television Practice Principles, Technology and Service R.R. Gulati, New Age InternationalPublication,2002. 2. Monochrome and Colour TV R.R. Gulati, New Age International Publication, 2002. REFERENCES: 1 S.P. Bali ,Colour Television Theory and PracticeTMH, 1994. 2 A.M. Dhake ,Television and Video Engineering2nd Edition.

67

INFORMATION THEORY & CODING (Prerequisite: Probability Theory & Stochastic Processes)
L 3 T 0 P 0 UNIT - 1 INTRODUCTION, Measure of information, Average information content of symbols in long independent sequences, Average information content of symbols in long dependent sequences. Mark-off statistical model for information source, Entropy and information rate of mark-off source. (9) UNIT - 2 ENCODING OF THE SOURCE OUTPUT, Shannons encoding algorithm. Communication Channels, Discrete communication channels, Continuous channels. Source coding theorem, Huffman coding, Discrete memory less Channels, Mutual information, Channel Capacity (9) UNIT - 3 CHANNEL CODING THEOREM, Differential entropy and mutual information for continuous ensembles, Channel capacity Theorem, Introduction, Types of errors, examples, Types of codes Linear Block Codes: Matrix description, Error detection and correction, Standard arrays and table look up for decoding. (9) UNIT - 4 BINARY CYCLE CODES, Algebraic structures of cyclic codes, Encoding using an (n-k) bit shift register, Syndrome calculation. BCH codes. (9) UNIT - 5 RS CODES, Golay codes, Shortened cyclic codes, Burst error correcting codes. Burst and Random Error correcting codes. Convolution Codes, Time domain approach. Transform domain approach. (9) TEXT BOOKS: 1. K. Sam Shanmugam ,Digital and analog communication systems, John Wiley, 1996. 2. Simon Haykin ,Digital communication, , John Wiley, 2003. REFERENCE BOOKS: 1. Ranjan Bose ,ITC and Cryptography, TMH, II edition, 2007 2. Glover and Grant ,Digital Communications ,Pearson Ed. 2nd Ed 2008

68

RF SYSTEM DESIGN (Prerequisite: Electromagnetic Fields & Waves)


L 4 T 0 P 0

INTRODUCTION: Importance of RF Design-Dimensions and Units-Frequency Spectrum-RF Behaviour of Passive Components: High Frequency Resistors, High Frequency Capacitors, High Frequency Inductors.-Chip Components and Circuit Board Considerations: Chip Resistors, Chip Capacitors, and Surface Mount Inductors. REVIEW OF TRANSMISSION LINES: Types of Transmission Lines-Equivalent Circuit representation-R, L, C, G parameters of Different Line configurations-Terminated Lossless Transmission Lines-Special Terminations: Short Circuit, Open Circuit and Quarter Wave Transmission Lines- Sourced and Loaded Transmission Lines: Power Considerations, Input Impedance Matching, Return Loss and Insertion Loss. (9) UNIT II: SINGLE AND MULTI-PORT NETWORKS: The Smith Chart: Reflection Coefficient, Normalized Impedance-Impedance Transformation: Standing wave Ratio, Special Transformation Conditions-Admittance Transformation-Parallel and Series RL & RC Connections-Basic Definitions of Single and Multi-Port NetworksInterconnecting Networks. RF FILTER DESIGN: Scattering Parameters: Definition, Meaning, Chain Scattering Matrix, Conversion Between Sand Z-parameters, Signal Flow Chart Modeling, Generalization-Basic Resonator and Filter Configurations: Low Pass, High Pass, Band Pass and Band Stop type Filters-Filter Implementation using Unit Element and Kuroda's Identities Transformations-Coupled Filters. (9) UNIT III: ACTIVE RF COMPONENT MODELLING: RF Diode Models: Nonlinear and Linear Models-Transistor Models: Large Signal and Small Signal BJT Models, Large Signal and Small Signal FET Models- Scattering Parameter, Device Characterization. (9) UNIT IV: MATCHING AND BIASING NETWORKS: Impedance Matching Using Discrete Components: Two Component Matching Networks, Forbidden Regions, Frequency Response and Quality Factor, T and Pi Matching NetworksAmplifier Classes of Operation and Biasing Networks: Classes of Operation and Efficiency of Amplifiers, Biasing Networks for BJT, Biasing Networks for FET. (9) (PTO)

UNIT I:

69

UNIT V: RF TRANSISTOR AMPLIFIER DESIGN: Characteristics of Amplifiers- Amplifier Power Relations: RF Source, Transducer Power Gain, Additional Power Relations-Stability Considerations: Stability Circles, Unconditional Stability, And Stabilization Methods-Unilateral and Bilateral Design for Constant Gain- Noise Figure Circles- Constant VSWR Circles. RF OSCILLATORS AND MIXERS: Basic Oscillator Model: Negative Resistance Oscillator, Feedback Oscillator Design, Design steps, Quartz Oscillators- Fixed Frequency High Frequency Oscillator -Basic Characteristics of Mixers: Concepts, Frequency Domain Considerations, Single Ended Mixer Design, Single and Double Balanced Mixers. (9) TEXT BOOKS: 1.Reinhold Ludwig and Powel Bretchko, RF Circuit Design Theory and Applications, Pearson Education Asia, First Edition. 2.Joseph . J. Carr, Secrets of RF Circuit Design, McGraw Hill Publishers, Third Edition. REFERENCES: 1.Mathew M. Radmanesh, Radio Frequency & Microwave Electronics, Pearson Education Asia, Second Edition, 2002. 2.Ulrich L. Rohde and David P. New Kirk, RF / Microwave Circuit Design, John Wiley & Sons USA, 2000. 3.Roland E. Best, Phase - Locked Loops: Design, simulation and applications, McGraw Hill Publishers 5TH edition 2003. 4..Devendra K.Misra ,Radio Frequency and Microwave Communication Circuits Analysis and Design John Wiley & Sons, Inc.

70

MULTIMEDIA COMMUNICATION (Prerequisite: Computer Networks)


L 4 T 0 P 0

UNIT I MULTIMEDIA COMPONENTS Introduction - Multimedia skills - Multimedia components and their characteristicsText, sound, images, graphics, animation, video, hardware. (7) UNIT II AUDIO AND VIDEO COMPRESSION Audio compressionDPCM-Adaptive PCM adaptive predictive coding-linear Predictive codingcode excited LPC-perpetual coding Video compression principles-H.261-H.263-MPEG 1, 2, 4. (10) UNIT III TEXT AND IMAGE COMPRESSION Compression principles-source encoders and destination encoders-lossless and lossy compression-entropy encoding source encoding -text compression static Huffman coding dynamic coding arithmetic coding Lempel ziv-welsh Compression-image compression (9) UNIT IV VoIP TECHNOLOGY Basics of IP transport, VoIP challenges, H.323/ SIP Network Architecture, Protocols, Call establishment and release, VoIP and SS7, Quality of Service- CODEC Methods-VOIP applicability (9) UNIT V MULTIMEDIA NETWORKING Multimedia networking -Applications-streamed stored and audio-making the best Effort service-protocols for real time interactive Applications-distributing multimedia-beyond best effort service-secluding and policing Mechanisms-integrated services-differentiated ServicesRSVP. (10) TEXT BOOKS: 1.Fred Halshall, Multimedia communication - applications, networks, protocols and standards, Pearson education. 2.Kurose and W.Ross, Computer Networking a Top down approach, Pearson education, 3rd ed, 2005. REFERENCES 1.Marcus goncalves Voice over IP Networks, McGraw Hill, 2.KR. Rao,Z S Bojkovic, D A Milovanovic, Multimedia Communication Systems: Techniques, Standards, and Networks, Pearson Education 2007 3.R. Steimnetz, K. Nahrstedt, Multimedia Computing, Communications and Applications, Pearson Education, First ed, 1995. 4.Ranjan Parekh, Principles of Multimedia, TMH, 2006 5. Tay Vaughan, Multideai: making it work, 7/e, TMH, 2007.

71

SPECTRUM ANALYSIS (Prerequisite: Digital Signal Processing)


L 4 T 0 P 0

UNIT I POWER SPECTRAL DENSITY, Energy spectral density of deterministic signals, Power spectral density of random signals, Properties of PSD (5) UNIT II PSD ESTIMATION - Non-parametric methods, Estimation of PSD from finite data, Nonparametric methods : Periodogram properties, bias and variance analysis, BlackmanTuckey method, Window design considerations, time-bandwidth product and resolution variance trade-offs in window design, Refined periodogram methods : Bartlet method, Welch method. (10) UNIT III PSD ESTIMATION - Parametric methods , Parametric method for rational spectra, Covariance structure of ARMA process, AR signals, Yule-Walker method, Least square method, Levinson-Durbin Algorithm, MA signals, Modified Yule-Walker method, Twostage least square method, Burg method for AR parameter estimation. (10) UNIT IV PARAMETRIC METHOD FOR LINE SPECTRA, Models of sinusoidal signals in noise, Nonlinear least squares method, Higher order Yule-Walker method, MUSIC and Pisarenko methods, Min-norm method, ESPRIT method. (10) UNIT V FILTERBANK METHODS , Filterbank interpertation of periodogram, Slepia base-band filters, refined filterbank method for higher resolution spectral analysis, Capon method, Introduction to higher order spectra. (10) TEXT BOOKS 1. Stoica , R.L., Moses Introduction to Spectral Analysis, Prentice Hall 2. Kay SM, Modern Spectral Estimation Theory & Applications, Prentice Hall

72


MODELING & SIMULATION OF COMMUNICATION SYSTEMS

(Prerequisite: Signals & Systems, Digital Communications)


L 4 T 0 P 0

UNIT 1. INTRODUCTION Concept of Simulation, System, Model, Types of Model, Univariat & Multivariat Models, Deterministic & Stochastic models, Continuous & Discreet Models, Analog & Digital Simulation, Real Time Simulation, Hybrid Simulation, Advantages & Limitations of Simulation, Steps in Simulation Study (9) UNIT 2. RANDOM NUMBER Psedue Random Numbers, Generation of random numbers, properties & testing of random numbers, generation of random variables using common distributions, Bounds and approximations of Random processes. (9) UNIT 3. REVIEW OF SIGNALS AND SYSTEMS, Continuous & discrete LT systems. Simulation of random variables & random processors, Transformation functions, transformations of random processes, sampling & quantization for simulation (9) UNIT 4. MODELING OF COMMUNICATION SYSTEM Information sources encoding/decoding, base band modulation and mapping, RF and optical modulation demodulation, Filtering communication channels and models, Noise interference and error, Control coding, Synchronization, Spread spectrum techniques. (9) UNIT 5. SIMULATION AND MODELING METHODOLOGY Simulation environment, Modeling consideration, Performance evaluation techniques, Error sources in simulation, design of simulation experiment length of run, replication, elimination of initial bias, variance reduction techniques. Simulation of analog systems using PSpice (9) TEXT BOOKS 1. Simulation of Communication Systems by M.C. Jeruchim & Others, Plenum Press. 2. Modern Digital and Communication Systems by Lathi B.P. REFERENCE BOOKS 1. System Simulation by DS Hira 2. Discrete Event System Simulation, Banks, Carsen, Nelson, Persian Edu. Asia.

73

RADAR AND NAVIGATIONAL AIDS (Prerequisite: Microwave Engineering )


L 4 T 0 P 0

UNIT I: INTRODUCTION, Basic Radar , Advantage of Basic Radar, Block Diagram of Pulse Radar, simple form of Radar equation, Detection of signals in noise, Receiver noise and signal to noise ratio, integration of Radar pulses, RCS: RCS of simple targets, RCS of multiple targets, PRF and Range Ambiguities, Doppler Effect, Limitations of CW Radar, FMCW Radar, Altimeter. (9) UNIT II: MTI RADAR, Delay line cancellers: Frequency response of single delay line cancellers, Clutter Attenuation, MTI improvement factor, N-pulse delay line canceller, Non recursive and Recursive filters, staggered PRF, Doppler filter banks TRACKING:Types of tracking Radar Systems, Sequential Lobing Radar, Conical Scan and Mono pulse Tracking Radar (9) UNIT III: Super heterodyne Receiver, Types of Duplexers and receiver protectors, types of Displays, Radomes. ELECTRONIC WARFARE: Objectives an definitions, Noise jamming, Types of Electronic counter measures and Electronic counter to counter measures, Stealth applications. (9) UNIT IV Elementary ideas of Navigational Aids, DME, VOR, DVOR, TACAN ,ILS, MLS, GPS, Automatic Direction Finder, Hyperbolic Navigational(LORAN,DECA,OMEGA) (9) UNIT V RADAR STUDIES OF THE ATMOSPHERE: Introduction, MST radar, Scattering Mechanism, Meteor Wind Radar, Over The Horizon Radar: Introduction, Surface Wave Radar, Sky wave Radar, The Over-The-Horizon Radar Equation (9) (PTO)

74

TEXT BOOKS: 1.Merrill I Skolnik, Introduction to Radar Systems, 3rd Edition, TMH, 2003 2. GSN Raju,Radar Engineering and Fundamentals of Navigational Aids, I K International REFERENCE BOOKS 1.Peyton Z Peebles Jr, Radar Principles, John Wiley Inc., 2004 2. Hamish Meikie, Modern Radar Systems, Artech House 3. AK Sen and Dr AB Bhattacharya, Radar Systems and Radio Aids to Navigation

SIMULATION BOOK 1. Bassem R.Mahafza Radar systems Analysis and design using Matlab Chapman & Hall

75

MOBILE & CELLULAR COMMUNICATION (Prerequisite: Digital Communications)


L 4 T 0 P 0

UNIT I INTRODUCTION TO MOBILE COMMUNICATION Evolution of Mobile Radio Communication, Examples of Wireless Communication Systems. Paging system, Cordless telephones systems, Cellular telephone Systems, Cellular concept: Frequency reuse, Channel Assignment strategies, Hand off strategies. Interference and System capacity, Improving coverage and capacity in cellular systems. (9) UNIT II MOBILE RADIO PROPAGATION LARGE SCALE FADING: Free space propagation model: Three basic propagation mechanisms. Reflection, Diffraction scattering. SMALL SCALE FADING: Multipath Propagation, Types of small scale fading, Parameters of Mobile Multipath channels. (9) UNIT III EQUALIZATION:Fundamentals of Equalizers, Linear equalizers, Nonlinear equalizers, Decision feedback equalizers, MLSE. DIVERSITY TECHNIQUES: Space diversity: MRC, EGC selection diversity, Polarization diversity, frequency diversity, time diversity, Rake receiver. (9) UNIT IV WIRELESS NETWORKING (2G) GSM architecture: Mobile station, base station system, Network and switching sub system, Radio interface, Location tracking and Call set up, GSM Short message services architecture, IS-95 architecture. (9) UNIT V WIRELESS NETWORKING (3G)Mobile services (2.5G): GPRS: GPRS functional groups, GPRS architecture. WAP: WAP model, WAP gateway, WAP Protocol. Mobile Services (3G): Paradigm shifts in 3G systems CDMA 2000: Introduction, CDMA 2000 layering structure, Upper layer, Link layer,W-CDMA. (9) (PTO)

76

TEXT BOOKS: 1.Theodore S. Rappaport, Wireless Communications Principles and Practice, 2nd Edition, Pearson Education, 2003. 2.W.C.Y. Lee, Mobile Cellular Communications Principles and Practice, 2nd Edition, McGraw-Hill, 1995. REFERENCE BOOKS: 1. Kamilo Feher, Wireless Digital Communications, PHI, 2003. 2. Yi-BinLin, Wireless and Mobile Network Architecture, Wiley, 2001. 3. Juha Korhonen, Introduction to 3G Mobile Communications, Second Edition, Artech House. 4. Kaveth Pahlvan, K.Prasanth Krishnamurthy, Principles of Wireless Networks, Pearson Education Asia, 2002

77

ESTIMATION AND DETECTION THEORY (Prerequisite: Digital Communications)


L 4 T 0 P 0 UNIT I DETECTION THEORY: Maximum likelihood decision criterion; Neymann-Pearson criterion; Probability of error criterion; Bayes risk criterion; Minimax criterion; Robust detection; Receiver operating characteristics. (9) UNIT II DETECTION THEORY: Vector observations; The general Gaussian problem; Waveform observation in additive Gaussian noise; The integrating optimum receiver; Matched filter receiver. (9) UNIT III MAXIMUM LIKELIHOOD ESTIMATION; Bayes cost method Bayes estimation criterion Mean square error criterion; Uniform cost function; absolute value cost function; Linear minimum variance - Least squares method; (9) UNIT IV ESTIMATION IN THE PRESENCE OF GAUSSIAN NOISE -Linear observation; Non-linear estimation. Properties of estimators: Bias, Efficiency, Cramer Rao bound Assymptotic properties; Sensitivity and error analysis (9) UNIT V PREDICTION; Kalman filter. Sufficient statistics and statistical estimation of parameters: Concept of sufficient statistics; Exponential families of distributions; Exponential families and Maximum likelihood estimation; Uniformly minimum variance unbiased estimation. (9) (PTO)

78

TEXT BOOKS 1.Steven M. Kay, Statistical Signal Processing: Vol. 1: Estimation Theory, Vol. 2: Detection Theory, Prentice Hall Inc., 1998. 2.Harry L. Van Trees, Detection, Estimation and Modulation Theory, Part 1, John Wiley & Sons Inc. 1968. REFERENCES: 1.James L. Melsa and David L. Cohn, Decision and Estimation Theory, McGraw Hill, 1978. 2.Dimitri Kazakos, P. Papantoni Kazakos, Detection and Estimation, Computer Science Press, 3.Jerry M. Mendel, Lessons in Estimation Theory for Signal Processing, Communication and Control, Prentice Hall Inc., 1995 4. Sophocles J. Orfanidis, Optimum Signal Processing 2nd edn., McGraw Hill, 1988. 5.Monson H. Hayes, Statistical Digital Signal Processing and Modelling, John Wiley & Sons Inc., 1996. 6. Scott C. Statistical Signal Processing , June 14, 2004.

79

SATELLITE COMMUNICATION (Prerequisite: Digital Communications)


L 4 T 0 P 0 UNIT I: INTRODUCTION: Basic Concepts of Satellite Communications, Frequency Allocations for Satellite Services, Applications. Orbital Mechanics and Launchers: Orbital mechanics of LEO, MEO and GSO, Orbital elements, Locating the Satellite with respect to the Earth, Look Angle determination, Orbital Effects in Communication Systems Performance. (9) UNIT II: SATELLITE SUBSYSTEMS: Attitude and Orbit Control System, Telemetry, Tracking, Command and Monitoring, Power Systems, Communication Subsystems, Satellite Antennas. (6) UNIT III: SATELLITE LINK DESIGN: Basic Transmission Theory, System Noise Temperature and G/T Ratio, Design of Down Links, Up Link Design, Design Of Satellite Links For Specified C/N, System Design Examples. Earth Station Technology: Introduction, Transmitters, Receivers, Antennas, Tracking Systems, Terrestrial Interface, Primary Power Test Methods. (10) UNIT VI: MULTIPLE ACCESS TECHNIQUES: Frequency Division Multiple Access (FDMA), Intermodulation, Calculation of C/N, Time Division Multiple Access (TDMA), Frame Structure, Satellite Switched TDMA Onboard Processing, Code Division Multiple Access (CDMA).Comparison between CDMA, FDMA & TDMA. Spread Spectrum Techniques, DSSS, DSSS with CBPSK, Processing gain, Probability of error, FHSS: Slow frequency hopping, Fast frequency hopping. (10) UNIT V: SATELLITE NAVIGATION & GLOBAL POSITIONING SYSTEM : Radio and Satellite Navigation, GPS Position Location Principles, GPS Receivers and Codes, Satellite Signal Acquisition, GPS Navigation Message, GPS Signal Levels, GPS Receiver Operation, GPS C/A Code Accuracy, Differential GPS. (10) (PTO)

80

TEXT BOOKS: 1.Timothy Pratt, Charles Bostian and Jeremy Allnutt Satellite Communications, WSE, Wiley Publications, 2nd Edition, 2003. 2.L.Pritchard, Robert A Nelson and Henri G.Suyderhoud,Satellite Communications Engineering Wilbur, 2nd Edition, Pearson Publications, 2003. REFERENCES: 1. M. Richharia, Satellite Communications: Design Principles BS Publications, 2nd Edition. 2. D.C Agarwal ,Satellite Communication, Khanna Publications, 5th Ed. 3. Dennis Roddy Satellite Communications , McGraw Hill, 4th Edition, 2009. 4.Robert M Gagliardi, Satellite Communications, DTS Publishers Ltd. 5.Simon Haykin, Communication Systems, 4th Edition, John Wiley & Sons, 2004

81

ELECTROMAGNETICS FOR COMMUNICATIONS (Prerequisite: Satellite Communications, Mobile Communications)


L 4 T 0 P 0

UNIT I FUNDAMENTALS OF ELECTROMAGNETIC THEORY REVISITED Electric and magnetic fields; Maxwells equations in integral form; Maxwells equations in differential form; Boundary conditions; Poyntings vector and energy storage; Static fields and circuit elements; Quasistatic fields and frequency behaviour of circuit elements; Waves and the distributed circuit concept; Radiation (9) UNIT II ELECTROMAGNETIC COMPATIBILITY EMC (Electromagnetic compatibility) and EMI (Electromagnetic interference) explained; Methods of solution of EMC problems; Capacitive and inductive couplings; Crosstalk on transmission lines; Common impedance coupling; Electromagnetic shielding; EMC standards (9) UNIT III ELECTROMAGNETICS FOR SATELLITE COMMUNICATIONS Fundamental components of a satellite communication system; Overview of propagation effects; Tropospheric propagation effects; Ionospheric propagation effects; Propagation prediction models for satellite links (9) UNIT IV ELECTROMAGNETICS FOR MOBILE COMMUNICATIONS The wireless communication system; The cellular concept; Mobile radio propagation; Largescale path loss models; Small-scale fading and multipath models; antennas for wireless applications. (9) UNIT V ELECTROMAGNETICS FOR OPTICAL COMMUNICATIONS Reflection and refraction of plane waves; Dielectric slab waveguide; Ray tracing and gradedindex guide; Optical fiber; Pulse broadening in a dispersive medium; Interference and diffraction; Wave propagation in an anisotropic medium. (9) TEXT BOOKS 1.Bemhard Keiser,Principles of Electromagnetic Compatibility, Third Edition,Artech House,Norwood,1986. 2.N.N.Rao,Elements of Electromagnetics for Engineering ,Sixth Edition, Pearson Education,2006. REFERENCES: 1.N.N.Rao,Fundamentals of Electromagnetics for Engineering,Pearson Education,2008. 2.Dennis Roddy,Satellite Communications,Fourth Edition,McGraw Hill,2006. 3.Andrea Goldsmith, Wireless Communications, Cambridge University Press, 2005. 4.G. Keiser, Optical fiber communications. 4th ed Tata McGraw-Hill, New Delhi, 2008. 5.John D Kraus,Ronald J Marhefka and Ahmad S Khan,Antennas for all applications, Third Edition, Tata McGraw Hill,2006.

82

RELIABILITY OF ELECTRONICS & COMMUNICATION SYSTEMS


(Prerequisite: Analog & Digital Communications)
L 4 T 0 P 0

UNIT 1. CONCEPT OF RELIABILITY Failures of systems and its modes. Measure of Reliability, Reliability function,Hazard rate MTBF and their interrelations. (9) UNIT 2. RELIABILITY DATA AND ANALYSIS Data sources. Data collection, use of Reliability Data, Reliability Analysis, Performance Parameters, calculation of failure rate, Application of Weibill distribution. (9) UNIT 3. SYSTEM RELIABILITY AND MODELING Series systems, Parallel system, series parallel systems. Time dependence, Reliability Determination, Stand by systems, r out of n, Configurations, Methods of tie set and cut sets of Or reliability evaluation, simulation and Reliability prediction. Monte Carlo method, concepts of network topology. Overall reliability evolution. (9) UNIT 4. MAINTAINABILITY AND AVAILABILITY Maintainability and its equation. Factors Affecting maintainability. Measures of Maintainability, Mean Down Time, Availability Intrinsic availability equipment availability & Mission availability. Replacement processes and Policies. (9) UNIT 5. LIFE TESTING OF EQUIPMENTS Non-destructive tests, destruction tests and their Mathematic modeling. Quality and Reliability, Measurement & prediction of Human Reliability, Reliability and safety, safety margins in critical Devices, case studies. (9) TEXT BOOKS 1.Reliability Engg. By Govil, 1992. 2.Reliability Engg. By Dr.A.K.Aggarwal, 1992.

83

LASER COMMUNICATION (Prerequisite: Optical Communications)


L 4 T 0 P 0

UNIT I INTRODUCTION TO LASER COMMUNICATIONS Atmospheric low loss windows, optical sources and detectors for these windows, Characteristics of source and detectors. Optical transmitting and receiving antennas. UNIT II SYSTEM DESIGN ( 9) Link equation, Transmitter terminal, Antenna design, Antenna gain, Beam width, C/N, Optical detectors, Optical modulation formats, Deriving error statistics, Signal requirements for acquisition and tracking, Fundamentals of system design. (9) UNITIII SEMICONDUCTOR AND METAL LASER SOURCES FOR SATELLITE COMMUNICATIONS Performance and Geometries, output wavelength control, Semiconductor laser lifetime, Direct and indirect modulation techniques and radiation effects. UNIT IV OPTICAL RECEIVERS AND SYSTEM DESIGN (9) Direct detection, coherent detection and demodulation. Gimbals in transceiver design, Receiver options and optics; Lasers; antennas / Telescope, Internal optical systems, Transmitter analysis. UNIT V LASER BEAM POINTING CONTROL ( 9) Acquisition and Tracking systems, System description, Acquisition methodology, racking and pointing control system, RF cross link system design, link equation. (9) TEXT BOOKS: 1Morris Katzman, Laser Satellite Communications, Prentice Hall Inc, New York,1991. 2 J. Franz and V.K.Jain, Optical Communication Systems, Narosa Publication, New Delhi,

84

OPTICAL CDMA SYSTEMS (Prerequisite: Optical Communication Satellite Communications)


L 4 T 0 P 0

UNIT I OPTICAL CDMA Introduction; Optical CDMA codes - Construction of Coherent and Incoherent Codes , Performance Analysis and Comparison of Coherent and Incoherent Codes, Advanced Incoherent Codes, Information Capacity of Fiber-Optical CDMA Systems, Advanced Coding Techniques for Performance Improvement. (9) UNIT II COHERENT OPTICAL CDMA SYSTEMS Introduction, Coherent OCDMA Approaches, Subsystem Technologies, Code Selection for SPCOCDMA, OCDMA Network Architectures for SPC-OCDMA. (9) UNIT III INCOHERENT OPTICAL CDMA SYSTEMS Introduction, WHTS System Architecture, Technologies for WHTS OCDMA, Experimental Demonstration of WHTS OCDMA. (9) UNIT IV ENABLING TECHNOLOGIES Introduction, Fiber Bragg Grating Technology, FBGs for FOCDMA, Encoding/Decoding for OCDMA Systems. (9) UNIT V OPTICAL CDMA ARCHITECTURES Hybrid Multiplexing Transmission System, Photonic Gateway: Multiplexing Format Conversion, OCDMA/WDM Virtual Optical Path Cross Connect, Optical CDMA network architectures and applications-Local Area Networks, Applications Demonstrations. (9) TEXT BOOKS: 1.Paul R. Prucnal, Optical Code Division Multiple Access- Fundamentals and Applications, Taylor & Francis Ltd; Har/Cdr edition, 2005. 2.Guu-Chang Yang & Wing C. Kwong, Prime Codes with Applications to CDMA Optical and Wireless Networks, Artech House, 2002.

85

SPECIALIZATION IN VLSI

86

DIGITAL DESIGN THROUGH

HDL
L 3 T 0 P 2

UNIT I INTRODUCTION TO VHDL& MODELING METHODS: VHDL as a standard, VHDL terms, traditional design methods. Introduction to behavioral modeling, transport versus inertial delay, simulation deltas, drivers, generics, block statements. Process statement, signal assignment versus variable assignment, sequential statements, IF statements, CASE statements, LOOP statements, EXIT statements, ASSERT statements, WAIT statements, concurrent assignment problem, passive process (8) UNIT II DATA TYPES & SUBPROGRAMS AND PACKAGES: Objective types, data types, file type caveats, subtypes Subprograms, function, conversion function, resolution function, procedures, packages (9) UNIT III PREDEFINED ATTRIBUTES & CONFIGURATIONS: Value kind attributes, functional kind attributes, signal kind attributes, type kind attributes, range kind attributes. Default Configurations, Component Configurations, mapping library entities, generics in configurations, generic value specification in architecture, generic specification in configurations, block configurations, architecture configurations (10) UNIT IV VHDL SYNTHESIS: Simple gate- concurrent assignment IF control flow statements, case control statements, simple control statements, asynchronous reset, more complex sequential statements, state machine examples (8) UNIT V INTRODUCTION TO VERILOG: Verilog as HDL, a tutorial to verilog, Identifiers, format, compiler directives, value set, data types, parameters, comments, operands, operators. (10) TEXT BOOKS: 1. Douglas Perry, VHDL, Tata Mcgraw-hill third edition 2. J.Bhaskar, A Verilog HDL Primier, BS Publication second edition REFERENCE BOOKS: 1. Peter J Ashenden The Designer`s Guide to VHDL , Morgan Kaufmann Publishers 2. Navabi, VHDL- Analysis and Modeling of Digital Systems, Tata Mcgraw-hill edition

87

DESIGN OF FAULT TOLERANT SYSTEMS (Prerequisite: Digital Logic Design)


L 3 T 0 P 0

UNIT I: FAULT TOLERANT DESIGN: Basic Concepts: Reliability Concepts, Failure & Faults, Reliability and Failure rate, Relation between Reliability and Meantime between failure, Maintainability and Availability, Reliability of Series, Parallel and Parallel-Series Combinational Circuits Fault Tolerant Design: Basic Concepts Static, Dynamic, Hybrid Triple Modular Redundant System, Self Purging Redundancy, Siftout Redundancy (SMR), 5 MR Re-Configuration Techniques, Use of error Correcting Code, Time Redundancy and Software Redundancy (9) UNIT II: SELF CHECKING CIRCUITS & FAIL SAFE DESIGN: Self Checking Circuits: Basic Concepts of Self Checking Circuits, Design of Totally Self Checking Checker, Checkers using M out of N Codes, Berger Code, Low Cost Residue Code. Fail Safe Design: Strongly Fault Secure Circuits, Fail Safe Design of Sequential Circuits using Partition Theory and Berger Code, Totally Self Checking PLA Design. (9) UNIT III: ATPG FUNDAMENTALS & DESIGN FOR TESTABILITY FOR COMBINATIONAL CIRCUITS: Introduction to ATPG, ATPG Process Testability and Fault Analysis Methods Fault Masking Transition Delay Fault ATPG, Path Delay, Fault ATPG, Design for Testability for Combinational Circuits: Basic Concepts of Testability, Controllability and Observability, The Reed Mullers Expansion Technique, OR-AND-OR Design, Use of Control and Syndrome Testable Designs (9) UNIT IV: SCAN ARCHITECTURES & TECHNIQUES: Introduction to Scan Based Testing, Functional Testing, The Scan Effective Circuit, The MUX-D Style Scan Flip-Flops, The Scan Shift Register, Scan Cell Operation Scan Test Sequencing, Scan Test Timing, Partial Scan, Multiple Scan Chains, Scan Based Design Rules (LSSD), At-Speed Scan Testing and Architecture, Multiple Clock and Scan Domain Operation, Critical Paths for at Speed Scan Test. (9) UNIT V: BUILT IN SELF TEST (BIST): BIST Concepts, Test Pattern Generation for BIST Exhaustive Testing, Pseudorandom Testing, Pseudo Exhaustive Testing, Constant Weight Patterns, Generic Offline BIST Architecture, Memory Test Architecture (9) (PTO)

88

TEXT BOOKS: 1. Parag K. Lala ,Fault Tolerant & Fault Testable Hardware Design,1984, PHI. 2. Alfred L. Crouch ,Design for Test for Digital ICs and Embedded Core Systems, 2008, Pearson Education. REFERENCES: 1. Miron Abramovici, Melvin A. Breuer and Arthur D. Friedman ,Digital Systems Testing and Testable Design, Jaico Books 2. Bushnell & Vishwani D. Agarwal ,Essentials of Electronic Testing, Springers.

89

VLSI DESIGN (Prerequisite: Digital Logic Design)


L 3 T 0 P 0

UNIT I INTRODUCTION TO CMOS CIRCUITS: MOS transistors, MOS switches, CMOS logic: Inverter, combinational logic, NAND, NOR gates, compound gates, Multiplexers. Memory: Latches and registers. Circuit and system representations: Behavioral, structural and physical representations. (8) UNIT II MOS TRANSISTOR THEORY: NMOS, PMOS enhancement mode transistors, Threshold voltage, body effect, MOS device design equations, MOS models, small signal AC characteristics, CMOS inverter DC characteristics, static load MOS inverters, Bipolar devices . (10) UNIT III CMOS PROCESSING TECHNOLOGY: An overview of silicon semiconductor technology, basic CMOS technology, CMOS process enhancement, layout design rules, Latch up, technology related CAD issues . (9) UNIT IV CIRCUIT CHARACTERIZATION AND PERFORMANCE ESTIMATION: Estimation of resistance, capacitance, inductance. Switching characteristics, CMOS gate transistor sizing, power dissipation, sizing routing conductors, charge sharing, Design margining yield, reliability. Scaling of MOS transistor dimensions. (10) UNIT V CMOS CIRCUIT AND LOGIC DESIGN: CMOS logic gate design, physical design of simple logic gates. CMOS logic structures. Clocking strategies, I/O Structures. (8) TEXT BOOK: 1. Weste, Eshragian, Principles of CMOS VLSI design, Person Eduction, 1994. REFERENCE BOOKS: 1. Douglas A Pucknell and Kamaran Eshragian, Basic VLSI design , 3rd edition, PHI, 2. J.S.Smith, Application Specific Integrated Circuits, Addison Wesley, 1997.

90


CPLD AND FPGA ARCHITUCTURE
L 4 T 0 P 0

(Prerequisite: Digital Logic Design)

UNIT I PROGRAMMABLE LOGIC: Read Only Memory (ROM), PROM, Programmable Logic Array (PLA)/Programmable Array Logic (PAL). Combinational circuit realization using ROM, PROM . (9). UNIT II CPLDs : Sequential PLDs. PGAs Features, Programming and applications using CPLDs,Altera series Max 5000/7000 Series and ALTERA FLEX Logic 10000 Series CPLDs. AMDs CPLD (Mach 1 to 5); (9) UNIT - III FPGAs: Logic blocks, routing architecture, Design flow, Technology Mapping for FPGAs, Case studies Xilinx XC4000 & ALTERAs FLEX 8000/10000 FPGAs (9) UNIT - IV DESIGN TECHNIQUES, RULES, AND VERIFICATION: Objectives, Hardware Description Languages, Top-Down Design, Synchronous Design, Floating Nodes, Bus Connection, Design for Test, and Testing Redundant Logic, What is Verification? Simulation, Static Timing Analysis, Assertion Languages & Formal Verification. (9) UNIT - V ELECTRONIC DESIGN AUTOMATION TOOLS: Objectives, Simulation Software, Test Bench Generators, In situ Tools, Synthesis Software, Automatic Test Pattern Generation (ATPG). Scan Insertion Software, Built-In Self-Test (BIST) Generators, Static Timing Analysis Software, Formal Verification Software, Place and Routing Software, Programming Tools. (9) TEXT BOOKS: 1.Michael D.Celetti Advanced Digital Design with the Verilog HDL Prentice Hall. 2.Bob Zeidman Designing with FPGAs & CPLDs Focal Press, 2002 REFERENCE BOOKS: 1.Charles H.Roth Jr Digital System Design using VHDL Thomson, 2008 2.Stephen Brown Zvonko Vranesic Fundamentals of Digital Logic with VHDL Design McGraw-Hill, 2008 3.Dueck Digital Design with CPLD Applications and VHDL Thomson 4.John F Wakerly Digital Design Principles & Practices Prentice Hall, 2001.

91

ANALOG VLSI DESIGN (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I BACKGROUND, INTRODUCTION & CURRENT MIRROR: Design Complexities, Requirements, Challenges & Opportunities, Basic MOS Device physics: General considerations, second order effects, MOS device models. Basic current mirrors, Cascode current mirror, Active Current Mirrors- large signal analysis, small signal analysis, common mode properties (10) UNIT II AMPLIFIERS DESIGN & DIFFERENTIAL AMPLIFIER: Single Stage (CS,CG,CD) configurations, Cascade Stage; frequency response( miller effect) of CG, CS, CD; Differential Amplifier: Operation, Basic Differential Pair, frequency response of Cascade & Differential Pair, Noise models, Noise BW, Feedback topology (8) UNIT III OPERATIONAL AMPLIFIERS: OpAmp topologies, single stage, Two stage, cascade, Gain BW product, Slew rate, Noise in Op-Amp, Stability & frequency compensation (7) UNIT- IV BANDGAP REFERENCE: Supply Independent biasing, Temperature independent reference, PTAT current generation (8) UNIT-V SWITCHED CAPACITOR & OTHER APPLICATIONS: Sampling switches, switched capacitor integrator, oscillators, ADC, DAC (12) TEXT BOOKS: 1.Behzad Razavi, Design Of Analog CMOS Integrated Circuits, Tata Mcgraw Hill,2005 REFERENCE: 1.Jacob Baker,CMOS Mixed Signal Circuit Design, John Wiley, 2008

92

DESIGN FOR TESTABILITY (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I INTRODUCTION TO TESTING: Testing Philosophy, Role of Testing, Digital and Analog VLSI Testing, VLSI Technology Trends Affecting Testing. Types of Testing Test Economics & Product Quality. Fault Modeling: Defects, Errors and Faults. Functional Versus Structural Testing, Levels of Fault Models, A Glossary of Fault Models, Single Stuck at Faults. Fault Equivalence, Equivalence of Single Stuck at Faults, Fault Collapsing, Fault Dominance and Check Point Theorem. (8) UNIT II LOGIC AND FAULT SIMULATION: Simulation for Design Verification, Simulation for Test Evaluation, Modeling Circuits for Simulation: Modeling Levels and Types of Simulators, Hierarchical Connectivity Description, Gate Level Modeling of MOS Networks, Modeling Signal Gates. Algorithms for True-Value Simulation: Compiled-Code Simulation, Event Driven Simulation, Algorithms for Fault Simulation. (8) UNIT III TESTABILITY MEASURES: SCOP Controllability and Observability, combinational SCOAP measures, Combinational Circuit Example, Sequential SCOAP measures, Sequential Circuit Example. DFT - Scan Design, Boundary Scan Design, JTAG, BIST. (9) UNIT IV COMBINATIONAL CIRCUIT TEST GENERATION: Algorithms and Representations, Redundancy Identification, Testing as a Global Problem, Defnitions, Significant Combinational Circuit ATPG Algorithms, ATPG algorithms, Test Generation Systems & Test Compaction. (10) UNIT V SEQUENTIAL CIRCUIT TEST GENERATION: ATPG for single clock synchronous circuits, Time-frame expansion methods, Simulation Based sequential circuit ATPG, Memory Test Fault modeling, marching test algorithms, NPSF (10) TEXT BOOKS: 1.M.L. Bushnell and V.D. Agrawal, "Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits", Kluwer Academic Publishers, 2002 REFERENCE BOOKS: 1.M. Abramovici, M.A. Breuer and A.D. Friedman, "Digital Systems and Testable Design Jaico Publishing House, 2002. 2.P.K. Lala, "Digital Circuit Testing and Testability", Academic Press, 2002.

93

VLSI SUB SYSTEM DESIGN (Prerequisite: VLSI Design)


L 3 T 0 P 0

UNIT I DESIGN METHODOLOGY: Structured design strategies; Hierarchy; PLAs; Standard-cell Design; Full-custom Design; Physical Design Styles; Design Economics. (8) UNIT II DATAPATH SUBSYSTEMS: Adders; Comparators; Counters; Shifters; Multipliers; Power and Speed Trade-off. (9) UNIT III MEMORY AND ARRAY SUBSYSTEMS: SRAM, DRAM, ROM, Registers, Queues, PLAs; Memory Peripherals; Reliability; Power dissipation in Memories. (9) UNIT IV INTERCONNECT: Transmission lines; RC delay models; Capacitive parasitics; Resistive parasitics; Crosstalk; Advanced Interconnect Techniques. TIMING: Timing classification; Timing Issues; Synchronous design; Self-timed circuit design; Power distribution. (9) UNIT V CLOCK: Arbiters; Clock Synthesis; PLLs; Clock generation; Clock distribution; Synchronous Vs Asynchronous Design. IMPLEMENTATION STRATEGIES: Custom Arrays; Semicustom and Structured Arrays; Custom circuit Design; Cell-based design methodology; Implementation platform. (10) TEXT-BOOK: 1.Neil Weste and K. Eshragian, Principles of CMOS VLSI Design: A System Perspective Person Education REFERENCE BOOKS: 1.Jan M. Rabaey, Digital Integrated Circuits; Pearson Education, 2003 2.Wayne Wolf, Modern VLSI Design System on Silicon 2nd ed. Person Education

94

LOW POWER VLSI DESIGN (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT- I INTRODUCTION : Need for low power VLSI chips, Sources of power dissipation on Digital Integrated circuits. Emerging Low power approaches, Physics of power dissipation in CMOS devices. Device & Technology Impact on Low Power: Dynamic dissipation in CMOS, Transistor sizing& gate oxide thickness, Impact of technology Scaling, Technology & Device innovation (10) UNIT-II SIMULATION POWER ANALYSIS: SPICE circuit simulators, gate level logic simulation, capacitive power estimation, static state power, gate level capacitance estimation, architecture level analysis, data correlation analysis in DSP systems, Monte Carlo simulation. Probabilistic power analysis: Random logic signals, probability & frequency, probabilistic power analysis techniques, signal entropy. (10) UNIT-III LOW POWER DESIGN CIRCUIT LEVEL: Power consumption in circuits. Flip Flops & Latches design, high capacitance nodes, low power digital cells library Logic level: Gate reorganization, signal gating, logic encoding, state machine encoding, precomputation logic (8) UNIT-IV LOW POWER ARCHITECTURE & SYSTEMS: Power & performance management, switching activity reduction, parallel architecture with voltage reduction, flow graph transformation, low power arithmetic components, low power memory design. Low power Clock Distribution: Power dissipation in clock distribution, single driver Vs distributed buffers, Zero skew Vs tolerable skew, chip & package co design of clock network (10) UNIT-V ALGORITHM & ARCHITECTURAL LEVEL METHODOLOGIES: Introduction, design flow, Algorithmic level analysis & optimization, Architectural level estimation & synthesis. (7) TEXT BOOKS: 1. Gary K. Yeap, Practical Low Power Digital VLSI Design, KAP, 2002 2. Rabaey, Pedram, Low Power Design Methodologies Kluwer Academic REFERENCE: 1. Kaushik Roy, Sharat Prasad, Low-Power CMOS VLSI Circuit Design Wiley,2000 2. Yeo, CMOS/BiCMOS ULSI Low Voltage Low Power Pearson Education

95

VLSI SINGAL PROCESSING (Prerequisite: VLSI Design, Digital Signal Processing )


L 4 T 0 P 0

UNIT - I INTRODUCTION TO DSP SYSTEMS: Introduction; representation of DSP algorithms: Block Diagram, signal flow graph, data flow graph, dependence graph. UNIT - II ITERATION BOUND: Data flow graph representations, loop bound and iteration bound, longest path matrix algorithm, iteration bound of Multirate data flow graphs. UNIT III PIPELINING AND PARALLEL PROCESSING: Pipelining and parallel processing of FIR digital filters, pipeline interleaving in digital filters: signal and multichannel interleaving. UNIT-IV RETIMING, UNFOLDING AND FOLDING: retiming techniques; algorithm for unfolding, Folding transformation, systolic architecture design, systolic array design methodogy. UNIT-V FAST CONVOLUTION, FILTERS AND TRANSFORMS: Cook-toom algorithm, modified cooktoom algorithm, winogard algorithm, iterated convolution Algorithm strength reduction in filters and transforms. TEXT BOOK: Keshab k. Parhi, VLSI DIGITAL SIGNAL PROCESSING SYSTEMS: DESIGN IMPLEMENTATION, Wiley, inter science, 1999. AND

REFERENCE BOOKS S.Y.kung, H.J.White house, T. Kailath, VLSI AND MODERN SIGNAL PROCESSING, Prentice hall, 1985.

96

INTRODUCTION TO MEMS SYSTEM DESIGN (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I :INTRODUCTION TO MEMS MEMS and Microsystems, Miniaturization, Typical products, Micro sensors, Micro actuation, MEMS with micro actuators, Microaccelorometers and Micro fluidics, MEMS materials, Micro fabrication (9) UNIT II:MECHANICS FOR MEMS DESIGN Elasticity, Stress, strain and material properties, Bending of thin plates, Spring configurations, torsional deflection, Mechanical vibration, Resonance, Thermo mechanics actuators, force and response time, Fracture and thin film mechanics. (9) UNIT III:ELECTRO STATIC DESIGN Electrostatics: basic theory, electro static instability. Surface tension, gap and finger pull up, Electro static actuators, Comb generators, gap closers, rotary motors, inch worms, Electromagnetic actuators. bistable actuators. (9) UNIT IV:CIRCUIT AND SYSTEM ISSUES Electronic Interfaces, Feed back systems, Noise , Circuit and system issues, Case studies Capacitive accelerometer, Peizo electric pressure sensor, Modelling of MEMS systems, CAD for MEMS. (9) UNIT V:INTRODUCTION TO OPTICAL AND RF MEMS Optical MEMS, - System design basics Gaussian optics, matrix operations, resolution. Case studies, MEMS scanners and retinal scanning display, Digital Micro mirror devices. RF Memes design basics, case study Capacitive RF MEMS switch, performance issues. (9) TEXT BOOK: 1.Stephen Santuria, Microsystems Design, Kluwer publishers, 2000. REFERENCE BOOKS: 1.Nadim Maluf, An introduction to Micro electro mechanical system design, Artech House 2.Mohamed Gad-el-Hak, editor, The MEMS Handbook, CRC press Baco Raton,2000. 3.Tai Ran Hsu, MEMS & Micro systems Design and Manufacture Tata McGraw Hill, New Delhi, 2002.

97

ASIC DESIGN (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I TYPES OF ASICS: Design flow - CMOS transistors CMOS Design rules - Combinational Logic Cell Sequential logic cell - Data path logic cell - Transistors as Resistors - Transistor Parasitic Capacitance- Logical effort - Library cell design - Library architecture. (6) UNIT II Anti fuse - static RAM - EPROM and EEPROM technology - PREP benchmarks - Actel ACT Xilinx LCA -Altera FLEX - Altera MAX DC & AC inputs and outputs - Clock & Power inputs Xilinx I/O blocks. (10) UNIT III Actel ACT -Xilinx LCA - Xilinx EPLD - Altera MAX 5000 and 7000 - Altera MAX 9000 - Altera FLEX Design systems - Logic Synthesis - Half gate ASIC -Schematic entry - Low level design language - PLA tools - EDIF- CFI design representation. (10) UNIT IV Synthesis and Simulation: Verilog and logic synthesis -VHDL and logic synthesis - types of simulation -boundary scan test - fault simulation - automatic test pattern generation. Data Logic Cells: Data Path Elements, Adders, Multiplier, Arithmetic Operator, I/O cell, Cell Compilers (11) UNIT V System partition: FPGA partitioning - partitioning methods - floor planning - placement physical design flow - global routing - detailed routing - special routing - circuit extraction DRC. (8) TEXT BOOK: 1.M.J.S .Smith, " Application - Specific Integrated Circuits " - Addison -Wesley Inc., 1997. Longman

REFERENCES: 1.S.D. Brown, R.J. Francis, J. Rox, Z.G. Uranesic, " Field Programmable Gate Arrays " -Kluever Academic Publishers, 1992. 2.Mohammed Ismail and Terri Fiez, " Analog VLSI Signal and Information Processing ", Mc Graw Hill, 1994. 3.S. Y. Kung, H. J. Whilo House, T. Kailath, " VLSI and Modern Signal Processing ", Prentice Hall, 1985.

98

ADVANCED VLSI DESIGN (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I REVIEW OF MOS CIRCUITS: MOS and CMOS static plots, switches, comparison between CMOS and BI - CMOS. MESFETS: MESFET and MODFET operations, quantitative description of MESFETS. MIS STRUCTURES AND MOSFETS: MIS systems in equilibrium, under bias, small signal operation of MESFETS and MOSFETS. (10) UNIT II SHORT CHANNEL EFFECTS AND CHALLENGES TO CMOS: Short channel effects, scaling theory, processing challenges to further CMOS miniaturization BEYOND CMOS: Evolutionary advances beyond CMOS, carbon Nano tubes, conventional vs. tactile computing, computing, molecular and biological computing Mole electronics-molecular Diode and diode- diode logic ,Defect tolerant computing. (10) UNIT III SUPER BUFFERS, BI-CMOS AND STEERING LOGIC: Introduction, RC delay lines, super buffers- An NMOS super buffer, tri state super buffer and pad drivers, CMOS super buffers, Dynamic ratio less inverters, large capacitive loads, pass logic, designing of transistor logic, General functional blocks - NMOS and CMOS functional blocks. (9) UNIT IV SPECIAL CIRCUIT LAYOUTS AND TECHNOLOGY MAPPING: Introduction, Talley circuits, NAND-NAND, NORNOR, and AOI Logic, NMOS, CMOS Multiplexers, Barrel shifter, Wire routing and module lay out. (6) UNIT V SYSTEM DESIGN: CMOS design methods, structured design methods, Strategies encompassing hierarchy, regularity, modularity & locality, CMOS Chip design Options, programmable logic, Programmable inter connect, programmable structure, Gate arrays standard cell approach, Full custom Design. (10) (PTO)

99

TEXT BOOKS: 1. Kevin F Brrnnan Introduction to Semi Conductor Device, Cambridge publications 2.Eugene D Fabricius Introduction to VLSI Design, McGraw-Hill publications REFERENCE BOOKS: 1. D.A Pucknell Basic VLSI Design, PHI Publication 2. Wayne Wolf, Modern VLSI Design Pearson Education, Second Edition

100

VLSI TECHNOLOGY (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I OVERVIEW: overview of CMOS fabrication. Different stages of fabrication Environment for VLSI Technology: Clean room and safety requirements. Wafer cleaning processes and wet chemical etching techniques. (8) UNIT II Impurity Incorporation: Solid State diffusion modeling and technology; Ion Implantation modeling, technology and damage annealing; characterization of Impurity profiles Oxidation: Kinetics of Silicon dioxide growth both for thick, thin and ultra thin films. Oxidation technologies in VLSI and ULSI; Characterization of oxide films; High k and low k dielectrics for ULSI (12) UNIT III LITHOGRAPHY: Photolithography, E-beam lithography and newer lithography techniques for VLSI/ULSI; Mask generation Chemical Vapour Deposition Techniques: CVD techniques for deposition of polysilicon, silicon dioxide, silicon nitride and metal films; Epitaxial growth of silicon; modeling and technology. (10) UNIT IV Metal Film Deposition: Evaporation and sputtering techniques. Failure mechanisms in metal interconnects; Multi-level metallization schemes. (7) UNIT V Plasma and Rapid Thermal Processing: PECVD, Plasma etching and RIE techniques; RTP techniques for annealing, growth and deposition of various films for use in ULSI. (8) TEXT BOOK: 1.C.Y. Chang and S.M.Sze (Ed), ULSI Technology, McGraw Hill Companies Inc, 1996. REFERENCE: 1.Stephena, Campbell, The Science and Engineering of Microelectronic Fabrication, Second Edition, Oxford University Press, 2005. 2.Yuan Taur, Tak. H. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press, 2003.

101

VLSI LAYOUT SYNTHESIS ALGORITHMS (Prerequisite: VLSI Design)


L 4 T 0 P 0

UNIT I INTRODUCTION - Review of Data structures and algorithms - Review of VLSI Design automation tools - Algorithmic Graph Theory and Computational Complexity - Tractable and Intractable problems. General purpose methods for combinatorial optimization, Simulated Annealing, Genetic Algorithm. (12) UNIT II PARTITIONING: problem formulation, classification of partitioning algorithms, Group migration algorithms KL and FM, simulated annealing, other partitioning algorithms. Placement, Floor Planning & Pin Assignment: problem formulation, simulation base placement algorithms, other placement algorithms, constraint based floor planning, floor planning algorithms for mixed block & cell design. General & channel pin assignment. (10) UNIT III GLOBAL ROUTING: Problem formulation, classification of global routing algorithms, Maze routing algorithm, line probe algorithm, Steiner Tree based algorithms, ILP based approaches. (7) UNIT IV DETAILED ROUTING: problem formulation, classification of routing algorithms, single layer routing algorithms, two layer channel routing algorithms, three layer channel routing algorithms, and switchbox routing algorithms. (8) UNIT V OVER THE CELL ROUTING & VIA MINIMIZATION: two layers over the cell routers, constrained & unconstrained via minimization. Compaction: problem formulation, one-dimensional compaction, two dimension based compaction, hierarchical compaction. (8) TEXT BOOK: 1.Sadiq M. Sait, Habib Youssef, VLSI physical design automation, World Scientific, 1999 REFERENCE 1.N.A. Sherwani, "Algorithms for VLSI Physical Design Automation", Kluwar academic Publishers, 2002.

102

SPECIALIZATION IN SIGNAL PROCESSING

103

MODERN

DIGITAL SINGAL PROCESSING

(Prerequisite: Digital Signal Processing)


L 4 T 0 P 0

UNIT I MULTIRATE DIGITAL SIGNAL PROCESSING: Decimation by an factor D- Interpolation by a Factor I - Sampling Rate Conversion by a Rational Factor I/D Filter Design and Implementation for sampling rate Conversion: Direct form FIR filter structures Poly-phase filter structures Time Variant filter structure, Multistage Implementation of Sampling Rate ConversionApplications of Multi-rate Signal Processing: Design of phase shifters interfacing of Digital Systems with different sampling rates- Narrow band low pass filters Digital filter banks Sub band coding of speech signal (10) UNIT II PARAMETRIC METHOD OF POWER SPECTRUM ESTIMATION: Parametric Methods for power spectrum estimation, Relationship between Auto-Correlation and Model Parameters, AR (Auto-Regressive) Process and Linear Prediction, Yule-Walker, Burg and Unconstrained Least Squares Methods, Sequential Estimation, Moving Average (MA) and ARMA Models, Minimum variance spectral estimation, Pisarenko Harmonic Decomposition Methods, MUSIC algorithm. (10) UNIT III FINITE WORD LENGTH EFFECTS: Limit cycles, Overflow Oscillations, Round-off Noise in IIR Digital Filters, Computational Output Round Off Noise, Methods to Prevent Overflow, Trade Off Between Round Off and Overflow Noise, Measurement of Coefficient Quantization Effects through Pole-Zero Movement, Dead Band Effects. (9) UNIT IV DFT FILTER BANKS AND TRANS MULTIPLIERS :DFT Filter Banks , maximally Decemated DFT Filter Banks, trans-multiplexer UNIT V MAXIMALLY DECEMATED FILTER BANKS :Vector spaces, two channel reconstruction conditions, lattice implementation of orthonormal filter banks. (8) perfect (8) (PTO)

104

TEXT BOOKS: 1 Roberto cristi, Modern Digital Signal Processing , Thomson Learning 2Proakis JG and Manolakis DG, Digital Signal Processing Principles, Algorithms and Application, Pearson Education. REFERENCES 1.Openheim AV & Schafer RW, Discrete Time Signal Processing, Pearson Education, Asia. 2.Raghuveer M. Rao, Ajit S Bopardikar, Wavelet Transform, Introduction to Theory and Applications, Pearson Education, Asia 200. 3.Orfanadis S, Introduction to Digital Signal Processing, Pearson Education, 4.Sanjit K.Mitra , DSP computer Based Approach 2nd Edition, MC Graw Hill 5.Hams Georg stark Wavelet & Signal Processing, Springer, 2005. SIMULATION TEXT BOOKS: 1.Samuel D Stearns, Digital Signal Processing with examples in Matlab, CRC Press, 2000. 2.ES Gopi. Algorithm collections for Digital Signal Processing Applications using Matlab , Springer, 2007. 3.Taan S.Elali, Discrete Systems and Digital Signal Processing with Matlab, CRC Press,2005.

105

DIGITAL IMAGE PROCESSING (Prerequisite: Digital Signal Processing)


L 4 T 0 P 2

UNIT - I INTRODUCTION: Origin of Digital Image Processing, Fields that uses Digital Image Processing, Fundamental steps in Digital Image Processing, Components of an Image Processing System. DIGITAL IMAGE FUNDAMENTLS: Elements of Visual perception, Image sampling and Quantization, Basic relationships between Pixels, Linear and Non-linear operations. (9) UNIT - II DIGITAL IMAGE TRANSFORMS Image Transforms The Discrete Fourier Transform, Walsh, Hadamard, Discrete Cosine Transform, Haar Transform, The Slant Transform, (9) UNIT - III IMAGE ENHANCEMENT IN SPATIAL DOMAIN: Some basic Grey level transformations, histogram processing, enhancement using Arithmetic/Logic operations, Smoothing Spatial Filters, Sharpening Spatial Filters. IMAGE ENHANCEMENT IN FREQUENCY DOMAIN: Introduction to Fourier Transform and the Frequency Domain, Smoothing Frequency Domain Filters, Sharpening Frequency Domain Filters. (9) UNIT - IV IMAGE RESTORATION: Noise models, Restoration in the presence of Noise, only Spatial Filtering, Periodic Noise reduction by Frequency Domain Filtering, Linear, Position-Invariant Degradations, Inverse Filtering, Wiener Filtering. IMAGE COMPRESSION: Fundamentals Image Compression models Error Free Compression, Lossy Compression. (9) UNIT - V IMAGE SEGMENTATION: Detection of discontinuities, Thresholding, Edge based Segmentation and Region based Segmentation. IMAGE REPRESENTATIONS AND DESCRIPTION Representation schemes, Boundary Descriptors, Regional Descriptors. (9) (PTO)

106

TEXT BOOKS 1.Rafael C Gonzalez, Richard E Woods, Digital Image Processing, Second Edition, Pearson Education Asia, 2002. (Chapter 3) 2.Gonzalez. R & Woods B.E., Digital Image Processing, Addison Wesley Longman Pearson Education, 2000. (Chapter 1, 2, 4, 5, 6, 7, 8, 9) REFERENCE BOOKS 1.Milan Sonka, Vaclav Hlavac and Roger Boyle, Image Processing Analysis and Machine Vision, Thomson learning, Second Edition, 2001. 2.William J Prati, Digital Image Processing, John Wiley & sons

107

DIGITAL IMAGE PROCESSING LAB 1.Spatial Filtering a) Basic intensity Gray level Transformation b) Histogram Processing / Equalization/Specification c) Linear Processing d) Local Enhancement 2. Image Enhancement with spatial smoothing Filters 3. Image Enhancement with spatial sharpening Filters 4. Image Enhancement with Frequency Domain smoothing Filters 5. Image Enhancement with Frequency Domain sharpening Filters 6. Image Restoration with generating spatial random noise with a specified distribution 7.Image Restoration with Restoration in the presence of noise only spatial filtering 8.Image compression with Huffman Encoding / decoding 9.Image compression with Interpixel / psychovisual redundancy 10.Image segmentation using point, Line, Edge detection 11.Image segmentation using Region growing / splitting and merging 12.Representation and description with Fourier descriptor REFERENCE BOOK Rafeel C Gonzalez, Richard E.Woods, Steven L Eddins. Digital Image Processing using Matlab, Pearson Education, 2004.

108


SPEECH PROCESSING

(Prerequisite: Digital Signal Processing)


L 4 T 0 P 0

UNIT I BASIC CONCEPTS Speech Fundamentals: Articulatory Phonetics Production and Classification of Speech Sounds; Acoustic Phonetics acoustics of speech production; Review of Digital Signal Processing concepts; Short-Time Fourier Transform, Filter-Bank and LPC Methods. UNIT II SPEECH ANALYSIS Features, Feature Extraction and Pattern Comparison Techniques: Speech distortion measures mathematical and perceptual Log Spectral Distance, Cepstral Distances, Weighted Cepstral Distances and Filtering, Likelihood Distortions, Spectral Distortion using a Warped Frequency Scale, LPC, PLP and MFCC Coefficients, Time Alignment and Normalization Dynamic Time Warping, Multiple Time Alignment Paths. (10) UNIT III SPEECH MODELING Hidden Markov Models: Markov Processes, HMMs Evaluation, Optimal State Sequence Viterbi Search, Baum-Welch Parameter Re-estimation, Implementation issues. (9) UNIT IV SPEECH RECOGNITION Large Vocabulary Continuous Speech Recognition: Architecture of a large vocabulary continuous speech recognition system acoustics and language models n-grams, context dependent sub-word units; Applications and present status. (10) UNIT V SPEECH SYNTHESIS Text-to-Speech Synthesis: Concatenative and waveform synthesis methods, sub-word units for TTS, intelligibility and naturalness role of prosody, Applications and present status. (10) (PTO)

109

TEXTBOOK 1.Lawrence Rabinerand Biing-Hwang Juang, Fundamentals of Speech Recognition, Pearson Education, 2003. 2.Daniel Jurafsky and James H Martin, Speech and Language Processing An Introduction to Natural Language Processing, Computational Linguistics, and Speech Recognition, Pearson Education, 2002. REFERENCES: 1.Steven W. Smith, The Scientist and Engineers Guide to Digital Signal Processing, California Technical Publishing, 1997. 2.Thomas F Quatieri, Discrete-Time Speech Signal Processing Principles and Practice, Pearson Education, 2004. 3.Claudio Becchetti and Lucio Prina Ricotti, Speech Recognition, John Wiley and Sons, 1999. 4.Ben gold and Nelson Morgan, Speech and audio signal processing, processing and perception of speech and music, Wiley- India Edition, 2006 Edition. 5.Frederick Jelinek, Statistical Methods of Speech Recognition, MIT Press, 1997.

110

REAL TIME DIGITAL SIGNAL PROCESSING (Prerequisite: Digital Signal Processing)


L 4 UNIT 1 TMS 320C 6X ARCHITECTURE: CPU operation, pipelined CPU, veloci TI C64XDSP T 0 P 0

(9) UNIT II SOFTWARE TOOLS: C6X DSK/EVM Target boards, Assembly File, memory management, compiler utility, code initialization. (9) UNIT III TMS320C55X Addressing Modes: Direct addressing mode, Indirect addressing mode, absolute addressing mode, memory mapped register addressing mode, Register bits addressing mode, circular addressing mode. (9) UNIT IV PIPELINE AND PARALLELISM , Introduction set TMS320C5XX Pipeline, parallel execution, Arithmetic Instructions, Logic & Bit manipulation instructions, move instruction, Program flow control instructions. (9) UNIT V IMPLEMENTATION: Implementation of Quantization of sinusoidal signals, Quantization of speech signal, DFT, Block FIR filter & symmetric FIR filters. (9) TEXT BOOKS 1.N.Kehtarnavaz Real Time Digital Signal Processing , Elsevier Inc, 2005 (chapter 1,2) 2.Sen M Kuo, Bob H Lee, Real Time Digital Signal Processing, John Willy & sons Ltd., 2001, (Chapter 3,4,5) REFERENCE BOOK R Chassaing, Digital Signal Processing applications using C & the TMS320C6X DSK, John Wiley & sons, Inc, 2002

111

ARRAY SIGNAL PROCESSING (Prerequisite: Digital Signal Processing, Antenna & Wave Propagation)
L 4 T 0 P 0

UNIT I SPATIAL SIGNALS, Signals in space and time. Spatial frequency, Direction vs. frequency. Wave fields. Far field and Near field signals. (9) UNIT II SENSOR ARRAYS, Spatial sampling, Nyquist criterion. Sensor arrays. Uniform linear arrays, planar and random arrays. Array transfer (steering) vector. (9) UNIT III Array steering vector for ULA. Broadband arrays. (9) UNIT IV SPATIAL FREQUENCY, Aliasing in spatial frequency domain. Spatial Frequency Transform, Spatial spectrum. Spatial Domain Filtering. Beam Forming. Spatially white signal. (9) UNIT V DIRECTION OF ARRIVAL ESTIMATION, Non parametric methods - Beam forming and Capon methods. Resolution of Beam forming method. Subspace methods - MUSIC, Minimum Norm and ESPRIT techniques. Spatial Smoothing. (9) TEXT BOOKS 1. Dan E. Dugeon and Don H. Johnson. (1993). Array Signal Processing: Concepts and Techniques. Prentice Hall. 2. Petre Stoica and Randolph L. Moses. (2005, 1997) Spectral Analysis of Signals. Prentice Hall.

112

BIOMEDICAL SIGNAL PROCESSING (Prerequisite: Digital Signal Processing, Bio-Medical Instrumentation)


L 4 T 0 P 0

UNIT I INTRODUCTION TO BIOMEDICAL SIGNALS - Examples of Biomedical signals - ECG, EEG, EMG etc - Tasks in Biomedical Signal Processing - Computer Aided Diagnosis. Origin of bio potentials - Review of linear systems - Fourier Transform and Time Frequency Analysis (Wavelet) of biomedical signals- Processing of Random & Stochastic signals spectral estimation Properties and effects of noise in biomedical instruments - Filtering in biomedical instruments (9) UNIT II CONCURRENT, COUPLED AND CORRELATED PROCESSES - illustration with case studies Adaptive and optimal filtering - Modeling of Biomedical signals - Detection of biomedical signals in noise - removal of artifacts of one signal embedded in another -Maternal-Fetal ECG Muscle-contraction interference. Event detection - case studies with ECG & EEG - Independent component Analysis - Cocktail party problem applied to EEG signals - Classification of biomedical signals. (9) UNIT III CARDIO VASCULAR APPLICATIONS : Basic ECG - Electrical Activity of the heart- ECG data acquisition ECG parameters & their estimation - Use of multiscale analysis for ECG parameters estimation - Noise & Artifacts- ECG Signal Processing: Baseline Wandering, Power line interference, Muscle noise filtering QRS detection - Arrhythmia analysis (9) UNIT IV DATA COMPRESSION: Lossless & Lossy- Heart Rate Variability Time Domain measures Heart Rhythm representation - Spectral analysis of heart rate variability - interaction with other physiological signals. (9) UNIT V NEUROLOGICAL APPLICATIONS: The electroencephalogram - EEG rhythms & waveform categorization of EEG activity - recording techniques - EEG applications- Epilepsy, sleep disorders, brain computer interface. Modeling EEG- linear, stochastic models Non linear modeling of EEG - artifacts in EEG & their characteristics and processing Model based spectral analysis - EEG segmentation - Joint Time-Frequency analysis correlation analysis of EEG channels - coherence analysis of EEG channels. (9) (PTO)

113

TEXT BOOKS 1. D.C.Reddy , Biomedical Signal Processing: Principles and techniques , Tata McGraw Hill, New Delhi, 2005 2. Willis J Tompkins , Biomedical Signal Processing -, ED, Prentice Hall, 1993 REFERENCES: 1. R. Rangayan, Biomedical Signal Analysis, Wiley 2002. 2. Bruce, Biomedical Signal Processing & Signal Modeling, Wiley, 2001 3. Srnmo, Bioelectrical Signal Processing in Cardiac & Neurological Applications, Elsevier 4. Semmlow, Biosignal and Biomedical Image Processing, Marcel Dekker 2004 5. Enderle, Introduction to Biomedical Engineering, 2/e, Elsevier, 2005

114

TRANSFORM TECHNIQUES (Prerequisite: Digital Signal Processing)


L 4 T 0 P 0

UNIT I: REVIEW OF TRANSFORMS: Signal Spaces, Concept of Convergence, Hilbert Spaces for Energy Signals, Fourier Basis, FT-Failure of FT-Need for Time-Frequency Analysis, Spectrogram Plot-Phase Space Plot in Time-Frequency Plane, Continuous FT, DTFT, Discrete Fourier Series and Transforms, Z-Transform, Relation Between CFT-DTFT, DTFT-DFS,DFSDFT, DCT(1D&2D), Walsh, Hadamard, Haar, Slant, KLT,Hilbert Transforms Definition, Properties and Applications (10) UNIT II: CWT & MRA: Time-Frequency Limitations, Tiling of Time-Frequency Plane for STFT, Heisenberg Uncertainty Principle, Short Time Fourier Transform (STFT) Analysis, Short Comings of STFT, Need for Wavelets- Wavelet Basis- Concept of Scale and its relation with Frequency , Continuous Time Wavelet Transform Equation- Series Expansion using WaveletsCWT- Need for Scaling Function- Multi Resolution Analysis, Tiling of Time Scale Plane for CWT, Important Wavelets : Haar, Mexican Hat Meyer, Shannon, Daubechies. (10) UNIT III: MULTIRATE SYSTEMS, FILTER BANKS AND DWT: Basics of Decimation and Interpolation in Time & Frequency Domains, Two-Channel Filter Bank, Perfect Reconstruction Condition, Relation Ship between Filter Banks and Wavelet Basis, DWT Filter Banks for Daubechies Wavelet Function (9) UNIT IV: SPECIAL TOPICS: Wavelet Packet Transform Multidimensional Wavelets, Bi-orthogonal BasisB-splines, Lifting Scheme of Wavelet Generation, Multi Wavelets (8) UNIT V: APPLICATIONS OF TRANSFORMS: Signal Denoising, Subband Coding of Speech and Music, Signal Compression - Use of DCT, DWT,KLT, 2-D DWT, Fractal Signal Analysis. (8) (PTO)

115

TEXT BOOKS: 1.Jaideva C Goswami, Andrew K Chan Fundamentals of Wavelets- Theory, Algorithms and Applications, John Wiley & Sons, Inc, Singapore, 1999. 2. Raghuveer M.Rao and Ajit S. Bopardikar ,Wavelet Transforms-Introduction theory and applications-, Pearson edu, Asia, New Delhi, 2003. REFERENCE BOOKS: 1. Vetterli M. Kovacevic Wavelets and Sub-band Coding, PJI, 1995. 2. C. Sydney Burrus,Introduction to Wavelets and Wavelet Transforms, PHI, First Edition, 3. Stephen G. Mallat A Wavelet Tour of Signal Processing, Academic Press, Second Edition, 4. S.Jayaraman, S.Esakkirajan, T.Veera Kumar,Digital Image Processing,TMH,2009 5. Soman. K. P. Ramachandran. K.I Insight into Wavelets from Theory to Practice,Printice Hall India, First Edition, 2004.

116

MULTIRATE SIGNAL PROCESSING (Prerequisite: Digital Signal Processing)


L 4 T 0 P 0 UNIT I FUNDAMENTALS OF MULTIRATE THEORY: The sampling theorem - sampling at subnyquist rate - Basic Formulations and schemes. Basic Multirate operations- Decimation and Interpolation - Digital Filter Banks- DFT Filter Bank- Identities- Polyphase representation (9) UNIT II MAXIMALLY DECIMATED FILTER BANKS: Polyphase representation - Errors in the QMF bank- Perfect reconstruction (PR) QMF Bank - Design of an alias free QMF Bank (9) UNIT III M-CHANNEL PERFECT RECONSTRUCTION FILTER BANKS: Uniform band and non uniform filter bank - tree structured filter bank- Errors created by filter bank system- Polyphase representation- perfect reconstruction systems (9) UNIT IV PERFECT RECONSTRUCTION (PR) FILTER BANKS: Paraunitary PR Filter Banks- Filter Bank Properties induced by paraunitarity- Two channel FIR paraunitary QMF Bank- Linear phase PR Filter banks- Necessary conditions for Linear phase property- Quantization Effects: -Types of quantization effects in filter banks. - coefficient sensitivity effects, dynamic range and scaling. (9) UNIT V COSINE MODULATED FILTER BANKS: Cosine Modulated pseudo QMF Bank- Alias cancellationphase - Phase distortion- Closed form expression- Polyphase structure- PR Systems (9) TEXT BOOKS 1. P.P. Vaidyanathan. Multirate systems and filter banks. Prentice Hall. PTR. 1993. 2. N.J. Fliege. Multirate digital signal processing . John Wiley 1994. REFERENCES: 1. Sanjit K. Mitra. Digital Signal Processing: A computer based approach. McGraw Hill. 1998. 2. R.E. Crochiere. L. R. Multirate Digital Signal Processing, Prentice Hall. Inc.1983. 3J.G. Proakis. D.G. Manolakis. Digital Signal Processing: Principles. Algorithms and Applications, 3rd Edn. Prentice Hall India, 1999

117

WAVELET TRANSFORMS: THEORY & CONSTRUCTION (Prerequisite: Digital Signal Processing)


L 4 T 0 P 0 UNIT I GENERALIZED FOURIER THEORY, Fourier transform, Short-time(windowed) Fourier transform, Time-frequency analysis, Fundamental notions of the theory of sampling. Theory of Frames, Bases, Resolution of unity, Definition of frames, Geometrical considerations and the general notion of a frame, Frame projector, Example windowed Fourier frames. (9) UNIT II WAVELETS, The basic functions, Specifications, Admissibility conditions, Continuous wavelet transform (CWT), Discrete wavelet transform (DWT). The multi-resolution analysis (MRA) of L2(R) (9) UNIT III THE MRA AXIOMS, Construction of an MRA from scaling functions - The dilation equation and the wavelet equation, Compactly supported orthonormal wavelet bases - Necessary and sufficient conditions for orthonormality. (9) UNIT IV REGULARITY AND SELECTION OF WAVELETS, Smoothness and approximation order Analysis in Sobolev space, Criteria for wavelet selection with examples. Construction of wavelets Splines, Cardinal B-spline MRA, Subband filtering schemes, Compactly supported orthonormal wavelet bases. (9) UNIT V WAVELET TRANSFORM, Wavelet decomposition and reconstruction of functions in L2(R). Fast wavelet transform algorithms Relation to filter banks, Wavelet packets Representation of functions, Selection of basis. Construction of wavelets , Biorthogonality and biorthogonal basis, Biorthogonal system of wavelets - construction, The Lifting scheme. (9) (PTO)

118

TEXT BOOKS 1. Stephen G. Mallat, A wavelet tour of signal processing 2nd Edition Academic Press, 2000. 2. M. Vetterli, J. Kovacevic, Wavelets and subband coding Prentice Hall Inc, 1995 REFERENCES: 1.Gilbert Strang and Truong Q. Nguyen, Wavelets and filter banks 2nd Edition WellesleyCambridge Press, 1998. 2.Gerald Kaiser, A friendly guide to wavelets Birkhauser/Springer International Edition, 1994, Indian reprint 2005. 3. L. Prasad and S. S. Iyengar, Wavelet analysis with applications to image processing CRC Press, 1997. 4. J. C. Goswami and A. K. Chan, Fundamentals of wavelets: Theory, Algorithms and Applications Wiley-Interscience Publication, John Wiley & Sons Inc., 1999. 5. Mark A. Pinsky, Introduction to Fourier Analysis andWavelets Brooks/Cole Series in Advanced Mathematics, 2002 6. Christian Blatter, Wavelets: A primer A. K. Peters, Massachusetts,1998. 7. M. Holschneider, Wavelets: An analysis tool Oxford Science Publications, 1998. 8. R. M. Rao and A. Bopardikar, Wavelet transforms: Introduction to theory and applications Addison-Wesley, 1998. 9. Ingrid Daubechies, Ten lectures on wavelets SIAM, 1990. 10. H. L. Resnikoff and R. O. Wells, Jr., Wavelet analysis: The scalable structure of information Springer, 1998. 11. P. P. Vaidyanathan, Multirate systems and filter banks Prentice Hall P T R, 1993. 12. P. Wojtaszczyk, A mathematical introduction to wavelets Cambridge University Press 13. Michael W. Frazier, An introduction to wavelets through linear algebra Springer-Verlag, 1999. 14. Anthony N. Michel and Charles J. Herget, Applied algebra and functional analysis Dover Publications Inc., 1993.

119

NEURAL NETWORKS & FUZZY LOGIC (Prerequisite: Nil)


L 3 UNIT I INTRODUCTION & SIMPLE NEURAL NETWORKS FOR PATTERN CLASSIFICATIONS Introduction, Artificial Neural Networks, Historical Development of Neural Networks, Network Architecture, setting the weights, Activation functions, Learning Methods. PERCEPTION: Architecture, Algorithm, Applications ADALINE: Architecture, Algorithm, Applications, Mada Line (9) UNIT II BACK PROPAGATION NEURAL NET Standard Back Propagation: Architecture, Algorithm, Applications NEURAL NETWORK BASED ON COMPETITION Fixed weight Competitive Nets: Maxnet, Mexican Hat, Hamming Net, Kohenen Self Organizing Maps. (9) UNIT III PATTERN ASSOCIATION Training Algorithm for pattern Association, Hetro Associative Memory Neural Network, Auto Associative Net, BAM: Architecture, Algorithm, Applications, Analysis ADAPTIVE RESONANCE THEORY Introduction: Basic Architecture, Basic Operation ART1, ART2: Architecture, Algorithm, Applications, Analysis Boltzman Machine, Neocognitron: Architecture, Algorithm (9) UNIT IV CRISP OR FUZZY LOGIC Fuzzy sets: Fuzzy number, numbers, alpha cuts inqualities, discrete Fuzzy sets Fuzzy Arithmetic: Extension principle, interval arithmetic, Fuzzy arithmetic. Fuzzy functions: Extension principle (9) UNIT V 7.FUZZY PROBABILITY THEORY Introduction, Fuzzy probability, Fuzzy conditional probability, Fuzzy independence, Fuzzy Bayes formula. (9) (PTO) T 0 P 0

120

TEXT BOOKS: 1.Laurene Fausett, Fundamental of Neural Networks, Pearson education 2.James Buckley, Fuzzy probabilities, Springer REFERENCES: 1.Simon Haykin, Neural Networks, Pearson Education 2.P.D Wasserman, Neural Computing Theory and Practice, Van Nostrand Reinhold, New York, 1989. 3.Freeman J.A. and Skapura B.M., Neural Networks, Algorithms Applications and Programming Techniques, Addison-Wesely,1990. 4.Martin T Hagan,H B Demuth, M Beale,Neural Network Design,PWS Publishing,1996 SIMULATION BOOKS: 1.S.N.Sivanandam, S.Sumathi & S.N.Deepa Introduction to Neural Netowrks using Matlab, MC Graw Hill, 2005. 2.S.N.Sivanandam, S.Sumathi & S.N.Deepa Introduction to Fuzzy Logic using Matlab, Springers,2007.

121

OPTICAL SIGNAL PROCESSING (Prerequisite: Digital Signal Processing, Optical Communications)


L 4 T 0 P 0

UNIT I Basics of signal processing and optics, Characterization of a General signal, examples of signals, Spatial signal. Basic laws of geometrical optics, Refractions by mirrors, the lens formulas, General Imaging conditions, the optical invariant, Optical Aberrations. (9) UNIT II Physical Optics, The Fresnel Transforms, the Fourier transform, Examples of Fourier transforms, the inverse Fourier transform, Extended Fourier transform analysis, Maximum information capacity and optimum packing density, System coherence. (9) UNIT III Spectrum Analysis and Spatial Filtering, Light sources, spatial light modulators, The detection process in Fourier domain, System performance parameters, Dynamic range. Some fundamentals of signal processing, Spatial Filters, (9) UNIT IV Binary Spatial Filters, Magnitude Spatial Filters, Phase Spatial Filters, Real valued Spatial Filters, Interferometric techniques for constructing Spatial Filters. Optical signal processor and filter generator, Applications for optical signal processing. (9) UNIT V Acousto-optic cell spatial light modulators, Applications of acousto-optic devices. Basic Acousto-optic power spectrum analyzer. Heterodyne systems: Interference between two waves, the optical Radio. (9) TEXT BOOKS 1) Optical signal processing: Anthony Vanderlugt. Wiley-Interscience; Revised edition 2) Ultrafast All-Optical Signal Processing Devices: Dr. Hiroshi Ishikawa. Wiley REFERENCES: 1. D. Casasent, Optical data processing-Applications, Springer-Verlag, Berlin, 1978 2. H.J. Caulfield, Handbook of holography, Academic Press New York 1979 3. P.M. Dufffieux, The Fourier Transform and its applications to Optics, John Wiley and sons 4. J. Horner ,Optical Signal Processing Academic Press 1988 5.Joseph W. Goodman Introduction to Fourier Optics, second edition Mc Graw Hill. 6. Francis T. S. Yu, Suganda Jutamulia,Optical Signal Processing, Computing, and Neural Networks, Krieger Publishing Company; 2nd edition (August 2000)

122

SPECIALIZATION IN COMPUTER NETWORKS

123

COMPUTER NETWORKS (Prerequisite: Analog Communications)


L 3 T 0 P 0

UNIT I INTRODUCTION: Uses of Computer Networks, Network Hardware, Network Software, Reference Models (OSI, TCP/IP) PHYSICAL LAYER: Transmission Media, Wireless Transmission, Multiplexing (FDM, TDM, WDM), Switching. (9) UNIT II DATA LINK LAYER: Data Link Layer design issues, Error Detection and Correction (Types of Error, Detection, and Error Correction), Flow and Error Control, Stop and Wait ARQ, Go-Back-N ARQ, Selective Repeat ARQ, Sliding Window Protocols, HDLC. (9) UNIT III MEDIUM ACCESS CONTROL SUBLAYER: The channel Allocation problem, Random Access-(ALOHA, CSMA, CSMA/CD, CSMA/CA), Ethernet, Fast Ethernet, IEEE802.4, IEEE802.5, Bridges ROUTING PROTOCOLS: Network layer Design Issues, Routing Algorithms (Shortest Path Routing, Flooding, Distance Vector Routing, Link State Routing, Hierarchical Routing, Broadcast Routing, Multicast Routing). (9) UNT IV CONGESTION CONTROL & NETWORK LAYER PROTOCOLS: Congestion Control Algorithms-(Congestion Prevention Policies, Traffic Shaping, Flow Specifications, Choke Packets, Congestion Control for Multicasting), Internetworking, The Network layer in the Internet- (IP Protocol, IP Address, ICMP, ARP, RARP). (9) UNIT V TRANSPORT LAYER: The Transport Service, TCP, UDP, APPLICATION LAYER: DNS, E-mail, SNMP, FTP, WWW (Architectural Overview only) (9) (PTO)

124

TEXT BOOKS 1.A.S Tanenbaum, Computer Networks, 4th Edition, Pearson Education (Chapters 1, 2, 4, 5, 6, 7, 8). 2.B. A. Forouzan, Data Communications and Networking, Third Edition, Tata MC-Graw Hill Inc (Chapter 3) REFERENCES 1.Gary R. Wright, W. Richard Stevens TCP/IP Illustrated, Volume 2: The Implementation, Addison Wesley 2.W. Richard Stevens, TCP/IP Illustrated, Volume 1: The protocols , Addison Wesley 3.William Stallings, Data and Computer Communications, Seventh Edition,Pearson Education

125

TELECOMMUNICATION SWITCHING SYSTEMS (Prerequisite Computer Networks)


L 4 T 0 P 0

UNIT I: SWITCHING SYSTEMS: Evolution of Telecommunications; Basics of a Switching System; Functions of a Switching System; Crossbar Switching-Principle of Crossbar Switching; Crossbar Switch Configurations; Cross-Point Technology; Crossbar Exchange Organization; A General Trunking; Electronic Switching; Digital Switching Systems. TELECOMMUNICATIONS TRAFFIC: Introduction; The Unit of Traffic; Congestion; Traffic Measurement; A Mathematical Model; Lost-Call Systems-Theory; Traffic Performance; Loss Systems in Tandem; Use of Traffic Tables; Queuing Systems-The Second Erlang Distribution; Probability of Delay; Finite Queue Capacity; Some Other Useful Results; Systems with a Single Server; Queues in Tandem; Delay Tables; Applications of Delay Formulae. (9) UNIT II: SWITCHING NETWORKS: Single Stage Networks; Gradings-Principle; Two Stage Networks; Three Stage Networks; Four Stage Networks TIME DIVISION SWITCHING: Basic Time Division Space Switching; Basic Time Division Time Switching; Time Multiplexed Space Switching; Time Multiplexed Time Switching; Combination Switching; Three Stage Combination Switching. CONTROL OF SWITCHING SYSTEMS: Call Processing Functions-Sequence of Operations; Signal Exchanges; State Transition Diagrams; Common Control; Reliability; Availability and Security; Stored Program Control. (9) UNIT III: SIGNALLING: Introduction; Customer Line Signaling; Audio Frequency Junctions and Trunk Circuits; FDM Carrier Systems-Outband Signaling; Inband (VF) Signaling; PCM Signaling; Inter Register Signaling; Common Channel Signaling Principles-General Signaling Networks; CCITT Signaling System Number 6; CCITT Signaling System Number 7; The High Level Data Link Control Protocol; Signal Units; The Signaling Information Field. (9) UNIT IV: PACKET SWITCHING: Introduction; Statistical Multiplexing; Local Area And Wide Area Networks-Bus Networks; Ring Networks; Comparison of Bus and Ring Networks; Optical Fiber Networks; Large Scale Networks-General; Datagrams and Virtual Circuits; Routing; Flow Control; Standards; Frame Relay; Broadband Networks-General; The Asynchronous Transfer Mode; ATM Switches. (9) UNIT V: NETWORKS: Introduction; Analog Networks; Integrated Digital Networks; Integrated Services Digital Networks; Cellular Radio Networks; Intelligent Networks; Private Networks; Charging; Routing General, Automatic Alternative Routing. (9) (PTO)

126

TEXT BOOKS: 1.J. E Flood, Telecommunications Switching and Traffic Networks, Pearson Education, 2006. 2.Tyagarajan Viswanathan, Telecommunications Switching Systems and Networks, Prentice Hall of India Pvt. Ltd., 2006. REFERENCES: 1.John C Bellamy, Digital Telephony, John Wiley International Student Edition,3rd Edition, 2000. 2.Behrouz A. Forouzan, Data Communications and Networking, TMH, 2nd Edition, 2002. 3.Tomasi, Introduonction to Data Communication and Networking, Pearson Education, 1st Edition, 2007.

127


TCP/IP INTERNETWORKING
L 4 T 0 P 0

(Prerequisite Computer Networks)

UNIT I: NETWORK MODELS: Layered Tasks, The OSI Model, Layers in OSI Model, TCP/IP Protocol Suite, Addressing. CONNECTING DEVICES: Passive Hubs, Repeaters, Active Hubs, Bridges, Two Layer Switches, Routers, Three Layer Switches, Gateway, Backbone Networks. (9) UNIT II: INTERNETWORKING CONCEPTS: Principles of Internetworking, Connectionless Interconnection, Application Level Interconnection, Network Level Interconnection, Properties of the Internet, Internet Architecture, Interconnection through IP Routers TCP, UDP & IP: TCP Services, TCP Features, Segment, A TCP Connection, Flow Control, Error Control, Congestion Control, Process to Process Communication, User Datagram, Checksum, UDP Operation, IP Datagram, Fragmentation, Options, IP Addressing: Classful Addressing, IPV6. (9) UNIT III: CONGESTION AND QUALITY OF SERVICE: Data Traffic, Congestion, Congestion Control, Congestion Control in TCP, Congestion Control in Frame Relay, Source Based Congestion Avoidance, DEC Bit Scheme, Quality of Service, Techniques to Improve QoS: Scheduling, Traffic Shaping, Admission Control, Resource Reservation, Integrated Services and Differentiated Services. (9) UNIT IV: QUEUE MANAGEMENT: Concepts of Buffer Management, Drop Tail, Drop Front, Random Drop, Passive Buffer Management Schemes, Drawbacks of PQM, Active Queue Management: Early Random Drop, RED Algorithm. (9) UNIT V: STREAM CONTROL TRANSMISSION PROTOCOL: SCTP Services, SCTP Features, Packet Format, Flow Control, Error Control, Congestion Control. Mobile Network Layer: Entities and Terminology, IP Packet Delivery, Agents, Addressing, Agent Discovery, Registration, Tunneling and Encapsulating, Inefficiency in Mobile IP. Mobile Transport Layer : Classical TCP Improvements, Indirect TCP, Snooping TCP, Mobile TCP, Fast Retransmit/ Fast Recovery, Transmission, Timeout Freezing, Selective Retransmission, Transaction Oriented TCP. (9) (PTO)

128

TEXT BOOKS: 1.TCP/IP Protocol Suite: Behrouz A Forouzan, TMH, 3rd Edition 2.Data Communication & Networking: B.A. Forouzan, TMH, 4th Edition. REFERENCES: 1.High performance TCP/IP Networking -- Mahbub Hasan & Raj Jain PHI -2005 2.Internetworking with TCP/IP -- Douglas. E.Comer, Volume I PHI 3.Computer Networks-Larry L. Perterson and Bruce S. Davie 4.Mobile communications , Jochen schiiler, Pearson , Second Edition

129

NETWORK SECURITY & CRYPTOGRAPHY (Prerequisite Computer Networks)


L 4 T 0 P 0

UNIT I OVERVIEW: Services, Mechanisms & Attacks, The OSI security Architecture, A Model for Network Security. CLASSICAL ENCRYPTION TECHNIQUES: Symmetric Cipher model,Substitution Techniques, Transposition Techniques, Rotor machines, Steganography. BLOCK CIPHERS & THE DATA ENCRYPTION STANDARD: DES, Differential and Linear Cyptanalysis, Block Cipher Modes of Operations. ADVANCED ENCRYPTION STANDARD: Evaluation Criteria, AES Cipher. (9) UNIT II Contemporary Symmetric Ciphers: Triples DES, Blowfish, RC5, Characteristics of Advanced Symmetric Block Ciphers. Introduction to Number Theory: Fermats and Eulers Theorems. PUBLIC-KEY CRYPTOGRAPHY & RSA: principles of Public Key Cryptosystems. RSAAlgorithm. (9) UNIT III KEY MANAGEMENT: Deffie-Hellman Key Exchange, Elliptic Curve Arithmetic and Cryptography. Message Authentication & Hash functions: Authentication Requirements, Authentication Functions, Message Authentication Codes, hash Functions. HASH ALGORITHMS: MD5 Message Digest Algorithm, Secure hash Algorithm. (9) UNIT IV: DIGITAL SIGNATURES & AUTHENTICATION: Digital Signatures, Authentication Protocols, Digital Signature Standards. AUTHENTICATION APPLICATIONS: Kerberos, X.509 Authentication Service. ELECTRONIC MAIL SECURITY: Pretty Good Privacy, S/MIME. UNIT V: IP SECURITY, WEB SECURITY: Overview, Architecture, Authentication Encapsulating Security Payload, Combining Security Associations, Key Management. Firewalls: Firewall Design Principles, Trusted Systems. Header, (9)

TEXT BOOK 1.William Stallings; Cryptography and Network Security, Third Edition, Pearson Education REFERENCE BOOKS 1.Kahate; Cryptography and Network Security,PHI 2.Charlie Kaufman, Radia Perlman, Mike Speciner; Network Security ; 2nd Edition,

130

AD HOC NETWORKS (Prerequisite Computer Networks)


L 4 T 0 P 0

UNIT-I FUNDAMENTALS: Fundamentals of wireless communication technologies, the Electromagnetic Spectrum, Radio Propagation Mechanisms, Characteristics of wireless channel, Modulation Techniques, Multiple Access Techniques, Voice coding, Error control, Computer Networks, Computer network Software, Computer Network Architecture, IEEE 802 Networking Standard., Wireless Networks (LANS-PANS): Fundamentals of wireless LANS, 802.11 Standard Hyper LAN Standard Bluetooth., Wireless Networks (WANS-MANS): The cellular Concept, Cellular Architecture, The first, second and third Generation cellular systems, Wireless in Local Loop Wireless ATM, IEEE 802.16 Standard, HIPER ACCESS Wireless Internet: Address Mobility, in efficiency in Transport and Application layer protocols, Mobile IP (Mobile IP, Simultaneous Binding, Route Optimization. Mobile IP variations, Handoffs, IPv6 Advancements, IP for wireless domains, security in Mobile IP, MRSVP Resource reservation), TCP in wireless domain, Optimizing WEB over Wireless. [12] UNIT II AD HOC WIRELESS NETWORKS: Introduction, Issues in Ad Hoc Wireless Networks,Ad Hoc wireless Internet MAC Protocols for Ad Hoc wireless networks: Issues in designing MAC Protocol for Ad Hoc wireless networks, Designing Goals of MAC protocol for Ad Hoc Wireless Networks, Classification of MAC protocols, Contention based MAC protocols, Contention with reservation mechanism based MAC protocols(Distributed Packet Reservation Multiple Access protocol, Collision Avoidance Time Allocation Protocol, Hop Reservation Multiple Access Protocol, Soft reservation Multiple Access with Priority Assignment, Five Phase reservation protocol, MACA with Piggy-Backed Reservation, Real time medium Access Control Protocol, Contention with scheduling mechanism based MAC protocols, MAC protocols that use directional antennas, Other MAC Protocols. [12] UNIT III ROUTING PROTOCOLS FOR AD HOC WIRELESS NETWORKS: Issues in designing a Routing protocol for Ad hoc Wireless Networks, Classification of Routing Protocols, Table driven Routing Protocols Hybrid Routing Protocols, Routing Protocols with efficient flooding Mechanisms, Hierarchical Routing protocols, Power aware routing Protocol Multi cast Routing in Ad Hoc wireless networks: Issues in designing Multicast routing protocol, operation of Multicast Routing Protocols, A reference model for Multicasting routing protocols, Classification of Multicasting protocols, Tree based multicast routing protocols,Mesh based Multicast routing protocols, summary of core and mesh based multi casting routing protocols, Energy Efficient Multicasting Multicasting with QoS Guarantees, Application Dependent Multicasting. [12] (PTO)

131

UNIT IV Transport Layer and security Protocols: Issues in designing Transport layer protocols for Ad hoc wireless networks, Design Goals of a transport Layer Protocol, classification of Transport layer solutions, TCP over Ad Hoc wireless networks9Traditinal TCP, Non functioning of TCP for ad hoc networks, Feedback based TCP, TCP with explicit Link Failure Notification, TCP-BuS, Ad hoc TCP, Split TCP, Comparison of TCP Solutions with Ad hoc wireless networks, Other transport Layer Protocols for ad hoc wireless networks. Security in Ad hoc networks, Network security requirements, Issues and Challenges in security provisioning, Network security attacks, Key management, Secure routing in Ad Hoc Wireless Networks Authenticated routing for Ad hoc networks, security aware AODV Protocol [12] UNIT V QUALITY OF SERVICE IN AD HOC WIRELESS NETWORKS: Real time Traffic support in Ad Hoc wireless networks, QoS parameters in Ad hoc Wireless networks, issues and challenges in providing QoS in Ad Hoc wireless networks, Classification of QoS solutions (QoS Approaches, Layer wise classification of existing solutions, MAC Layer Solutions, Network layer Solutions, QoS framework for ad hoc wireless networks QoS Models, QoS Resource Reservation Signaling, INSIGNIA, INORA, SWAN, Proactive RTMAC [12] TEXT BOOKS 1.Ad Hoc Wireless Networks(Architecture and Protocols), C Siva Rama Murthy and BS Manoj, Person education, 2004 REFERENCE BOOKS 1.Ad-Hoc,Mobile, And wireless networks by samuel Pierre, Michel Barbeau, Evangelos Kranakis-Publisher Springer, 2003

132

WIRELESS SENSOR NETWORKS (Prerequisite Computer Networks)


L 4 T 0 P 0 UNIT I CHARACTERISICS OF WSN Characteristic requirements for WSN - Challenges for WSNs WSN vs Adhoc Networks Sensor node architecture Commercially available sensor nodes Imote, IRIS, Mica Mote, EYES nodes, BTnodes, TelosB, Sunspot -Physical layer and transceiver design considerations in WSNs, Energy usage profile, Choice of modulation scheme, Dynamic modulation scaling, Antenna considerations. (9) UNIT II MEDIUM ACCESS CONTROL PROTOCOLS Fundamentals of MAC protocols - Low duty cycle protocols and wakeup concepts - Contentionbased protocols - Schedule-based protocols - SMAC - BMAC - Traffic-adaptive medium access protocol (TRAMA) - The IEEE 802.15.4 MAC protocol. (9) UNIT III ROUTI NG AND DATA GATHERING PROTOCOLS Routing Challenges and Design Issues in Wireless Sensor Networks, Flooding and gossiping Data centric Routing SPIN Directed Diffusion Energy aware routing - Gradient-based routing - Rumor Routing COUGAR ACQUIRE Hierarchical Routing - LEACH, PEGASIS Location Based Routing GF, GAF, GEAR, GPSR Real Time routing Protocols TEEN, APTEEN, SPEED, RAP - Data aggregation - data aggregation operations - Aggregate Queries in Sensor Networks - Aggregation Techniques TAG, Tiny DB. (9) UNIT IV EMBEDDED OPERATING SYSTEMS Operating Systems for Wireless Sensor Networks Introduction - Operating System Design Issues - Examples of Operating Systems TinyOS Mate MagnetOS MANTIS - OSPM EYES OS SenOS EMERALDS PicOS Introduction to Tiny OS NesC Interfaces and Modules- Configurations and Wiring - Generic Components -Programming in Tiny OS using NesC, Emulator TOSSIM. (9) UNIT V APPLICATIONS OF WSN WSN Applications - Home Control - Building Automation - Industrial Automation - Medical Applications - Reconfigurable Sensor Networks - Highway Monitoring - Military Applications Civil and Environmental Engineering Applications - Wildfire Instrumentation - Habitat Monitoring - Nanoscopic Sensor Applications Case Study: IEEE 802.15.4 LR-WPANs Standard - Target detection and tracking - Contour/edge detection - Field sampling. (9) (PTO)

133

TEXT BOOKS: 1.Kazem Sohraby, Daniel Minoli and Taieb Znati, Wireless Sensor Networks Technology, Protocols, and Applications, John Wiley & Sons, 2007. 2.Holger Karl and Andreas Willig, Protocols and Architectures for Wireless Sensor Networks, John Wiley & Sons, Ltd, 2005. REFERENCE BOOKS: 1.K. Akkaya and M. Younis, A survey of routing protocols in wireless sensor networks, Elsevier Ad Hoc Network Journal, Vol. 3, no. 3, pp. 325--349, 2005. 2.Philip Levis, TinyOS Programming,2006 3.Anna Hac, Wireless Sensor Network Designs, John Wiley & Sons Ltd, 2003.

134

HIGH SPEED NETWORKS (Prerequisite Computer Networks)


L 4 T 0 P 0 UNIT-I INTRODUCTION: A Brief Networking History, The Need for Speed & Quality of service, Advanced TCP/IP & ATM Networks. Protocols & the TCP/IP suite: The Need for protocol Architecture, The TCP/IP protocol Architecture, The OSI Model, Internetworking. TCP & IP: Transmission Control Protocol, User Datagram Protocol, The Internet Protocol, IPV6. [12] UNIT-II Frame Relay: Packet-Switching Networks, Frame Relay Networks. Asynchronous Transfer Mode: ATM protocol Architecture, ATM Logical connections, ATM cells, ATM service categories, ATM Adaptation Layer. High speed LANs: The Emergence of High sped LANs, Ethernet, Fiber Channel, Wireless LANs. [12] UNIT-III Queuing Analysis: How Queues behave, Why Queuing Analysis, Queuing Models, Single Server Queues, Multiserver Queues, Examples, Queues with priorities, Networks of Queues, Other Queuing Models, Estimating Model parameters. Self Similar Traffic: Self Similarity, Self Similar Data Traffic, Examples of Self Similar Data Traffic, Performance Implications of Self Similarity, Modeling & Estimation of Self Similar Data Traffic. [12] UNIT-IV Congestion Control in Data Networks & Internets: Effects of Congestion, Congestion & control, Traffic Management, Congestion Control in Packet Switching Networks, Frame Relay Congestion Control. Link Level Flow & Error Control: The Need of Flow & Error Control, Link Control Mechanisms, ARQ Performance. TCP Traffic Control: TCP Flow Control, TCP Congestion Control, Performance of TCP over ATM. Traffic & Congestion Control in ATM Networks: Requirements for ATM Traffic & Congestion Control, ATM Traffic related Attributes, Traffic Management Frame Work, Traffic Control, ABR Traffic Management, GFR Traffic Management. [12] UNIT-V: Interior Routing Protocols: Internet Routing Principles, Distance vector Protocol, Link state Protocol.Quality of service in IP networks, Integrated and differentiated services Exterior Routing Protocols & Multicast: Path Vector Protocols-BGP and IDRP Multicasting [12] (PTO)

135

TEXT BOOKS: 1.High Speed Networks & Internet Performance & Quality of Service, William Stallings, 2/E Pearson.,2002 REFERENCE BOOKS: 1.Markus Hofmann and Leland Beaumont Content Networking Architecture, Protocols, and Practice Morgan Kaufmann Pub,2005 2.Adrian Farrel The Internet and Its Protocols A comparative Approach Elsevier Inc,2005

136

NETWORK MANAGEMENT (Prerequisite Computer Networks)


L 4 T 0 P 0 UNIT-I Data communication and Network Management overview, Review of computer Network Technology. [12] UNIT-II SNMP, broad band and TMN Management, SNMPV1 Network Management: organization and Information models, SNMPV1 Network management: Communication and Functional models. [12] UNIT-III SNMP Management : SNMPV2, SNMPV3,RMOM, Broadband Network management: ATM Networks. [12] UNIT-IV Broadband Network Management, Telecommunications Management Network. [12]

UNIT-V Network management tools and systems, Network management Applications, Web-Based management. [12] TEXT BOOK: 1.Network Management by Mani Subramanian Publisher ADDISON-WESLEY,2000 REFERENCE BOOK: 1.Network Management Fundamentals by Alexandar Clemm- publisher CISCO PRESS,2006 2.Network Management know it all: Sebastian Abeck , Igor Brysin. Morgan Kaufmann Publishers ,2008

137

CLOUD COMPUTING (Prerequisite Computer Networks)


L 4 T 0 P 0

UNIT-1 CLOUD COMPUTING BASICS: Overview, Applications, Intranet and the Cloud, First Movers on the cloud, the need for Cloud Computing, Benefits of cloud Computing, Limitations of the Cloud Computing, security concerns and regulatory issues, over view of different cloud computing applications which are implemented, Business case for implementing a Cloud INTRODUCTION TO CLOUD COMPUTING: What and what is not cloud computing, Moving from collaboration to cloud, Cloud Architectures, cloud storage, cloud Services, reasons for cloud computing, pros and cons of cloud computing, benefits of cloud computing, users of cloud computing [12] UNIT-II CLOUD COMPUTING TECHNOLOGIES: Hardware and Infrastructure: Clients, Security, Network, services ACCESSING THE CLOUDS: Platforms, WEB applications, WEB APIS, WB Browsers Cloud Storage: Overview, Storage provides, Cloud Standards: Applications, Client, Infrastructure, Services [12] UNIT-III CLOUD COMPUTING MECHANISMS: Software as a service: Overview, Driving Forces, Company offerings, Industries, Software + services: Overview, Mobile Device Integration, Providers, Microsoft Online Application development: Google, Microsoft, Intuit Quick base, Cast Iron Cloud, Bungee Connect, Development Platforms: Google, Sales Force, Azure, Trouble shooting, Application management [12] UNIT-IV LOCAL CLOUDS: Virtualization, server solutions, Thin Clients Migrating to the clouds: Cloud services for individuals, Mid-market, and Enterprise wide, Migration, best practices, analyzing the service [12] (PTO)

138

UNIT V USING CLOUD SERVICES: Collaborating on Calendars, Schedules, and Task Management, Collaborating on Event management, Collaborating on Contact management, collaborating on Project Management, Collaborating on Word Processing, Collaborating on Spread sheets, Collaborating on Databases, Collaborating on presentations, Storing and sharing Files and other online content, sharing Digital Photographs, controlling the collaborations with WebBased Desktops ONLINE COLLABORATIONS: Collaborating Via WEB based communication Tools, Collaborating Via Social Networks and Groupware, collaborating Via Blogs and Wikis [12] TEXT BOOKS 1.Cloud Computing a Practical approach, Anthony T Velte, Toby J Velte, Robert Elsenpeter, Tata McGraw-HILL, 2010 Edition 2.Cloud Computing-Web Based applications that change the way you work and collaborate online, Michael Miller, Pearson Education, 2009 Edition REFERENCE BOOK 1.Antonopoulos, Nick; Gillam, Lee , Cloud Computing ,Springer, 2010

139

BROAD BAND ACCESS TECHNOLOGIES (Prerequisite Computer Networks)


L 4 T 0 P 0

UNIT I REVIEW OF ACCESS TECHNOLOGIES Phone-Line modem, cable-access, ISDN, Emerging Broad band Technologies, Cable DSL, Fiber and Wireless UNIT II DIGITAL SUBSCRIBER LINES Asymmetric Digital subscriber lines (ADSL) Rate Adaptive subscriber line (RADSL)-ISDN Digital subscriber line (IDSL) - High bit rate DSL (HDSL)-Single line DSL (SDSL)- very high bit rate DSL (VDSL)- Standards for XDSL & Comparison. UNIT III CABLE MODEM Cable Modem, DOCSIS Physical Cabling, Dual Modem Operation, Hub Restriction, Upstream Operation Downstream operation Access control framing Security sub layer Data link layer LLC & Higher layers ATM centric VS IP centric cable modem. UNIT IV FIBER ACCESS TECHNOLOGIES Optical Fiber in access networks, Architecture and Technologies- Hybrid fiber Coax (HFC) system, Switched Digital Video (SDV) Passive optical networks (PON) FTTX (FTTH, FTTB, FTTC, FTT cab) comparison. UNIT V BROAD BAND WIRELESS Fixed Wireless, Direct Broadcast Satellite (DBS), Multi channel multi point distribution services (MMDS), Local multi point distribution services (LMDS), and Wideband integrated Digital Interactive Services (WIDIS), Mobile Wireless 3G IMT 2000. TEXT BOOKS: 1.Niel Ransom and Albert A. Azzam, Broadband Access Technologies: ADSL, VDSL Cable Modem, Fiber and LMDS, McGraw Hill 1999. 2.Gilbert Held, Next Generation Modems: A Professional Guide to DSL and cable modems, John Wiley & sons, 2000. REFERENCE BOOKS: 1.Walter j Woralski, ADSL and DSL Technologies, McGraw Hill computer Communication series, 1998. 2.William Webb, Introduction to Wireless Local Loop broadband and narrow band system, Artech House, 2000. 3.Martin P. Clarke, Wireless Access Network: Fixed Wireless Access and WLL network Design and operation, John Wiley & Sons 2000.

140

FIBER OPTIC NETWORKING (Prerequisite Computer Networks)


L 4 T 0 P 0 UNIT I OPTICAL SYSTEM COMPONENTS AND NETWORK DESIGN Optical System Components Couplers, Isolators & Circulators, Multiplexers & Filters, Optical Amplifiers, Switches, Wavelength Converters; Transmission System Engineering System model, Power penalty transmitter, receiver, Optical amplifiers, crosstalk, dispersion; Wavelength stabilization ; Overall design considerations. (9) UNIT II OPTICAL NETWORK ARCHITECTURES Introduction to Optical Networks; SONET / SDH, Metropoliton-Area Networks, Layered Architecture ; Broadcast and Select Networks Topologies, Media-Access Control Protocols and Testbeds; Wavelength Routing Architecture. (9) UNIT III WAVELENGTH ROUTING NETWORKS WDM Network Elements; WDM Network Design - Cost tradeoffs, Virtual Topology Design, Routing and wavelength assignment, Statistical Dimensioning Models. (9) UNIT IV PACKET SWITCHING AND ACCESS NETWORKS Photonic Packet Switching OTDM, Multiplexing and Demultiplexing, Synchronisation, Header Processing, Buffering, Burst Switching, Testbeds; Access Networks. (9) UNIT V NETWORK MANAGEMENT AND SURVIVABILITY Control and Management Network management functions, Configuration management, Performance management, Fault management, Optical safety, Service interface; network Survivability- Protection in SONET / SDH and IP Networks, Optical layer Protection, Interworking between layers. (9) TEXT BOOKS: 1.Rajiv Ramaswami and Kumar N. Sivarajan, Optical Networks : A Practical Harcourt Asia Pte Ltd., Second Edition 2006.

Perspective,

REFERENCES: 1.C. Siva Ram Moorthy and Mohan Gurusamy, WDM Optical Networks : Concept, Design and Algorithms, Prentice Hall of India, Ist Edition, 2002. 2.P.E. Green, Jr., Fiber Optic Networks, Prentice Hall, NJ, 1993. 3.Biswanath Mukherjee, Optical WDM Networks, Springer, 2006.

141

Annexure-XI

Mapping of compulsory courses with objectives & outcomes Program Objective Mapping: Program Objectives Courses Digital Logic Design Analog Circuits Electronic Devices & Circuits Data Structures Electromagnetic field Theory Signals & Systems Circuit Theory Analog Electronic Circuits Probability Theory & Random Processes Linear Control Systems Linear ICs and Applications Microprocessors and Interfacing Networks & Transmission Lines Electronic Measurements & Instrumentation Analog Communications Pulse circuits Digital Signal Processing X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X I II III IV V

142

Antenna & wave Propagation Digital Communication Radar and Navigational Aids Microwave Engineering

X X

X X X X X X X

X X

Course Outcome Mapping:

Program Outcomes Courses Digital Logic Design Analog Circuits Electronic Devices & Circuits Electromagnetic field Theory Signals & Systems Circuit Theory Analog Electronic Circuits Probability Theory & Random Processes Linear Control Systems Linear ICs and Applications Microprocessors and Interfacing Networks & Transmission Lines Electronic Measurements & Instrumentation

X X X X X X X X X X X X X

X X X X X X

X X

X X X

X X X X

X X X X X X X X X X X X X X X X X X

X X X X X

X X X X X X X X X

X X X X X X X X

143

Analog Communications Pulse circuits Digital Signal Processing Antenna & wave Propagation Digital Communication Radar and Navigational Aids Microwave Engineering

X X X X X X X

X X X X

X X X

X X

X X

X X

X X

X X X X X

X X X

X X

X X

X X X X

X X

X X

144

Das könnte Ihnen auch gefallen