Sie sind auf Seite 1von 4

PROGRAM SUMMARY

KNOW LED GE YOU PUT TO WORK

VLSI Engineering
ENGINEERING AND TECHNOLOGY

Free Program Overview

Embedded Systems, VLSI, and Network Engineering


This free event covers three certificate programs. The VLSI Engineering Certificate Program is for professionals working in the integrated circuit, ASIC, semiconductor, EDA, device and system industries in Silicon Valley. The Embedded Systems Certificate Program is for professionals working in the hardware and system design fields, with courses in system design, embedded programming, real-time systems, and DSP/DV. The Network Engineering and Systems Security Certificate Program covers fundamental and advanced networking and security topics that are relevant to the and IT industries. Presenters at the program overview will discuss new developments in both fields. Youll learn how these courses can help you break into new fields, and advance your career.
Course 20544 and 22403

Silicon Valleys Most Comprehensive IC Curricuum


UCSC Extension Silicon Valley offers the VLSI (Very Large Scale Integration) Engineering Certificate Program for professionals working in the integrated circuit, ASIC, semiconductor, EDA, device and system industries. With more than 20 cutting-edge courses, our VLSI program offers the most complete integrated circuit curriculum available in the area. At Extension, weve spent the past twenty years developing the most up-to-date and practical VLSI program. Thousands of successful engineers have come to us to start careers, or expand their skills in new directions. This is the profession that gave Silicon Valley its name, and our program has grown in lock-step with the industry.

Lab-Based Instructional Environment


At our new Santa Clara facility, our fully upgraded state-of-the-art VLSI Lab allows students to build practical experience using the latest EDA tools on Linux. Our courses do not just focus on the tools but also build an overall understanding of design methodology and flows. Studying here, youll gain hands-on experience with: Servers with quad-core CPUs and high memory Real EDA tools used by professionals in the field Real-world test cases for practice Lab access whenever our building is open

Keep Pace with Industry Developments


Our instructors are working professionals who are experts in their disciplines, so course content reflects what is really going on in the industry. They share real-world, applied knowledge to help you expand and solidify your skills. We cover hardware specification, logic design, verification, synthesis, physical implementation, circuit design, testing and manufacturing integrated circuit products. The curriculum is updated regularly. In recent quarters, we have added courses in functional design, verification methods and circuit techniques to keep pace with the interests of working VLSI professionals.

Benefits of Studying at UCSC Extension


Hands-on learning of marketable skills Up-to-date knowledge of best design practices UC-quality curriculum Convenient location and schedule

Who Should Attend This Program


We offer the opportunity for individuals to acquire skills in multiple areas, expand expertise and advance their careers in the VLSI field. Technical professionals can count on us to provide the training needed to achieve cost, schedule and customer requirements on the job Entry level engineers can acquire hands-on knowledge in VLSI development while networking with fellow students and teaching staff.

ucsc-extension.edu/engineering

About UCSC Extension Silicon Valley


The vital learning community at UCSC Extension Silicon Valley is well known for its collegial atmosphere and rigorous preparation. Our faculty of expert practitioners teaches state-of-the-art solutions to the everyday problems confronting technology professionals working in Silicon Valley. The professional education programs we offer build expertise, open doors to new opportunity, and deliver tangible value. Our broad portfolio of open-enrollment courses and certificates, affordable pricing, experience-based instruction, and central location in Silicon Valley help turn jobs into careers.

Computer Programming Certificate

Analog IC Design, Introduction


Curriculum
This course introduces analog IC design fundamentals including single/multiple-transistor amplifiers, current mirrors, current/voltage reference, output stages, frequency response, feedback, stability, noise, nonlinearity, and mismatches. Transistor models and CAD tools for analog design will also be covered. Students will gain a basic understanding of analog IC design and become familiar with circuit analysis and simulation tool flow. The fundamentals presented in this course prepare students to tackle advanced analog IC topics such as Op-amp, PLL, ADC and DAC.
Course 3799

Certificate Requirements
To obtain the Certificate in Embedded Systems, you must successfully complete a total of 14 units.
Design Methodology

Units

Course

Prerequisites
Prospective students should review prerequisites that apply to individual courses. For details see full course descriptions at ucsc-extension.edu/engineering.

VLSI and ASIC Design, Introduction ................1.5 ......3497 Designing Xilinx CPLDs and FPGAs, Introduction ....................................................3.0 ......6346 Logic and Functional Design Digital Logic Design Using Verilog ..................3.0 ......0764 Logic Synthesis, Introduction ..........................3.0 ......4377 Practical Logic Design by Example ..................3.0 ....22607 Practical DFT Concepts for ASIC: With Nanometer Test Enhancements ..............3.0 ......5373 IO Concepts and Protocols: PCI Express, Ethernet, and Fibre Channel ............................3.0 ....22177 Coding Theory and Applications, Introduction 3.0 ....23389 SystemVerilog and Verification Design Simulation with Verilog and System Verilog ..........................................3.0 ......6932 SystemVerilog for ASIC & FPGA Design ..........3.0 ....20095 SystemVerilog Assertions for Design Verification......................................3.0 ....20062 SystemVerilog for Advanced Design Verification ..........................................3.0 ....18966 Structured Verification Using UVM (Universal Verification Methodology) ..............1.5 ......0027 Physical Design and Timing Closure Physical Design Flow from Netlist to GDS II ....3.0 ......4436 ASIC Physical Design, Advanced ......................3.0 ......0634 Timing Closure in IC Design ............................3.0 ......4775 Circuit Design Low-Power Design of Nano-Scale Digital Circuits ................................................3.0 ....21941 Analog IC Design, Introduction........................3.0 ......3799 Mixed-Signal IC Design....................................3.0 ......1999 PLL and Clock/Data Recovery Circuits ............3.0 ......2283 Designing CMOS Radio Frequency Integrated Circuits (RFIC) ................................3.0 ....22866 Jitter Essentials ................................................1.5 ....21321 Comprehensive Signal and Power Integrity for High-Speed Digital Systems ......................3.0 ....22874 Emerging Technologies Renewable Energy, Introduction ......................3.0 ....22410 Solar Energy Technologies: A Comprehensive Study ..................................1.5 ....20814 Clean Technology: Smart Grid, Energy Storage, and Green Building ................3.0 ....22749

Recommended Course Sequence


We recommend you take courses in the order presented on the course chart. However, the sequence may vary based on student background and professional interest. Note: Some courses may be listed in more than one program, however, only one course may be shared between two Engineering and Technology certificate programs unless otherwise noted.

ASIC Physical Design, Advanced


This course covers advanced topics in ASIC front-toback design automation. It introduces backend design and low power techniques in 65nm technologies and beyond. Topics include floor-planning considerations, physical design synthesis, timing closure after detail route, RC extraction and static timing analysis, congestion analysis, IR drop, signal integrity, power planning and analysis. The instructor will share his extensive experience in ASIC implementation over many generations and will also provide 90nm and 45nm lab exercises for students to practice techniques learned in class.
Course 0634

Program Contact
Engineering and Technology Department, (408) 861-3860 or e-mail program@ucsc-extension.edu

Clean Technology: Smart Grid, Energy Storage, and Green Building


This course covers the fundamentals, trends, and challenges inherent to smart grid, energy storage, and green building technologies. Smart grid topics include smart meters, sensors and appliances on the user side, as well as distributed generation (DG), microgrids, and distributed resources (DER) used in managing the new energy sources. The course addresses the challenge of energy storage with a review of current and future technologies including lithium ion batteries and nanotechnology applications. Green building addresses the LEED (Leadership in Energy and Environmental Design) standards for sustainable construction and the latest LED (Light Emitting Diode) and integrated photovoltaic applications.
Course 22749

Programs Update and Enrollment Information


Visit ucsc-extension.edu/engineering, for the most up-to-date information about our courses and programs, including textbooks, instructors, schedules and locations. Enroll online at ucsc-extension.edu. Send questions to program@ucsc-extension.edu

Copyright 2011 The Regents of the University of California. All rights reserved.

Course Descriptions
Coding Theory and Applications, Introduction
This course is an introduction to the basic concepts of coding theory, including practical source and channel encoding/decoding schemes, and emerging technologies in communication theory. Topics include important definitions (entropy, mutual information, channel capacity), lossless and lossy data compression schemes (Huffman codes, arithmetic coding, ratedistortion theory), state-of-the-art error-correcting codes (Hamming codes, turbo codes and Raptor codes), and recent approaches that encompass the duality between data compression and data transmission. This course helps students understand the mechanisms underlying todays communication systems.
Course 23389

Designing CMOS Radio Frequency Integrated Circuits (RFIC)


This course addresses both the theoretical and practical aspects of CMOS RFIC circuit design. The course begins with a review of the CMOS transistor model and RLC network. It introduces the concepts of impedance matching, two-port noise, and linearity. The instructor provides in-depth explanations of the design and analysis of low-noise amplifiers, mixers, voltage-controlled oscillators, synthesizers, and power amplifiers. To reinforce the skills learned in this course, students will develop their own designs for major wireless transceiver blocks. The course also includes discussion of the design trade-offs in various radio architectures.
Course 22866

IO Concepts and Protocols: PCI Express, Ethernet, and Fibre Channel


This course focuses on IO technologies and walks students through the complexities of IO subsystems in modern computers, and the networking and storage subsystems to which they are attached. After an introduction to the basic concepts of IO, we will delve into the details of PCI Express, Ethernet and Fibre Channel. Discussion will include operation and protocols and an exploration of how these technologies work. We will follow an applications IO request all the way from the system call, to when the data actually makes it out the wire.
Course 22177

Jitter Essentials
Learn the definitions of various types of jitter (including phase noise), understand which type of jitter is important to your application and why, and learn how to propagate jitter through a system, create jitter budgets, measure and minimize jitter, and more. Emphasis will be placed on developing a working knowledge of jitter, such as establishing a common language, understanding jitter beyond the definitions, gaining insight by making simplifying assumptions, and visualizing relationships between different types of jitter.
Course 21321

Comprehensive Signal and Power Integrity for High-Speed Digital Systems


This course covers signal and power integrity analysis of high-speed digital systems, and the modeling and design techniques used in high-speed links (in board, package, and connector). The instructor introduces IO modeling including IBIS, behavioral, functional, and ESD. Also explained are signaling techniques such as differential, NRZ, pulse, and multi-level, as well as simulation methods. Students will learn the fundamental concepts in equalization design. At the system level, topics include clocking schemes such as PLL, DLL and CDR; timing jitter analysis; and power analysis topics such as IR drop, AC noise, simultaneous switching noise and decoupling capacitor.
Course 22874

Designing Xilinx CPLDs and FPGAs, Introduction


This course is a practical introduction to programmable logic design with Xilinx FPGAs and CPLDs. Using several examples and design techniques, students will be taken through a complete PLD design. Upon completion of the course, you will be able to complete a design with Xilinx CPLDs and FPGAs, and understand the design and timing reports. The course will include two student projects. Topics include a logic design process review, design software, Xilinx CPLDs and FPGA architecture, design techniques and optimizing, JTAG, power optimization and large design techniques.
Course 6346

Logic Synthesis, Introduction


This course outlines various concepts of logic synthesis. Starting from the basics of synthesis, the course explains the Synopsys tools and their use in synthesizing high-level language into gates. It also covers various options such as partitioning, design, gate-level optimization, time/area constraints and library management. This course is intended for design engineers with some knowledge of hardware description languages such as Verilog HDL or VHDL. It is a lab-based course with hands-on exercises.
Course 4377

Digital Logic Design Using Verilog


This course prepares students to implement Verilog modeling of digital logic. Students learn Verilog constructs and hardware modeling techniques. The course covers Verilog language elements and data types. Students tackle key challenges and learn structural, dataflow and behavioral modeling in Verilog, including common constructs and coding considerations. Instruction in the coding and testing of digital logic includes examples of combinational circuits (gates, mux/demux, encoders/decoders, and Boolean expression), sequential circuits (latches, flip-flops, shift registers, counters, RAMs and ROMs), and complex logic (flavors of ALU and FSM).
Course 0764

Design Simulation with Verilog and SystemVerilog


This course covers basic Verilog language. It introduces students to the digital simulation process with hands-on exercises using the simulation tool in the lab. Discussions cover simulation techniques such as coding style, event ordering, delta cycle debugging, zero width glitch, race conditions, time slices, conditional compilation, simulation performance and code coverage. The second half of the course introduces the SystemVerilog language including syntax and semantics.
Course 6932

Low-Power Design of Nano-Scale Digital Circuits


This course introduces advanced topics in nanoscale (sub 90nm) VLSI device and circuit design. High-performance and low-power design issues in modern and future nano-scale CMOS technologies are discussed in detail. Students will learn low power design approaches and techniques at different levels of abstraction. New design techniques will be introduced to deal with nano circuit designs under excessive leakage and process variations. Several non-classical CMOS devices for circuit design in such technologies will be explored. Prospects of future non-silicon nanotechnologies will be reviewed.
Course 21941

Mixed-Signal IC Design
This course helps students understand basic analog circuits and systems, and problems encountered when analog circuits share substrate with digital circuits. Students also are made aware of precautionary measures and techniques used to circumvent these problems. Topics include MOS transistors, basic analog building blocks, phase-locked-loop circuits, sample and hold circuits, comparator design, A/D and D/A converters, and layout considerations in mixedsignal circuits. This course is intended for practicing engineers and design managers who want to understand analog circuit and layout techniques in mixed-signal IC design.
Course 1999

Practical Logic Design By Example


This course teaches the logic design of real-world digital systems. The emphasis is on how to break down a complex digital design specification, logic design of the sub-designs, and integration into the top level design, validated with respect to the specification. The course goes deep into the logic design of common to re-useable sub-systems. There will be a guided project to design a complete digital system from specification to validation. Students will also learn the concepts of designing for speed, power, area, testability, cost, and physical design.
Course 22607

SystemVerilog for Advanced Design Verification


This course covers the advanced features of SystemVerilog and verification methodologies. It begins with an overview of hardware verification methodologies and environments, followed by an examination of process controls and multiple threads in a self-checking verification. Covered next is the object-oriented programming style and design strategies used to reduce design time and risks. Students learn the power of constrained random verification and the use of functional coverage tools to ensure successful verification. Concepts introduced in class are reinforced in the lab with a real-world design project.
Course 18966

Physical Design Flow from Netlist to GDS II


This course is an introduction to ASIC physical design flow and tools from netlist to GDS-II. The course starts with floor planning and block pin assignment. The instructor then addresses placement and clocktree synthesis, followed by routing and post-route optimization. You will learn RC extraction, static timing analysis, and physical verification. Upon completion of this course, you will possess the essential knowledge and hands-on experience with the backend physical design flows, from a synthesized netlist all the way to layout completion for ASIC chip tapeout.
Course 4436

Renewable Energy, Introduction


This survey course provides engineers, managers and technical professionals with a foundation in the basic principles of renewable energy and its associated industries. In preparation for advanced study, or to pursue new careers or ventures, students will gain a solid understanding of the fundamentals, opportunities, challenges, and limitations of each of the seven major forms of clean renewable energy: solar, wind, biomass, hydro, ocean (tidal, wave) and geothermal. The course will also cover energy industry management and policy issues, such as new solar, wind companies, carbon emissions, California Solar Initiative, and the Kyoto Protocol.
Course 22410

SystemVerilog for ASIC & FPGA Design


This course prepares hardware engineers, ASIC and FPGA designers, and design-support staff to use the high-level syntax of SystemVerilog to design, debug, and synthesize digital logic for ASICs, FPGAs, and IP cores. Students will learn SystemVerilogs basic building blocks and language constructs, including synthesizable data types and operators, structures and unions, 2-D arrays and loops, and the bus interface unit. In lab sessions, students write code and synthesize it into digital logic and bus fabric, using both ASIC and FPGA tools.
Course 20095

PLL and Clock/Data Recovery Circuits


Phase-locked-loop (PLL) circuits are used extensively in system and chip designs for frequency multiplication, data extraction, and re-timing purposes. This course provides students with the knowledge required for analysis and design of PLL circuits and their applications in clock and data-recovery circuits. The instructor will discuss various components involved in the design of a PLL circuit. Topics include transceiver design, high-speed I/O, ring and LC oscillators, charge-pump PLL, practical issues at transistor-level design, noise and jitter in PLL, delay-locked loop, frequency multiplier, and clock and data recovery circuits.
Course 2283

Structured Verification Using UVM (Universal Verification Methodology)


This five week course covers structured verification development using the Universal Verification Methodology (UVM) environment. It begins with an overview of UVMs basic building blocks, followed by an examination of the components and transactions they use to communicate. Test and component creation and sequence generation will be discussed and reviewed. Students learn the effectiveness of modular and encapsulated, ready-to-use and configurable verification environments. Concepts introduced in class are reinforced in the lab with a real-world design project.
Course 0027

Timing Closure in IC Design


This course introduces various approaches to Static Timing Analysis (STA). PrimeTime is the gold standard in timing closure and sign-off. In this course, PrimeTime is used in our VLSI lab, where its application to the entire design flow (from post-synthesis gate level design to post-route design) is examined. Topics include constraints, assertions, exception definition, delay calculation, and advanced timing analysis features. Design engineers completing this course will be able to perform Static Timing Analysis using PrimeTime in several phases of the integrated circuit design process.
Course 4775

Practical DFT Concepts for ASICs: With Nanometer Test Enhancements


This hands-on course first builds a solid foundation in scan-based design, testing, and pattern generation (ATPG), using Synopsys RTL DRC, DFT Compiler and TetraMAX. It then explores nanometer enhancements and recent trends in testing, including bridging and delay fault models, BIST logic, source-synchronous clocking to overcome I/O bandwidth limitations on the ATE, physical design modifications such as scan-chain reordering, and digital (IEEE 1149.1) and on-chip analog (1149.4) boundary-scan. This course is ideal for IC designers and test engineers wanting to stay current with emerging test trends and tools.
Course 5373

VLSI and ASIC Design, Introduction


This course provides the fundamentals of VLSI and ASIC design, and an overview of the different ASIC architectures, design methodologies and design tools. Topics include an introduction to ASIC architecture; ASIC design flows; CAE tools and requirements for design entry, simulation and layout; packaging technology and related issues; simulation and sign-off requirements; test and testability; and guidelines for evaluating and selection of ASIC vendors.
Course 3497

SystemVerilog Assertions for Design Verification


This course introduces SystemVerilog Assertion (SVA) concepts and syntax, using both small examples and a realistic design. It covers a range of topics, from the basics of the SVA constructs to using the OVL checker library. It also covers methods for capturing design intent based on design specification into assertions. Writing and debugging assertions in the design using advanced SVA constructs is also covered. Students learn to write assertions for functional coverage and formal or semi-formal verification. This is a lab-based course with hands-on exercises using assertions, dynamic simulations and formal or semi-formal verification.
Course 20062

Not printed or mailed at state expense. 611796-1103-628 (7/29/10)

Das könnte Ihnen auch gefallen