Sie sind auf Seite 1von 14

ABSTRACT

A microprocessor (sometimes abbreviated up) is a digital

electronic

component with transistors on a single semiconductor integrated circuit (IC). One or more microprocessors typically (CPU) serve as a central processing unit

in a computer system or handheld device. Microprocessors made

possible the advent of the microcomputer. Before this, electronic CPUs were typically made from bulky discrete switching devices containing the equivalent of only a few transistors. By integrating the processor onto one or a very few large-scale integrated circuit packages, the cost of processor power was of the IC in the mid-1970s, the

greatly reduced. Since the advent

microprocessor has become the most prevalent implementation of the CPU, nearly completely replacing all other forms. This project is trying to design an 8 bit microprocessor by using VHDL. VHDL is stand for Very High Speed Integrated Circuit Hardware Description Language. It is one of the most popular design application uses by most designers nowadays. The

microprocessor will be synthesized

in VHDL using Xilinx ISE. Then it

will be simulated using ModelSim and the programs are burn into FPGA board. The FPGA should act as actual microprocessor. The 8 bit

microprocessor is widely use in microcontroller devices with specific task because it has a specific instruction where it only done a given instruction

INTRODUCTION TO MICROPROCESSOR

Digital hardware plays a prominent role in many electrical and computer engineering products today. This is principally due to the rapid increase in transistor densities and speed of integrated circuits and steep decline in their cost caused by the advance in micro-electronic implementation technologies. This trend is likely to continue in the foreseeable future. The "computer revolution" has affected every aspect of society and many problems viewed as being intractable can now be solved. Modem digital design relies on engineering groups made up of individuals that have an understanding of all aspects of the problem, from the top to the bottom in the hierarchical chain, with expertise in one or two areas. Present industry practice has created a high demand for systems designers with knowledge and experience in using programmable logic in the form of CPLDs and FPGAs in addition to hardware description languages.

A microprocessor incorporates the functions of a computer's central processing unit (CPU) on a single integrated circuit (IC) or at most a few integrated circuits. It is a multipurpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and provides results as output. It is an example of sequential digital logic, as it has internal memory. Microprocessors operate on numbers and symbols represented in the binary numeral system.

The advent of low-cost computers on integrated circuits has transformed modern society. General-purpose microprocessors in personal computers are used for computation, text editing, multimedia display, and communication over the Internet. Many more microprocessors are part of embedded systems, providing digital control of a myriad of objects from appliances to automobiles to cellular phones and industrial process control.

ORIGIN OF MICROPOCESSORS

During the 1960s, computer processors were constructed out of small and mediumscale ICs each containing from tens to a few hundred transistors. For each computer built, all of these had to be placed and soldered onto printed circuit boards, and often multiple boards would have to be interconnected in a chassis. The large number of discrete logic gates used more electrical powerand therefore, produced more heatthan a more integrated design with fewer ICs. The distance that signals had to travel between ICs on the boards limited the speed at which a computer could operate. In the NASA Apollo space missions to the moon in the 1960s and 1970s, all onboard computations for primary guidance, navigation and control were provided by a small custom processor called "The Apollo Guidance Computer". It used a primitive gate array whose only logic elements were three-input NOR gates.[3] The integration of a whole CPU onto a single chip or on a few chips greatly reduced the cost of processing power. The integrated circuit processor was produced in large numbers by highly automated processes, so unit cost was low. Single-chip processors increase reliability as there were many fewer electrical connections to fail. As microprocessor designs get faster, the cost of manufacturing a chip (with smaller components built on a semiconductor chip the same size) generally stays the same. Microprocessors integrated into one or a few large-scale ICs the architectures that had previously been implemented using many medium- and small-scale integrated circuits. Continued increases in microprocessor capacity have rendered other forms of computers almost completely obsolete (see history of computing hardware), with one or more microprocessors used in everything from the smallest embedded systems and handheld devices to the largest mainframes and supercomputers. The first microprocessors emerged in the early 1970s and were used for electronic calculators, using binary-coded decimal (BCD) arithmetic on 4-bit words. Other embedded uses of 4-bit and 8-bit microprocessors, such as terminals, printers, various kinds of automation etc., followed soon after. Affordable 8-bit microprocessors with 16-bit addressing also led to the first general-purpose microcomputers from the mid-1970s on. Since the early 1970s, the increase in capacity of microprocessors has followed Moore's law; this originally suggested that the number of transistors that can be fitted onto a chip doubles every year, though Moore later refined the period to two years.

APPLICATIONS: Thousands of items that were traditionally not computerrelated include microprocessors. These include large and small household appliances, cars (and their accessory equipment units), car keys, tools and test instruments, toys, light switches/dimmers and electrical circuit breakers, smoke alarms, battery packs, and hi-fi audio/visual components (from DVD players to phonograph turntables.) Such products as cellular telephones, DVD video system and ATSC HDTV broadcast system fundamentally require consumer devices with powerful, low-cost, microprocessors. Increasingly stringent pollution control standards effectively require automobile manufacturers to use microprocessor engine management systems, to allow optimal control of emissions over widely varying operating conditions of an automobile. Non-programmable controls would require complex, bulky, or costly implementation to achieve the results possible with a microprocessor. A microprocessor control program can be easily tailored to different needs of a product line, allowing upgrades in performance with minimal redesign of the product. Different features can be implemented in different models of a product line at negligible production cost. Microprocessor control of a system can provide control strategies that would be impractical to implement using electromechanical controls or purpose-built electronic controls. For example, an engine control system in an automobile can adjust ignition timing based on engine speed, load on the engine, ambient temperature, and any observed tendency for knocking - allowing an automobile to operate on a range of fuel grades.

INTRODUCTION TO PROJECT

This project entitles 8 bit microprocessor design using VHDL. For modern digital design, VHDL is one of the most popular design applications uses by

designer. Microprocessors are the important part of the field of electrical engineering. This is a very interesting project because processors are not as flexible as programmable logic. The ability to emulate a microprocessor on a programmable chip can lead to cheaper, more efficient and more flexible performance. The scope of this project was to design an 8 bit microprocessor using VHDL. The design was implemented by programming it into a FPGA. The design

was first completed and simulated. Once the simulation proved successful, the VHDL was implementing into the FPGA. The desired instructions are

executed and the data to be operated on were given to the system as inputs. The result of the executed instructions was the output. After testing the

individual parts they were then combined to test functionality. The final goal was to program an FPGA with the VHDL that was written. The microprocessor contains the instructions that are usually present in the 8085 microprocessor i.e. MOV, ADD, SUBB, MUL, ORL, ANL, XRL. RL, RLC, RR, RRC. The results will be shown by simulation screenshots.

INTRODUCTION TO VLSI

Very-large-scale integration (VLSI) is the process of creating integrated circuits by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. The microprocessor is a VLSI device.

A VLSI integrated-circuit die

The first semiconductor chips held two transistors each. Subsequent advances added more and more transistors, and, as a consequence, more individual functions or systems were integrated over time. Now known retrospectively as small-scale integration (SSI), improvements in technique led to devices with hundreds of logic gates, known as medium-scale integration (MSI). Further improvements led to large-scale integration (LSI), i.e. systems with at least a thousand logic gates. Current technology has moved far past this mark and today's microprocessors have many millions of gates and billions of individual transistors. At one time, there was an effort to name and calibrate various levels of large-scale integration above VLSI. Terms like ultra-large-scale integration (ULSI) were used. But the huge number of gates and transistors available on common devices has rendered such fine distinctions moot. Terms suggesting greater than VLSI levels of integration are no longer in widespread use. As of early 2008, billion-transistor processors are commercially available. This is expected to become more commonplace as semiconductor fabrication moves from the current generation of 65 nm processes to the next 45 nm generations (while experiencing new challenges such as increased variation across process corners). A notable example is Nvidia's 280 series GPU. This GPU is unique in the fact that almost all of its 1.4 billion transistors are used for logic, in contrast to the Itanium, whose large transistor count is largely due to its 24 MB L3 cache. Current designs, as opposed to the earliest devices, use extensive design automation and automated logic synthesis to lay out the transistors, enabling higher levels of complexity in the resulting logic functionality. Certain high-performance logic blocks like the SRAM (Static Random Access Memory) cell, however, are still designed by hand to ensure the highest efficiency (sometimes by bending or breaking established design rules to obtain the last bit of performance by trading stability. VLSI technology is moving towards radical level miniaturization with introduction of NEMS technology. A lot of problems need to be sorted out before the transition is actually made.

INTRODUCTION TO ASIC AN ASIC DESIGN FLOW

Integrated Circuits are made from silicon wafer, with each wafer holding hundreds of die. An ASIC is an Application Specific Integrated Circuit. An Integrated Circuit designed is called an ASIC if we design the ASIC for the specific application. Examples of ASIC include, chip designed for a satellite, chip

designed for a car, chip designed as an interface between memory and CPU etc. Examples of ICs which are not called ASIC include Memories, Microprocessors etc. The following paragraphs will describe the types of ASICs. 1. Full-Custom ASIC: For this type of ASIC, the designer designs all or some of the logic cells, layout for that one chip. The designer does not used predefined gates in the design. Every part of the design is done from scratch. 2. Standard Cell ASIC: The designer uses predesigned logic cells such as AND gate, NOR gate, etc. These gates are called Standard Cells. The advantage of Standard Cell ASICs is that the designers save time, money and reduce the risk by using a predesigned and pre-tested Standard Cell Library. Also each Standard Cell can be optimized individually. The Standard Cell Libraries is designed using the Full Custom Methodology, but you can use these already designed libraries in the design. This design style gives a designer the same flexibility as the Full Custom design, but reduces the risk. 3. Gate Array ASIC: In this type of ASIC, the transistors are predefined in the silicon wafer. The predefined pattern of transistors on the gate array is called a base array and the smallest element in the base array is called a base cell. The base cell layout is same for each logic cell, only the interconnect between the cells and inside the cells is customized. The following are the types of gate arrays: a. Channeled Gate Array b. Channelless Gate Array C. Structured Gate Array When designing a chip, the following objectives are taken into consideration: 1. Speed 2. Area 3. Power 4. Time to Market .

DESIGN FLOW OF ASIC


To design a chip, one needs to have an Idea about what exactly one wants to design. At every step in the ASIC flow the idea conceived keeps changing forms. The first step to make the idea into a chip is to come up with the Specifications. Specifications are nothing but Goals and constraints of the design. Functionality (what will the chip do) Performance figures like speed and power Technology constraints like size and space (physical dimensions) Fabrication technology and design techniques The next step is in the flow is to come up with the Structural and Functional Description. It means that at this point one has to decide what kind of architecture (structure) you would want to use for the design, e.g. RISC/CISC, ALU, pipelining etc To make it easier to design a complex system; it is normally broken down into several sub systems. The functionality of these subsystems should match the specifications. At this point, the relationship between different sub systems and with the top level system is also defined.

The sub systems, top level systems once defined, need to be implemented. It is implemented using logic representation (Boolean Expressions), finite state machines, Combinatorial, Sequential Logic, Schematics etc.... This step is called Logic Design / Register Transfer Level (RTL). Basically the RTL describes the several sub systems. It should match the functional description. RTL is expressed usually in Verilog or VHDL. Verilog and VHDL are Hardware Description Languages. A hardware description language (HDL) is a language used to describe a digital system, for example, a network switch, a microprocessor or a memory or a simple flip-flop. This just means that, by using a HDL one can describe any

hardware (digital) at any level. Functional/Logical Verification is performed at this stage to ensure the RTL designed matches the idea.

Once Functional Verification is completed, the RTL is converted into an optimized Gate Level Netlist. This step is called Logic/RTL synthesis. This is done by Synthesis Tools such as Design Compiler (Synopsys), Blast Create (Magma), RTL Compiler (Cadence) etc... A synthesis tool takes an RTL hardware description and a standard cell library as input and produces a gate-level netlist as output. Standard cell library is the basic building block for todays IC design. Constraints such as timing, area, testability, and power are considered. Synthesis tools try to meet constraints, by calculating the cost of various implementations. It then tries to generate the best gate level implementation For a given set of constraints, target process. The resulting gate-level netlist is a completely structural description with only standard cells at the leaves of the design. At this stage, it is also verified whether the Gate Level Conversion has been correctly performed by doing simulation.

The next step in the ASIC flow is the Physical Implementation of the Gate Level Netlist. The Gate level Netlist is converted into geometric representation. The geometric San Francisco State University Nano-Electronics & Computing Research Lab 11 representation is nothing but the layout of the design. The layout is designed according to the design rules specified in the library. The design rules are nothing but guidelines based on the limitations of the fabrication process. The Physical Implementation step consists of three sub steps; Floor planning>Placement->Routing. The file produced at the output of the Physical Implementation is the GDSII file. It is the file used by the foundry to fabricate the ASIC. This step is performed by tools such as Blast Fusion (Magma), IC Compiler (Synopsys), and Encounter (Cadence) EtcPhysical

Verification is performed to verify whether the layout is designed according to the rules.

SPECIFICATIONS

RTL

GATE LEVEL NETLIST

PHYSICAL IMPLEMENTATION

GDSII

CHIP

INTRODUCTION TO VHDL

VHDL is a hardware description language. The word 'hardware', however, is used in a wide variety of contexts which range from complete systems like personal computers on one side to the small logical gates on their internal integrated circuits on the other side.

This is why different descriptions exist for the hardware functionality. Complex systems are often described by the behavior that is observable from the outside. Abstract behavioral models are used in this case that hides all the implementation details. In this example the print protocol will be executed whenever a PRINTREQUEST occurs. This can be a pressed key or a software command, etc. The description of a basic logic gate, on the other hand, may consist of only one Boolean equation. This is a very short and precise description. The language VHDL covers the complete range of applications and can be used to model (digital) hardware in a general way.

HISTORY: VHDL development was initiated originally from the American Department of Defense (DoD). They requested a language for describing a hardware, which had to be readable for machines and humans at the same time and strictly forces the developer to write structured and comprehensible code, so that the source code itself can serve as a kind of specification document. Most important was the concept of concurrency to cope with the parallelism of digital hardware. Sequential statements to model very complex functions in a compact form were also allowed. In 1987, VHDL was standardized by the American Institute of Electrical and Electronics Engineers (IEEE) for the first time with the first official update in 1993. Apart from the file handling procedures these two versions of the standard are compatible. The standard of the language is described in the Language Reference Manual (LRM). A new and difficult stage was entered with the effort to upgrade VHDL with analogue and mixed-signal language elements. The upgrade is called VHDL-AMS ( a nalogue- m ixed- s ignal) and it is a superset of VHDL. The digital mechanisms and methods have not been altered by the extension [3].

For the time being, only simulation is feasible for the analogue part because analogue synthesis is a very complex problem affected by many boundary conditions. The mixed signal simulation has to deal with the problem of synchronizing the digital- and analogue simulators, which has not been solved adequately, yet.

VHDL is a language which is permanently extended and revised. The original standard itself needed more than 16 years from the initial concept to the final, official IEEE standard. When the document passed the committee it was agreed that the standard should be revised every 5 years. The first revision phase resulted in the updated standard of the year 1993. Independently of this revision agreement, additional effort is made to standardize "extensions" of the pure language reference. These extensions cover for examples packages (std_logic_l164, numeric_bit, numeric_std, ...)containing widely needed data types and subprograms, or the definition of special VHDL subsets like the synthesis subset IEEE 1076.6.The latest extension is the addition of analogue description mechanisms to the standard which results in a VHDL superset called VHDL-AMS.

Das könnte Ihnen auch gefallen