Sie sind auf Seite 1von 20

UNIVERSIDAD NACIONAL DE INGENIERA

FACULTAD DE INGENIERA MECNICA


ANLISIS Y DISEO DE CIRCUITOS DIGITALES (MT-127)

TEMA:
DISEO EN VHDL

Subtitle

Autor:

Ing. Daniel Leonardo Barrera Esparta

AGENDA
I. DISEO COMBINACIONAL
II.- DISEO SECUENCIAL

OBJETIVOS.
Revisar los conceptos bsicos de diseo en lenguaje VHDL.

I.- DISEO COMBINACIONAL


Declaraciones
concurrentes:
Las declaraciones concurrentes se encuentran fuera de la
declaracin de un proceso y suelen usarse en las descripciones de
flujo de datos y estructural. Esto se debe a que en una declaracin
concurrente no importa el orden en que se escriban las seales, ya
que el resultado para determinada funcin sera el mismo.

En VHDL existen tres tipos de declaraciones concurrentes:


Declaraciones condicionales asignadas a una seal (when-else)
Declaraciones concurrentes asignadas a seales
Seleccin de una seal (with-select-when)

Declaraciones condicionales asignadas a una seal (whenelse)


: COMBINACIONAL
I.- DISEO
Se utiliza para asignar valores a una seal, determinando as la
ejecucin de una condicin propia del diseo. Tener en cuenta que
en el momento de ser compilados los operadores lgicos
presentan el siguiente orden y prioridad:
1) Expresiones entre parntesis
2) Complementos
3) Funcin AND
4) Funcin OR

Declaraciones concurrentes asignadas a seales :


En
I.- DISEO
este tipo
COMBINACIONAL
de declaracin encontraremos las funciones de salida
mediante
la ecuacin booleana que describe el comportamiento de cada una
de las
compuertas.

I.- DISEO COMBINACIONAL

Seleccin de una seal (with-select-when):


se utiliza para asignar un valor a una seal con base en el valor de
otra seal previamente seleccionada.

I.- DISEO COMBINACIONAL

Declaraciones secuenciales
Las declaraciones secuenciales son aquellas en las que el orden
que llevan puede tener un efecto significativo en la lgica descrita.

Buffer
triestado
I.- DISEO
COMBINACIONAL
Los registros de tres estados (buffers tri-estado) tienen diversas
aplicaciones, ya sea como salidas de sistemas (modo buffer) o
como parte integral de un circuito. En VHDL estos dispositivos son
definidos a travs de los valores que manejan (0,1 y alta
impedancia 'Z').

Multiplexores
I.- DISEO COMBINACIONAL
Los multiplexores se disean describiendo su comportamiento
mediante la
declaracin with-select'when o ecuaciones booleanas.

Multiplexores
I.- DISEO COMBINACIONAL
Los multiplexores se disean describiendo su comportamiento
mediante la
declaracin with-select'when o ecuaciones booleanas.

en el lenguaje VHDL se crearon para


evitar
que
cada distribuidor de
I.- DISEO
COMBINACIONAL
software introdujera sus paquetes y
tipos de datos al lenguaje. Por esta
razn el Instituto de Ingenieros
Elctricos y Electrnicos, IEEE,
estableci
desde
1987
los
estndares stdjogc y stdjogicjuector,
que ya se vieron en un captulo
anterior. En cada uno de los
estndares se definen ciertos tipos
de datos conocidos como tipos
lgicos estndares, los cuales se
pueden utilizar haciendo referencia
al paquete que los contiene (en este
caso std_logic_1164).

I.- DISEO COMBINACIONAL

Sumador paralelo de 4 bits

I.- DISEO COMBINACIONAL

Decodificadores/Codificadores
La programacin de circuitos decodificadores se basa en el uso
de declaraciones
que permiten establecer la relacin entre un cdigo binario
aplicado a las entradas del dispositivo y el nivel de salida
obtenido.

II.- DISEO SECUENCIAL

Un sistema secuencial est


formado por un circuito
combinacional
y
un
elemento
de memoria encargado de
almacenar
de
forma
temporal la historia del
sistema.En esencia, la salida
de un sistema secuencial no
slo depende del valor
presente de las entradas,
sino tambin de la historia
del sistema. En el diseo
secuencial con VHDL las

II.- DISEO SECUENCIAL

Atributo event
En el lenguaje VHDL los
atributos sirven para definir
caractersticas
que
se
pueden
asociar
con
cualquier tipo de datos,
objeto
o
entidades.
El
atributo event1 (evento) se
utiliza para describir un
hecho u ocurrencia de una
seal
en particular.

II.- DISEO SECUENCIAL

Registros

Contadores

II.- DISEO SECUENCIAL

Diseo secuencial sncrono


Un sistema secuencial se desarrolla a
travs de una serie de pasos
generalizados
que comprenden el enunciado del
problema, diagrama de estados,
tabla
de estados, asignacin de estados,
ecuaciones de entrada a los
elementos de memoria
y diagrama electrnico del circuito.
Ejemplo: Detector de secuencia
1111 Mealy

II.- DISEO SECUENCIAL

Ejemplo: Detector de secuencia 1111

II.- DISEO SECUENCIAL

Das könnte Ihnen auch gefallen