Beruflich Dokumente
Kultur Dokumente
Kapitel 1: Zahlendarstellung
Anwendungsbeispiel
Darstellung von
Informationen?
► Zahlendarstellungen
► b-adische Darstellung natürlicher Zahlen
Zahlendarstellungen
Endliches Alphabet:
z.B. im Dezimalsystem: σ10 = {0,1,2,3,4,5,6,7,8,9}
allgemein: σ𝑏 = {0,1, … , 𝑏 − 1}, wobei 𝑏 Basis genannt wird
𝑧 = 𝑧𝑖 𝑏𝑖
𝑖=0
▪ Betrachte 𝑧 = 0110 2
▪ Als natürliche Zahl interpretiert als:
3
𝑧 = 2𝑖 ⋅ 𝑧𝑖 = 20 ⋅ 0 + 21 ⋅ 1 + 22 ⋅ 1 + 23 ⋅ 0 = 6
𝑖=0
𝑧𝑚𝑎𝑥 = 2𝑖 = 2𝑛 − 1
𝑖=0
(47)10 = (101111
? )2
2595 10
= 235 10 Rest: 10 10 = 𝐴 11
11
235 10
= 21 10 Rest: 4 10 = 4 11
11
21 10
= 1 10
Rest: 10 10 = 𝐴 11
11
1 10
= 0 10 Rest: 1 10 = 1 11
11
2595 10 = 1𝐴4𝐴 11
1111 15 = 20 + 21 + 22 + 23
+ 0010 2 = 21 1 = 15 + 2 mod 24
0001 1 = 20
𝑥 ⋅ 𝑦 = 𝑥 ⋅ 𝑦0 + 𝑥 ⋅ 𝑦1 ⋅ 2 + 𝑥 ⋅ 𝑦2 ⋅ 22 + ⋯ + 𝑥 ⋅ 𝑦𝑛−1 ⋅ 2𝑛−1
𝑛−1
= 𝑥 ⋅ 𝑦𝑖 ⋅ 2𝑖
𝑖=0
In der Praxis ist es sinnvoll, jeden Term der Form 𝑥 ⋅ 𝑦𝑖 ⋅ 2𝑖
zu addieren, sobald er generiert wurde:
𝑥⋅𝑦 = … 𝑥 ⋅ 𝑦0 + 𝑥 ⋅ 𝑦1 ⋅ 2 + 𝑥 ⋅ 𝑦2 ⋅ 22 + ⋯ + 𝑥 ⋅ 𝑦𝑛−1 ⋅ 2𝑛−1
► BCD-Code
Darstellung ganzer Zahlen im Rechner
▪ Zweierkomplement: Invertierung + 1
Beispiel: 𝑥 = 10110010
𝐾1 (𝑥) = 01001101
𝐾2 (𝑥) = 01001110
▪ 𝐾1 (𝐾1 (𝑥)) = 𝑥
Beispiel: 3 10 + −6 10 =-(3)10
(3)10 = (0011)2
-(6)10 = K1(0110)2 = (1001)2
0011
+1001 (1100)2 = -K1(1100)2 = -(0011)2
1100 = -(3)10
▪ 𝐾2 (𝐾2 (𝑥)) = 𝑥
Beispiel: 3 10 + −6 10 =-(3)10
(3)10 = (0011)2
-(6)10 = K2(0110)2 = (1001 + 1)2 = (1010)2
0011
+1010 (1101)2 = -K2(1101)2 = -(0010 + 1)2
1101 = -(3)10
▪ Einerkomplement:
-(7)10 ··· (7)10
▪ Zweierkomplement:
-(8)10 ··· (7)10
Darstellung in Dezimalnotation
Bitfolge
Vorz./Betrag K1 K2
0000 +0 +0 +0
0001 +1 +1 +1
0010 +2 +2 +2
0011 +3 +3 +3
0100 +4 +4 +4
0101 +5 +5 +5
0110 +6 +6 +6
0111 +7 +7 +7
1000 -0 -7 -8
1001 -1 -6 -7
1010 -2 -5 -6
1011 -3 -4 -5
1100 -4 -3 -4
1101 -5 -2 -3
1110 -6 -1 -2
1111 -7 -0 -1
© G. Lakemeyer, W. Oberschelp, G. Vossen
§ Festkomma-Darstellung
Motivation: Festkommazahlen
TÄTE
§ Fest vorgegebene Anzahl an Vor- und
Nachkommastellen
§ Getrennt voneinander binär dargestellt kommen
§ Häufig aus Performancegründen (statt
Fließkommazahlen) eingesetzt
O -
ein !-Bit Wort "#$% … "' ( stellt dann die Zahl
#$%
" = - ". ⋅ 2. dar, z.B. 110101.0
./'
= 0110 . 000
0,5=3 = 6.0
00 °
!
Kommen
© G. Lakemeyer, W. Oberschelp, G. Vossen
(0,6875)10 = 0,( ? )2
(0,6875)10 = 0,( ? )2
(0,6875)10 = 0,( ? )2
(0,6875)10 = 0,( ? )2
(0,6875)10 = 0,( ? )2
► Gleitkomma-Darstellung
► IEEE 754
Motivation: Gleitkommazahlen
§ Alternative zu Festkomma: approximative Darstellung
reeller bzw. rationaler Zahlen
§ Bei gleicher Anzahl an Bits wie bei Festkommadarstellung
wird viel größerer Zahlenbereich abgedeckt
§ Aber: Nicht jede Zahl in diesem Bereich kann exakt
dargestellt werden
§ Bis in die 80er Jahre hinein gab es viele verschiedene
Gleitkomma-Darstellungen.
§ Das Institute of Electrical and Electronics Engineers (IEEE)
gab einen Standard für 32-, 64- und 80-Bit
Gleitkommazahlen heraus.
§ Probleme durch die Behandlung von Über- bzw.
Unterläufen und anderen Ausnahmen.
§ Die Basis ist für alle auftretenden Exponenten die gleiche; daher
rechnerinterne Darstellung einer Gleitkomma-Zahl:
(±$, ±()
§ Beispiel: ( =B
1.6 O
∗ 10B
123
4
0 1 9 31
Exponent #̂ Mantisse %
$
0 bei positiven Zahlen
Vorzeichen "̂ der Mantisse 1 bei negativen Zahlen
§
§ Darstellungstrick für ein weiteres Bit an Präzision
§ Also gilt 1 ≤ 6 < 2
B I -
10111111110000000000000000000000
d.h.
Ige
)̂ = 1 )=1
_?
*̂ = 01111111 soooooo
127 d = u
?
* = *̂ − 127 = 0 -
l
,
+ = 10000000000000000000000 , = 1. ,
+ = 1.5
,
f -1,5-2%0
Einführung in die Technische Informatik | WS 20/21
28 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Normalisierte Darstellung
§ Stelle −2.6251 0als IEEE 754 Gleitkommazahl dar
m̂ = 01010… g -
0 , 5 T 0 ,1 2 5
=
tz t §
=
0,1012
÷
1. Vorzeichen: s = 1 → ŝ = 1
2. ManRsse: (2,625)10 = (10,101)2
Ö 0 1
1 1 0 0 0 0 0 0 0 0 1 0 1 0
Einführung in die Technische Informatik | WS 20/21
9
··· .
31
0
33 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Normalisierte Darstellung
§ Welcher Dezimalzahl entspricht die folgende
Binärzahl?
0 1 9 31
0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 ............. 0 0 0 0
0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 ............. 0 0 0 0
0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 ............. 0 0 0 0
=
0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 ............. 0 0 0 0
e = ê -127 = 52 -
0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 ............. 0 0 0 0 I I
1. Vorzeichen: ŝ = 0 → s = 0 → pos. Zahl
2. Exponenten: ê = (10110011)2 = (179)10
e = ê -127 = 52
3. Man4sse: m = 1,m̂ = (1,0101)2 = (1,3125)
- 10
=
I t ! = 0,25 t
0,062in
Einführung in die Technische Informa4k | WS 20/21
38 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Normalisierte Darstellung
§ Welcher Dezimalzahl entspricht die folgende
Binärzahl?
0 1 9 31
0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 ............. 0 0 0 0
)
( = 0…0
=… 1)
-̂ = (0
⇒ 1.0 ⋅ 24567 =@. z-"b)
% -
#̂ = 0 #̂ = 1
'
& = 0 … 0 ! ⇒ +0 '
& = 0 … 0 ! ⇒ −0
)̂ = = 0…0 )̂ = 0 … 0
§ Nach IEEE 754 manchmal gleich interpretiert (bei =
Vergleich z.B.), manchmal unterschiedlich
§ Beispiel:
1 1
= +∞ = −∞
0 −0
§ Im IEEE 754 wird dies durch %
$ = (0 … 0) und einen
Exponenten ,̂ = (1 … 1) mit .̂ ∈ {0,1} dargestellt
=
– Beispiel:
+∞ + −∞ = /0/
: - #
'̂ = 0 1.10011001100110011001101 . ⋅ 212
= 0.100000001490116119384765625
)̂ = 01111011
o)
+
* = (10011001100110011001101)
(
§ Nächstkleinere Zahl
'̂ = 0 1.10011001100110011001100 . ⋅ 212
= 0.0999999940395355224609375
)̂ = 01111011
+
* = (10011001100110011001100) is
Einführung in die Technische Informa4k | WS 20/21
45 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Approximative Darstellung
§ -
float a = 0.1; float b = 0.3;
. - -
§ Falls ,$ ≤ ,* dann
=
(3. 4 .
)
103 =
| ! + / = #$ ⋅ 2'(0'+ + #* ⋅ 2'+
! − / = #$ ⋅ 2'(0'+ − #* ⋅ 2'+
§ Falls ,$ ≤ ,* dann
! + . = #$ ⋅ 2'(1'+ + #* ⋅ 2'+
! − . = #$ ⋅ 2'(1'+ − #* ⋅ 2'+
'( /'+ 3-102.4.103
§ Ebenso ! ⋅ . = #$ ⋅ #* ⋅ 2
= 3. y . 102+3
! #$ 0
= ⋅ 2'(1'+
. #*
„E
§ Mantisse: 2 Bits
Werten
§ Präzise Verteilung um die 0
i n
§ L l
§ IEEE 754 definiert nicht, wie exakt gerundet werden muss, sondern
liefert Alternativen
§ Round to zero
§ Round down
§ Round up
§ Round to nearest
§ Tie to even
§ Tie away from zero
© R. Bryant, D. O‘Hallaron
Nachteile:
§ Mehr Prozessorlogik erforderlich
§ Nicht etabliert
Quelle: http://www.capcomespace.net/dossiers/espace_europeen/ariane/ariane5/AR501/V88_AR501.htm
x ¬x
0 1
1 0
0 0 0 0 0 0
x ¬x
0 1 1 0 1 0
0 1 1 0 1 1 0 0
1 0 1 1 1 1 1 1
0 0 0
x ¬x
0 1 1
0 1 1 0 1
1 0 1 1 1
0 0 0 0 0 0
x ¬x
0 1 1 0 1 0
0 1 1 0 1 1 0 0
1 0 1 1 1 1 1 1
✓ E t
^ V
(a) Kommutativgesetze:
!∧# =#∧! !∨# =#∨!
(b) Assoziativgesetze:
! ∧ # ∧ & = ! ∧ (# ∧ &) ! ∨ # ∨ & = ! ∨ (# ∨ &)
(c) aDistributivgesetze:
- (btc> a . b = + a . ( a t ( b .c ) = @ t b . C a t c ) f a l s c h
! ∧ # ∨ & = ! ∧ # ∨ (! ∧ &) ! ∨ # ∧ & = ! ∨ # ∧ (! ∨ &)
(d) Absorption:
:Ä⇐
!∧ !∨# =! !∨ !∧# =!
(e) Idempotenz:
!∧! =! !∨! =!
(f) Nullelement: Einselement:
!∧0=0 !∨1=1 ÷
© G. Lakemeyer, W. Oberschelp, G. Vossen
=
E AT =
I V Y
FayaI = ¥ 7 3
=
I v G I =
Ä v TUE
© G. Lakemeyer, W. Oberschelp, G. Vossen
► Schaltfunktionen
(xom.kz..) (40,41,----Tm)
I
F O
B "→ B
© G. Lakemeyer, W. Oberschelp, G. Vossen
-t.IO/#
Sei ': #$ → #( mit ' )$*& , … , )& , )- = (0(*& , … , 0& , 0- )
Setzt man für jedes 2 ∈ {5 − 1, … , 0} -
!: : #$ → # 9:B"→ B
definiert durch
!: )$*& , … , )& , )- = 0:
so ist F wie folgt darstellbar:
→ 1 = 1
' )$*&, … , )&, )- = (!(*& )$*&, … , )&, )- , !(*; )$*&, … , )&, )- , … , !- )$*&, … , )&, )- )
⇐ BE!
iii .:
$1
(d
T. S I 72
(If
2-
2. (1,0),
=
),0,
Ok
0)
xe-fftD-
→
s.ee
× 40
42 =
fz ( 4 ) =
Xy
→
% & ( X i ,X D X o
=
=
70 t o ( 4 × 0 ) = 0
=
u - 1
x y f0 f1 f2 f3 f4 f5 f6 f7
0 0 0 0 0 0 0 0 0 0
880 8
0 1 0 0 0 0 1 1 1 1
1 0 0 0 1 1 0 0 1 1
1 1 0 1 0 1 0 1 0 1
x y f0 f1 f2 f3 f4 f5 f6 f7
0 0 0 0 0 0 0 0 0 0
0 1 0 0 0 0 1 1 1 1
1 0 0 0 1 1 0 0 1 1
1 1 0 1 0 1 0 1 0 1
= z u m
*+ → * (1) !+# !⊕# # !+# ! !+# !⋅# !+!
(2) 1-Max = 1-y ≥ 1-x ≤ 1-Min ≡1
(3) ↓ ↔ ¬y ← ¬x → ↑
(4) NOR XNOR NAND
[
=
= .
.
1 0 0 1 0
2 '0 1 0' 0
i 3
4
0
1
1
0
1
0
1
0
0 00 0
5 1 0 1 1
0 0 0 1 6 1 1 0 0
0 0 1 0 7 1 1 1 1
0 011
° 1 00
0 1 01
0 1 1 0
0 1 1 1
1 0 0 0 © G. Lakemeyer, W. Oberschelp, G. Vossen
^ 0 01
Einführung in die Technische Informatik | WS 20/21
1 19
0 1 Prof.
0 Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
1 0 1
1
-
1 c
: 1 !
Beispiel für eine 3-stellige Funktion
f
tot
X
i x₂ x₁ x₀ f(x₂, x₁, x₀) z
X
,
X
o
0 0 0 0 0
1 0 0 1 0
2 0 1 0 0
3 0 1 1 1
Einschlägige Indizes 4 1 0 0 0
Binärvehtar Liste
5 1 0 1 1
6 1 1 0 0 X ×
z 1 Xo
7 1 1 1 1
- 1 -
1
"
Don't or ei -
Temärvewtor Liste
Symbol
-
TV L
© G. Lakemeyer, W. Oberschelp, G. Vossen
► Minterme
► Maxterme
6 1 1 0 0
7 1 1 1 1
DNF: -
§ Man sagt:
Das System {Ù, Ú, ¯} ist funktional vollständig.
Mg
=
Xzt 5+5
uns =3 =
XztÄ =
Tz .
Xe .
Xo
Mz =
ins
XztÄ
4 1 0 0 0
5 1 0 1 1
6 1 1 0 0
KNF: 7 1 1 1 1
Öl
^^ ^
! &' , &) , &* = ,* ⋅ ,) ⋅ ,' ⋅ ,. ⋅ ,/
= &' + &) + &* ⋅ &' + &) + &* ⋅ &' + &) + &* ⋅ &' + &) + &* ⋅ (&' + &) + &* )
KNIN
=
1 =
1 IT =
1
=
1
© G. Lakemeyer, W. Oberschelp, G. Vossen
%
(3) ↑
Frage: Ist {↑} funktional vollständig? (4) NAND
x y f14
0 0 1
Vorgehen: Stelle ein bekanntes
0 1 1
fkt. vollst. System mit {↑} dar.
1 0 1
1 1 0
1. Nicht {¬}: !̅ = !̅ ∨ !̅ = !̅ ∨ !̅ = ! ∧ ! = ! ↑ !
2. (3) ↑
(4) NAND
= !̅ ↑ #) = (! ↑ !) ↑ (# ↑ #) x y f14
Ii F 0 0 1
0 1 1
3. Und {⋀}: !⋀# = !⋀# = ! ↑ # 1 0 1
1 1 0
= (! ↑ #) ↑ (! ↑ #)
→ Funktional Vollständig
→ Nicht funktional i
Vollständig
Einführung in die Technische Informatik | WS 20/21
36 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 2.5
Schaltnetze
Gerichteter
Zieht
Hz> chic Azyklischer
► DAG-Darstellung
Graph Graph
► Anwendung: Schaltungsabhängige Fehlerdiagnose
gereifter Craph •
-
• Kasten / Zinns
Zinnen
^
① HX
LIEF •
V •
•
az Gegen
Grundbausteine zur Realisierung
Boolescher Funktionen "
Funktion
: Unser Symbol IEEE-Symbol
Negation
(Komplement-Gatter) x ¬x x ¬x
Addition x x
IE x+y x+y
-
•
-
(Oder-Gatter) y y
Multiplikation x x
FI x·y x·y
-
(Und-Gatter) y • y
O
x₂ x₁ x₀
WM Fan In 2
§
- =
ÄZX
UND -
Gatter 5.4 xo Fan Out = 1
ÄIXIXO
XZXTXO
ODER Gatter
-
x₂ x₁ x₀
Alternative Schaltung:
Farin =3
ÄH
DAG= x₂ x₁ x₀
Directed
Acyclic
Graph
¬ ¬
gerichteter
∧ ∧ ∧
azyklischer
Graph
∧ ∧ ∧
=
∨
!(#$ , #& , #' ) © G. Lakemeyer, W. Oberschelp, G. Vossen
z
z
∨
x
¬
1
z
z
^
∨
x NO o
¬
1
x
1
0
1
TAO
z
z
∨
x QAO
¬
* 0/1
x
0
Beispiel:
! "# , "% , "& = "# "% "& + "# "% "& + "# "% "&
Annahmen:
§ Es tritt im gegebenen Schaltnetz höchstens ein Fehler auf
x₂ x₁ x₀
4 5
8 9
1 6
11
⇐ 3
¬ ¬
1 2 7
Xd 10
∧ ∧ ∧
danke -14
12 13 14
Xo
∧ ∧ ∧
urspr
Iz /
.
:
15 16
✓ XEXO
∨ KEIKO
XIAO
17 18
fd = X
, Xo U
XZXTXO U
Kwo ∨ ,
$(&' , &) , &* ) © G. Lakemeyer, W. Oberschelp, G. Vossen
x₂ x₁ x₀ f₁ f₂ f₃ f₄ f₅ f₆ f₇ f₈ f₉
0 0 0 0 0 0 0 0 0 0 0 0
0 0 1 0 0 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0 0 0 0 0
0 1 1 1 0 1 1 0 1 1 1 0
1 0 0 0 0 0 0 0 0 0 0 0
1 0 1 1 1 0 1 1 1 0 1 1
1 1 0 0 0 0 0 0 0 0 0 0
1 1 1 1 1 1 0 1 1 1 0 1
x₂ x₁ x₀ f₁₀ f₁₁ f₁₂ f₁₃ f₁₄ f₁₅ f₁₆ f₁₇ f₁₈
0 0 0 0 0 0 0 0 0 0 0 0
0 0 1 0 0 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0 0 0 0 0
0 1 1 1 1 0 1 1 0 1 0 1
1 0 0 0 0 0 0 0 0 0 0 0
1 0 1 0 1 1 0 1 1 0 0 1
1 1 0 0 0 0 0 0 0 0 0 0
1 1 1 1 0 1 1 0 1 1 1 0
© G. Lakemeyer, W. Oberschelp, G. Vossen
xoz
¥
Es gilt: × ← ⑦
y y
!" = !$
!% = !& = !' = !"% = !"&
!( = !) = !"* = !"( = !"$
!+ = !, = !"" = !"+ = !", 10 1
x₂ x₁ x₀ f f₁ f₂ f₃ f₄ f₁₇ 11 0
0 0 0 0 0 0 0 0 0
0 0 1 0 0 0 0 0 0
0 1 0 0 0 0 0 0 0
0 1 1 1 1 0 1 1 0
1 0 0 0 0 0 0 0 0
1 0 1 1 1 1 0 1 0
1 1 0 0 0 0 0 0 0
1 1 1 1 1 1 1 0 1
6 1 1 0 0 0 0 0 0 0
> eüed 3 7 1 1 1 1 0 0 0 1 0
.
► Kofaktoren
► Variablenordnung
Pause bis 17:35 ¥0
Sie können sich in Wander
treffen u . unterhalten .
fku.ee ,
- - -
Eko )
§
0
Negativer Kofaktor: ! #$ ⁄% = !(#()* , … , #$-* , 0, #$)* , … , #/ )
#$
A- =
0 X
; 1
=
weg .
Krfaeetor
Einführung in die Technische Informatik | WS 20/21
56 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel: Funktion als Entscheidungsbaum
x2 x1 x0 f
0 0 0 0 !"
° 1
0 0 1 0
0 1 0 0 !# !#
0 1 1 1 0 1 0 1
1 0 0 1
1 0 1 0 !$ !$ !$ !$
0 1 0 1 0 1 0 1
1 1 0 0
1 1 1 1 0 0 0 1 1 0 0 1
Einführung in die Technische Informatik | WS 20/21
57 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispielbaum nach Zusammenlegen der Blätter
!$
!"
!#
!"
:!"
!#
!"
0 1
x x
So
x
y z y z
x x !"
y z !# !#
!$ !$ !$ !$
x
y z 0 1
x x !"
y z !# !#
!$ !$ !$
x
y z 0 1
÷
x
…
y
y
x !"
y !# !#
0
Ä
! : $ !$ !$
… %
y 0 1
x !"
y !# !#
!$ !$
…
y 0 1
Einführung in die Technische Informatik | WS 20/21
64 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel 2
Alle Pfaden in die Ö .
% =? " !"
AuePfaden in die 1
Xz Xd X
o 1
!#
0 1 1 1
!$
f.
to
= +
1
Duff 0 1
f XZX Xot XZÄXO
ÜZX Xo
=
, +
,
¥
Xz Xd X
o
% =? !" →
°
1 -
O O
→ 0 1 O O
!#
^
Marterne !
f. =
④ EXIT! ) .
KF
0 !$ (Xzt Xs ) .
⇐t Ko)
f
0 1 KNF =
( Xzt XT TG ) .
( Xctxetxo ) .
(Xzf
•
(Eztxetxo) (ETF txo)
-
STI)
Einführung in die Technische Informatik | WS 20/21
65 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel: OBDD für die „Schwellenwert-Funktion“
f(x4 , x3 , x2 , x1 , x0) = T25
!"
!# !#
^ itkxilxi.is/zz
!$ !$ f >
{ D eine
!% !%
!&
0 1
Einführung in die Technische Informatik | WS 20/21
66 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel: OBDD für die „Ungerade-Paritäts-Funktion“
!"
!# !#
^ it Miki -
ßI ungerade
£>
{ 0 ehe
!$ !$
!% !%
0 1
Einführung in die Technische Informatik | WS 20/21
67 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
OBDD zur Variablenordnung
V1 = x5 <= x2 <= x4 <= x1 <= x3 <= x0
!"
!#
f- = X
5×2+4^+40
!$
!%
!&
!'
0 1
!"
!# !#
!$ !$ !$ !$
!% !% !% !%
!& !&
!'
0 1
Einführung in die Technische Informatik | WS 20/21
69 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 2.7
Gray-Code
Gray-Code
1. 011
§ Generierungsverfahren zur robusten Übertragung ⑦
1103
2.
101.
§ Eigenschaft: 4 01014
Die Darstellung zweier benachbarter Zahlen
unterscheidet sich nur durch 1 Bit
Dez .
Dualzahl Gray-Code
00 00
: 01 01
10 11
: 11 10
Dualzahl Gray-Code
00 00
00 01
01 01
10 11
11 10
10 11
Normale Reihenfolge
Dualzahl Gray-Code
00 00
00 01
01 01
10 11
11 10
10 11
Normale Reihenfolge
Gray-Code
Einführung in die Technische Informatik | WS 20/21
74 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
a
Beispiel: 3-Bit Gray-Code (n
'
'
a
ü
Dual Graz
c2 c1 c0 C2 C1 C0
0 0 0 0 0 0 0
1
0 0 1 0 0 1
2 0 1 0 0 1 1
3 0 1 1 0 1 0
4554
\
5:21
1 0 0 1 1 0
1 0 1 1 1 1
1 1 0 1 0 1
1 1 1 1 0 0
!" = $ %" , %' , %( = %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %(
: :O
!' = + %" , %' , %( = %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %(
!( = ℎ %" , %' , %( = %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %( + %" ⋅ %' ⋅ %(
Resolutionsregel: *+ + *+, = * + + +, = *1 = *
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "&
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# *+ "& + ") "# *+ "& + ") "# "% "& + ") "# "% "& + ") "# "% "&
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") *+ "% "& + ") "# "% "& + ") *+ "% "& + ") "# "% "& + ") "# "% "&
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# "% "& + *+ "# "% "& + ") "# "% "& + *+ "# "% "& + ") "# "% "&
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# *+ "& + ") "# *+ "&
= ") "# "& + ") "% "& + "# "% "& + ") "# "& +
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = *+ "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "& + *+ "# "% "&
= ") "# "& + ") "% "& + "# "% "& + ") "# "& + "# "% "&
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "&
= *+ "# "& + ") "% "& + "# "% "& + *+ "# "& + "# "% "&
= "# "& +
Resolutionsregel: ,- + ,-. = , - + -. = ,1 = ,
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "&
= ") "# "& + ") "% "& + "# *+ "& + ") "# "& + "# *+ "&
= "# "& +
Resolutionsregel: *+ + *+, = * + + +, = *1 = *
Beispiel 1: ! "# , "% , "& = "# "% "& + "# "% "&
= "% "&
Beispiel 2:
! ") , "# , "% , "& = ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "& + ") "# "% "&
= ") "# "& + ") "% "& + "# "% "& + ") "# "& + "# "% "&
2×4 ×
Veith Dia
► Implikanten Kernaugh
-
-
µ .
Ku
► Don‘t Cares
-
Sieg .
Karnaugh-Diagramme für n = 2
!"
x x₁ x₀ f !# 0 1
0 0 0 0
1 0 1 1
0 0 1
2 1 0 0 1 0 0
3 1 1 0
)
-
!" !#
=
EsXzxo !% !$ 00 01 ②
11 10
00 O O O O
!" !#
00
→
① 1 0
→ →
!$ 00 01 11 10 01
→
0
0 11 0 000
1 10 0 0 00
01 1 1 korrekt 01 1 1 1
falsch
11 1 1 11
10 10
! "# , "% , "& , "' = "# "% "& "' + "# "% "& "' + "# "% "& "' + "# "% "& "' + "# "% "& "'
"& "'
"# "% 00 01 11 10
00 1 1
01 f- Tizxotxsxnxo
11 1
10 1 1
#( #%
#' #$ 00 01 11 10
00 1 1
01
11 1
10 1 1
#( #%
#' #$ 00 01 11 10
00
-
1 1
①
01 1 1
a.
11 1 1
-
10 I
1 /
1
Vereinfachte Form: ! = AB
#$ #% + #$ #% © G. Lakemeyer, W. Oberschelp, G. Vossen
Ein Implikant & von ! heißt Primimplikant (von !), falls keine
echte Verkürzung von & noch Implikant von ! ist.
Ein Primimplikant & heißt Kernimplikant (von !), falls & in jedem
Minimalpolynom von ! vorkommt.
Xp
+3×2
00 01 11 10
00 0 0 0 0
01 0 1 1 0
11 0 01 1
10 00 0 0
!$ !%
!" !# 00 01 11 10
00
01 1 1
11 1 1
10
{ =
XJXZXO IXo= X3 ←2×1
f-
#$ #% 00 01 11 10 #$ #% 00 01 11 10
00 00
01 1 1 01 1 1
|
11
-
1 1 - +3×2×1 11 1 1
10 10
GE XJXZXO +
43×2×1
Primimplikanten Kernimplikanten
Einführung in die Technische Informatik | WS 20/21
22 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Ausnutzung von Don‘t Cares
x x₃ x₂ x₁ x₀ f
0 0 0 0 0 0
^ " sohu.rs} 1
2
0
0
0
0
0
1
1
0
1
0
{
3 0 0 1 1 0
£ = 4 0 1 0 0 0
5 0 1 0 1 1
6 0 1 1 0 0
7 0 1 1 1 0
8 1 0 0 0 1
9 1 0 0 1 1
A 1 0 1 0 D
B 1 0 1 1 D D= dreiteure
C 1 1 0 0 D U U
D 1 1 0 1 D -
E 1 1 1 0 D
F 1 1 1 1 D © G. Lakemeyer, W. Oberschelp, G. Vossen
"& "'
"# "% 00 01 11 10
00 1
01 1
11 D D D D
10 1 1 D D
÷
1 !" !# !$ !% 11
!" !# !$ !% 13
!" !# !$ !% 14 .
2 !" !# !$ !% 6
!" !# !$ !% 12
3 !" !# !$ !% 4
4 !" !# !$ !% 0
© G. Lakemeyer, W. Oberschelp, G. Vossen
#
!# !$ !% 6,14
!" !# !$ 12,13
!" !# !% 12,14
2 !" !# !% 4,6
!# !$ !% 4,12
3 !" !$ !% 0,4
Alle Primimplikanten:
Gruppe Implikant Index (dezimal)
1 !" !# !$ !% 11
!" !# !$ 12,13
!# !% 4,6,12,14
3 !" !$ !% 0,4
© G. Lakemeyer, W. Oberschelp, G. Vossen
Implikationsmatrix: l l
l d
Minterm 0 4 6 11 12 13 14
Primimplikant
°
8
!" !# !$ !%
{
0 0 0 1 0 0 0
AE: !" !# !$ 0 0 0 0 1 °
1 0
⑦
!# !%
o
FEE
0 1 1 0 1 0 1
!" !$ !%
o
1 1 0 0 0 0 0
Kostengünstigste Darstellung:
1 #$ #% #& #' 7
#$ #% #& #' 11
2 #$ #% #& #' 3
#$ #% #& #' 5
#$ #% #& #' 6
#$ #% #& #' 10
3 #$ #% #& #' 4
#$ #% #& #' 8
4 #$ #% #& #' 0
Einführung in die Technische Informatik | WS 20/21
29 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel zum Quine-McCluskey-Verfahren
Primimplikant \ Minterm 0 3 4 5 6 7 8 10 11
0
- - -. 0 1 0 0
1 0 0 0 1 0 0 0
0
-. -/ 0 0 0000
1 1 1 1 0 0 0
-/ -0 -1 0 1 0 0 0 0 0 0 1
•
-. -/ -0 0 0 0 0 0 0 0 0000
1 1
-. -/ -1 0 0 0 0 0 0 1 1 0
-. -0 -1 1 0 1 0 0 0 0 0 0
00
- - - / 0 1 0
1 0 0 0 0 0 0
1 0 0
Primimplikant \ Minterm 0 3 4 5 6 7 8 10 11
!" !# !$ 0 1 0 0 0 1 0 0 0
!" !% 0 0 1 1 1 1 0 0 0
!% !# !$ 0 1 0 0 0 0 0 0 1
!" !% !# 0 0 0 0 0 0 0 1 1
!" !% !$ 0 0 0 0 0 0 1 1 0
!" !# !$ 1 0 1 0 0 0 0 0 0
!% !# !$ 1 0 0 0 0 0 1 0 0
Minterm 0 3 8 10 11
Primimplikant
$% $" $( 0 1 0 0 0
$& $" $( 0 1 0 0 1
$% $& $" 0 0 0 1 1
$% $& $( 0 0 1 1 0
$% $" $( 1 0 0 0 0
$& $" $( 1 0 1 0 0
Minterm 0 8 10
Primimplikant
$% $" $( 0 0 0
$% $& $" 0 0 1
$% $& $( 0 1 1
$% $" $( 1 0 0
$& $" $( 1 1 0
Minterm 10
Primimplikant
$% $& $" 1
$% $& $( 1
$% $" $( 0
!$ !% !$ !%
!" !# 00 01 11 10 !" !# 00 01 11 10
00 1 1 00 1 1
01 1 1 1 1 01 1 1 1 1
11 11
10 1 1 1 10 1 1 1
!$ !% !$ !%
!" !# 00 01 11 10 !" !# 00 01 11 10
00 1 1 00 1 1
01 1 1 1 1 01 1 1 1 1
11 11
10 1 1 1 10 1 1 1
► Beispiele zu OBDDs
► Vergleich zu Quine-McCluskey
Beispiel für OBDD
(Variablenordnung x₃ < x₂ < x₁ < x₀)
! "# , "% , "& , "' = "# "% "& "'
x3 +"# "% "& "' + "# "% "& "' + "# "% "& "'
x2 x2
x1 x1 x1 x1
x0 x0 x0 x0 x0 x0 x0 x0
1 0
x3
x2 x2
x1 x1 x1 x1
x0 x0 x0 x0 x0 x0 x0 x0
1 0
Einführung in die Technische Informatik | WS 20/21
41 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 1
x3
x2 x2
x1 x1 x1 x1
x0 x0 x0 x0 x0 x0 x0 x0
Elimination
1 0
Einführung in die Technische Informatik | WS 20/21
42 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 2
x3
x2 x2
x1 x1 x1 x1
x0 x0
1 0
Einführung in die Technische Informatik | WS 20/21
43 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 2
x3
x2 x2
x1 x1 x1 x1
Elimination
x0 x0
1 0
Einführung in die Technische Informatik | WS 20/21
44 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 2
x3
x2 x2
x1 x1 x1 x1
Elimination
x0 x0
Verjüngung
1 0
Einführung in die Technische Informatik | WS 20/21
45 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 3
x3
x2
x2
x1 x1
x0
1 0
Einführung in die Technische Informatik | WS 20/21
46 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 3
x3
x2
x2
Elimination
x1 x1
x0
1 0
Einführung in die Technische Informatik | WS 20/21
47 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Reduziertes OBDD
(Variablenordnung x₃ < x₂ < x₁ < x₀)
x3
x2 x2
x1
x0
1 0
Einführung in die Technische Informatik | WS 20/21
48 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Reduziertes OBDD
(Variablenordnung x₃ < x₂ < x₁ < x₀)
x3
x2 x2
x1
x0
Nicht weiter reduzierbar!
! = #$ #% #& + #$ #% #(
1 0
Einführung in die Technische Informatik | WS 20/21
49 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
(Variablenordnung x₁ < x₀ < x₃ < x₂)
! "# , "% , "& , "' = "# "% "& "'
x1 +"# "% "& "' + "# "% "& "' + "# "% "& "'
x0 x0
x3 x3 x3 x3
x2 x2 x2 x2 x2 x2 x2 x2
1 0
Einführung in die Technische Informatik | WS 20/21
50 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 1
x1
x0 x0
x3 x3 x3 x3
x2 x2 x2 x2 x2 x2 x2 x2
1 0
Einführung in die Technische Informatik | WS 20/21
51 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 1
x1
x0 x0
x3 x3 x3 x3
x2 x2 x2 x2 x2 x2 x2 x2
Verjüngung
1 0
Einführung in die Technische Informatik | WS 20/21
52 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 2
x1
x0 x0
x3 x3 x3 x3
x2 x2 x2 x2 x2 x2 x2
1 0
Einführung in die Technische Informatik | WS 20/21
53 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 2
x1
x0 x0
x3 x3 x3 x3
x2 x2 x2 x2 x2 x2 x2
Verjüngung
1 0
Einführung in die Technische Informatik | WS 20/21
54 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 2
x1
x0 x0
x3 x3 x3 x3
x2 x2 x2 x2 x2 x2 x2
Verjüngung Elimination
1 0
Einführung in die Technische Informatik | WS 20/21
55 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 3
x3
x0 x0
x3 x3 x3 x3
x2 x2
1 0
Einführung in die Technische Informatik | WS 20/21
56 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 3
x3
x0 x0
x3 x3 x3 x3
Elimination
x2 x2
1 0
Einführung in die Technische Informatik | WS 20/21
57 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 4
x1
x0 x0
x3 x3
x2 x2
1 0
Einführung in die Technische Informatik | WS 20/21
58 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für OBDD
Schritt 4
x1
x0 x0
x3 x3
x2 x2
Verjüngung
1 0
Einführung in die Technische Informatik | WS 20/21
59 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Reduziertes OBDD
(Variablenordnung x₁ < x₀ < x₃ < x₂)
x1
x0 x0
x3 x3
x2
1 0
Einführung in die Technische Informatik | WS 20/21
60 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Reduziertes OBDD
(Variablenordnung x₁ < x₀ < x₃ < x₂)
x1
x0 x0
x3 x3
1 0
Einführung in die Technische Informatik | WS 20/21
61 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Vergleich zu Quine-McCluskey
Verfahren Resultat
Quine-McCluskey ! = #$ #% #& + #$ #% #(
OBDD
! = #$ #% #& + #$ #% #(
(Variablenordnung x₃ < x₂ < x₁ < x₀)
OBDD
! = #% #& #( + #$ #% #& #( + #$ #% #& #(
(Variablenordnung x₁ < x₀ < x₃ < x₂)
Definitionen Verfahren
• Karnaugh-Veitch
• Minimalpolynom
• Quine-McCluskey
• (Prim- / Kern-) Implikant
• (Reduzierte) OBDDs
Vout
V2
Vout
V2
Vout
V2
+VCC
+VCC
+VCC
Vout
V1 Vout
Vout V1 V2
Vin
V2
► Elektrische Ladung
► Elektrische Spannung
► Elektrischer Strom
► Elektrischer Widerstand
► Ohmsches Gesetz
Physikalische Grundlagen
-
t
t t
Schwarz: Elektron
-
Rot: Proton
Blau: Neutron
▪
Elementarladung e 1,602 10-19 C
Ladung eines Elektrons: -e ←
▪ Ladung eines Protons: e
+ + + +
- - - - -
- - Elektronen
+ + + +
- - -
+ + + +
Kristallgitter - -
-
- - -
+ + + +
+ + + +
+ + + +
-
+ + + +
-
+ + + +
Elektronenmangel
= positive Ladung
- - -
+ + + + +- + + +
-- - - - - - - - -
- - - -
+ + + + + + - + +
- - - - - -
+ + + + + - + - + - +
- - - - -
- - -
- - - - - - -
+ + + + + + - + +
Elektronenmangel Elektronenüberschuss
= positive Ladung = negative Ladung
Q = n e, n N
- - -
+ + + + +- + + +
-- - - - - - - - -
- - - -
+ + + + + + - + +
- - - - - -
+ + + + + - + - + - +
- - - - -
- - -
- - - - - - -
+ + + + + + - + +
Q = n e, n N 1L = n -
e
¥
1=1%25
▪ Wie groß ist n für 1 C? u = ( =
↳
"
1019
- - -
+ + + + +- + + +
-- - - - - - - - -
- - - -
+ + + + + + - + +
- - - - - -
+ + + + + - + - + - +
- - - - -
- - -
- - - - - - -
+ + + + + + - + +
𝑟0
-
𝑟0 𝐹Ԧ
- -
𝑟0 𝐹Ԧ 𝐹Ԧ
- - -
𝐹Ԧ 𝑟0 𝐹Ԧ 𝐹Ԧ
--- - - -
𝐹Ԧ 𝑟0 𝐹Ԧ 𝐹Ԧ
--- - - -
▪ F heißt Coulomb-Kraft
𝐹Ԧ 𝑟0 𝐹Ԧ 𝐹Ԧ
--- - - -
▪ F heißt Coulomb-Kraft
▪ Gesetz von Coulomb:
𝑄1 ⋅ 𝑄2
𝐹Ԧ = 𝐾 ⋅
Charles Augustin de Coulomb,
2
⋅ 𝑟0 1736-1806
𝑟 Quelle: wikimedia.org September 2010
Einführung in die Technische Informatik | WS 20/21
12 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Elektrische Ladung
▪ Aus dem Coulomb-Gesetz folgt:
𝑊
𝑈=
𝑄 Z
▪ U steht für elektrische Spannung.
▪ [U] = J/C = V für „Volt“
(nach Alessandro Volta, 1745-1827)
▪ Spannungsquellen im Schaltkreis: UV +
- oder U oder
:
U
Physikalische Stromrichtung
Technische Stromrichtung
Einführung in die Technische Informatik | WS 20/21
17 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Elektrischer Strom
▪ [I] = A für „Ampere“, nach André Maria Ampère, 1775-1836
1C
1A = +- + + +
1s +
-
-
+
- -
+
-
+
-
- - -
+ + - + +
- -
- - -
+ + + +
▪ Stromquellen im Schaltkreis:
Iq oder Iq
Quelle: wikimedia.org September 2010
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Elektrischer Widerstand
▪ Der elektrische Widerstand entsteht durch den Widerstand, der
sich der Ausgleichsbewegung freier Ladungsträger entgegenstellt.
▪ Eine Ursache sind Zusammenstöße der Elektronen mit dem
Kristallgitter:
+- + + +
- - - - -
-
+ + + +
- - -
+ + - + +
- -
- - -
+ + + +
① ¥
1V
▪ 1Ω = E-
1A
un -
▪ Ohmsches Gesetz: U = R I
C) E-
⑦ u
I
R U
► … von Knoten
► … von Brücken
► Beispiel
Verschiedene Notationen von Knoten
+ - + -
Uv Uv
+ -
Uv
► Knotenregel
► Maschenregel
► Anwendungen
▪ Spannungsteiler
Kirchhoffsche Regeln
▪ Benannt nach Gustav Robert Kirchhoff,
deutscher Physiker, 1824-1887
▪ Zwei einfache Erhaltungssätze für
Strom und Spannung in Schaltkreisen:
▪ (Strom-)Knotenregel
▪ (Spannungs-)Maschenregel
▪ Hilfreich zur Bestimmung von
Teilspannungen und -strömen in
nicht-trivialen Schaltkreisen
▪ Berechne Ub :
▪ Masche M1: −𝑈𝑎 + 𝑈1 + 𝑈𝑏 = 0 ⇒ 𝑈𝑏 = 𝑈𝑎 − 𝑈1
▪ Ohmsches Gesetz: 𝑈1 = 𝑅1 ⋅ 𝐼1 ⇒ 𝑈1 = 20Ω ⋅ 0.2A = 4V
⇒ 𝑈𝑏 = 𝑈𝑎 − 𝑈1 = 5V − 4V = 1V
▪ Berechne Ub :
▪ Masche M1: −𝑈𝑎 + 𝑈1 + 𝑈𝑏 = 0 ⇒ 𝑈𝑏 = 𝑈𝑎 − 𝑈1
▪ Ohmsches Gesetz: 𝑈1 = 𝑅1 ⋅ 𝐼1 ⇒ 𝑈1 = 20Ω ⋅ 0.2A = 4V
⇒ 𝑈𝑏 = 𝑈𝑎 − 𝑈1 = 5V − 4V = 1V
▪ Berechne I2:
▪ Nach Knotenregel ist 𝐼2 = 𝐼1 = 0.2A
I
R1 R2 I1 = 0.2 A
Ua Ub Uc R1 = 20
M1 M2
R2 = 5
▪ Berechne Ub :
▪ Masche M1: −𝑈𝑎 + 𝑈1 + 𝑈𝑏 = 0 ⇒ 𝑈𝑏 = 𝑈𝑎 − 𝑈1
▪ Ohmsches Gesetz: 𝑈1 = 𝑅1 ⋅ 𝐼1 ⇒ 𝑈1 = 20Ω ⋅ 0.2A = 4V
⇒ 𝑈𝑏 = 𝑈𝑎 − 𝑈1 = 5V − 4V = 1V
▪ Berechne I2:
▪ Nach Knotenregel ist 𝐼2 = 𝐼1 = 0.2A
▪ Berechne Uc:
▪ Masche M2: −𝑈𝑏 + 𝑈2 + 𝑈𝑐 = 0 ⇒ 𝑈𝑐 = 𝑈𝑏 − 𝑈2 = 𝑈𝑏 − 𝑅2 𝐼2 = 1V − 5Ω ⋅ 0.2A = 0𝑉
Einführung in die Technische Informatik | WS 20/21
30 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Anwendungen von Ohmschem Gesetz und
Kirchhoff-Regeln
▪ Beispiel 2: Serienschaltung von Widerständen
U1 U2 Un
I1 I2 In
R1 R2 Rn
e-
Uges
▪ Gesucht: Rges
▪ Lösung:
▪ Maschenregel: 𝑈𝑔𝑒𝑠 = 𝑈1 + 𝑈2 + ⋯ + 𝑈𝑛
▪ Knotenregel: 𝐼𝑔𝑒𝑠 = 𝐼1 = 𝐼2 = ⋯ = 𝐼𝑛
𝑈𝑔𝑒𝑠 𝑈1 +𝑈2 +⋯+𝑈𝑛
▪ Ohmsches Gesetz: 𝑅𝑔𝑒𝑠 = 𝐼𝑔𝑒𝑠
=
𝐼𝑔𝑒𝑠
𝑛
𝑅1 𝐼1 + 𝑅2 𝐼2 + ⋯ + 𝑅𝑛 𝐼𝑛 𝐼𝑔𝑒𝑠 (𝑅1 + 𝑅2 + ⋯ + 𝑅𝑛 )
⇒ 𝑅𝑔𝑒𝑠 = = = 𝑅𝑖
𝐼𝑔𝑒𝑠 𝐼𝑔𝑒𝑠
𝑖=1
Einführung in die Technische Informatik | WS 20/21
31 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Anwendungen von Ohmschem Gesetz und
Kirchhoff-Regeln - Spannungsteiler
▪ Beispiel 3: Serienschaltung von Widerständen
U1 U2 Un
I1 I2 In
R1 R2 Rn
Uges
▪ Gesucht: Ui
▪ Lösung:
▪ Maschenregel: 𝑈𝑔𝑒𝑠 = 𝑈1 + 𝑈2 + ⋯ + 𝑈𝑛
▪ Knotenregel: 𝐼𝑔𝑒𝑠 = 𝐼1 = 𝐼2 = ⋯ = 𝐼𝑛
𝑈𝑔𝑒𝑠
▪ Ohmsches Gesetz: 𝑈𝑔𝑒𝑠 = 𝑅𝑔𝑒𝑠 𝐼𝑔𝑒𝑠 𝐼𝑔𝑒𝑠 =
𝑅𝑔𝑒𝑠
𝑈𝑔𝑒𝑠 𝑅𝑖
⇒ 𝑈𝑖 = 𝑅𝑖 𝐼𝑖 = 𝑅𝑖 𝐼𝑔𝑒𝑠 = 𝑅𝑖 = 𝑈
𝑅𝑔𝑒𝑠 𝑅𝑔𝑒𝑠 𝑔𝑒𝑠
-7
▪ Gesucht: Rges
¥
R1
R2
▪ Lösung: → Übungsaufgabe
is sir .
1
𝑛
1
…
▪ Ergebnis: 𝑅𝑔𝑒𝑠
=
𝑅𝑖
𝑖=1
→
> Rn
► Maschenstromanalyse (MSA)
× =
}
► Knotenstromanalyse (KSA)
⇐ HIHI
Netzwerkanalyse - Vorbereitung
Ziel: Bestimmung der Zweigströme in einem Netzwerk
Gpk
•
^
> • 7
Iz
✓
uf µ Ins
✓ Ez
§ Es
2. Einzeichnen der Zweigströme und Spannungen
M1 M2
M1 M2
M1 M2
rechte
5. Aufstellen der Maschengleichungen: Seite :
▪ M1: -Uq1 + U1 + U2 = 0 U1 + U2 = Uq1 Quell -
Sperrungen
M1 M2
Rift
5. Aufstellen der Maschengleichungen: =
M1 M2
M1 M2
M1 M2
M1 M2
7
Es -
1 −1 −1 𝐼1 0
𝑅1 𝑅2 0 𝐼2 = 𝑈𝑞1
0 𝑅2 − 𝑅3 + 𝑅4 𝐼3 𝑈𝑞2
1 −1 −1 𝐼1 0
1 1 0 𝐼2 = 4
0 1 −2 𝐼3 2
➔ z Gleichungen im LGS
Im1 Im2
Im1 Im2
Im1 Im2
Im1 Im2
𝑅1 + 𝑅2
𝑅2
−𝑅2
−(𝑅2 + 𝑅3 + 𝑅4 ) °𝐼𝑚1
𝐼𝑚2
=
𝑈𝑞1
𝑈𝑞2
Maschenimpedanzmatrix -
Vektor der Vektor der
Maschenströme Spannungsquellen
▪ Lösen des LGS (z.B. http://de.wikipedia.org/wiki/Gauß-Algorithmus) zur
Ermittlung der Maschenströme Im1 und Im2
▪ Berechnen der Zweigströme aus den berechneten Maschenströmen (s.o.)
➔ z – (k – 1) Gleichungen im LGS
Vorher:
𝑈𝑔 = 𝑅′ ⋅ 𝐼′
= 𝑅′ ⋅ 𝐼𝑞 − 𝐼
= 𝑅′ ⋅ 𝐼𝑞 − 𝑅′ ⋅ 𝐼
= 𝑈𝑞 − 𝑈 = 𝑈𝑞 − 𝑅 ⋅ 𝐼
= 𝑈𝑞 − 𝑅 ⋅ 𝐼 für 𝑅′ = 𝑅
und 𝑈𝑞 = 𝑅 ⋅ 𝐼𝑞
Vorher:
•
I
𝑈𝑔 = 𝑅′ ⋅ 𝐼′
= 𝑅′ ⋅ 𝐼𝑞 − 𝐼
÷
= 𝑅′ ⋅ 𝐼𝑞 − 𝑅′ ⋅ 𝐼
Ug = 𝑈𝑞 − 𝑈 = 𝑈𝑞 − 𝑅 ⋅ 𝐼
= 𝑈𝑞 − 𝑅 ⋅ 𝐼 für 𝑅′ = 𝑅
und 𝑈𝑞 = 𝑅 ⋅ 𝐼𝑞
I
Einführung in die Technische Informatik | WS 20/21
45 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Knotenspannungsanalyse (KSA)
Exkurs: Ersetzen von Spannungs- durch Stromquellen
Vorher: Nachher:
I
𝑈𝑔 = 𝑅′ ⋅ 𝐼′
= 𝑅′ ⋅ 𝐼𝑞 − 𝐼
= 𝑅′ ⋅ 𝐼𝑞 − 𝑅′ ⋅ 𝐼
Ug = 𝑈𝑞 − 𝑈 = 𝑈𝑞 − 𝑅 ⋅ 𝐼
= 𝑈𝑞 − 𝑅 ⋅ 𝐼 für 𝑅′ = 𝑅
und 𝑈𝑞 = 𝑅 ⋅ 𝐼𝑞
I
Einführung in die Technische Informatik | WS 20/21
45 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Knotenspannungsanalyse (KSA)
Exkurs: Ersetzen von Spannungs- durch Stromquellen
Vorher: Nachher:
I
𝑈𝑔 = 𝑅′ ⋅ 𝐼′
I´ = 𝑅′ ⋅ 𝐼𝑞 − 𝐼
= 𝑅′ ⋅ 𝐼𝑞 − 𝑅′ ⋅ 𝐼
Ug = 𝑈𝑞 − 𝑈 R´ = 𝑈𝑞 − 𝑅 ⋅ 𝐼
= 𝑈𝑞 − 𝑅 ⋅ 𝐼 für 𝑅′ = 𝑅
und 𝑈𝑞 = 𝑅 ⋅ 𝐼𝑞
I
Einführung in die Technische Informatik | WS 20/21
45 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Knotenspannungsanalyse (KSA)
Exkurs: Ersetzen von Spannungs- durch Stromquellen
Vorher: Nachher:
Gleiches Verhalten IE Ig I -
G-I. E-
0
I an der Schnittstelle! I
Do
𝑈𝑔 = 𝑅′ ⋅ 𝐼′
da I´ = 𝑅′ ⋅ 𝐼𝑞 − 𝐼
<
k = 𝑅′ ⋅ 𝐼𝑞 − 𝑅′ ⋅ 𝐼
Ug = 𝑈𝑞 − 𝑈 R´ Ug =
!
𝑈𝑞 − 𝑅 ⋅ 𝐼
= 𝑈𝑞 − 𝑅 ⋅ 𝐼 ie für 𝑅′ = 𝑅
und 𝑈𝑞 = 𝑅 ⋅ 𝐼𝑞
I In
I Iq =
U12
E. ⑤ ⑤ i. E "
U12
U12
¥
▪ Darstellen der Zweigströme I1', I2, I3' durch Leitwerte Gi
und Knotenspannungen:
▪ I1' = G1U12, I2 = G2U12, I3' = G34U12 , wobei
G- ¥
1 1 1
4=2 I E
▪ G1 = , G2 = , G34 = . =
G
𝑅1 𝑅2 𝑅3 +𝑅4
I = G. µ
Einführung in die Technische Informatik | WS 20/21
48 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Knotenspannungsanalyse (KSA)
▪ Aus den Knotengleichungen folgt nun ein LGS zur direkten
Bestimmung der Knotenspannungen:
➔ (k – 1) Gleichungen im LGS
b
- -
>
t①
"
"
n ✓ -41+424-44=0
•
uz , =
42544
<
▪ O
I1 = G1 U21 = G1 (U24 – U14) ▪ I5 = G5 U41 = -G5 U14
▪ I2 = G2 U42 = -G2 U24 ▪ I6' = G6 U23 = G6 (U24 – U34)
▪ I3 = G3 U31 = G3 (U34 – U14) ▪ I6 = Iq – G6 (U24 – U34)
▪ I4 = G4 U43 = -G4 U34
−𝐺1 − 𝐺3 − 𝐺5 𝐺1 𝐺3 𝑈14 0
−𝐺1 𝐺1 + 𝐺2 + 𝐺6 −𝐺6 𝑈24 = 𝐼𝑞
−𝐺3 −𝐺6 𝐺3 + 𝐺4 + 𝐺6 𝑈34 −𝐼𝑞
MSA KSA
# Gleichungen im LGS z – (k – 1) < k – 1 k – 1 < z – (k – 1)
Gesuchte Größen Ströme Spannungen
Vorhandene Quellen Mehr Mehr Stromquellen
Spannungsquellen
z = # Zweige, k = # Knoten
► Kondensatorschaltungen
▪ Kondensator:
Bauteil, das Energie in einem elektrischen Feld speichern kann
(durch Ladungsungleichverteilung).
▪ Symbol im Schaltkreis:
▪ Kondensator:
Bauteil, das Energie in einem elektrischen Feld speichern kann
(durch Ladungsungleichverteilung).
▪ Symbol im Schaltkreis:
▪ Beispiel mit es n
einfacher Geometrie: -0
Plattenkondensator ^
Idee
to
.
Eat 0¥
Ol
-
D- Q
o o
TEE
ab.
▪ Ladung beim Plattenkondensator (ohne Herleitung):
▪ ist materialabhängige Konstante •𝜀⋅𝐴
𝑄= ⋅𝑈
▪ 𝜀 = 𝜀0 ⋅ 𝜀𝑟 𝑑
As
T
▪ 𝜀0 ≈ 8.854 ⋅ 10−12
Vm
(elektrische Feldkonstante) Abstand
▪ 𝜀𝑟 (Vakuum) = 1.0
𝜀𝑟 (Glas) = 6 − 8 (dimensionslose Größe)
𝜀𝑟 (BaTiO3 ) = 103 − 104
1C
1F =
1V
▪ Bei einer Ladung von 1C bzw. -1C auf den beiden Platten fällt an
einem Kondensator mit 1F genau 1 V Spannung ab.
𝑊 𝑄 𝑄 𝑄
𝑞 1
𝑊 = න 𝑑𝑤 = න 𝑈 ∙ 𝑑𝑞 = න ∙ 𝑑𝑞 = ∙ න 𝑞 ∙ 𝑑𝑞
𝐶 𝐶
0 0 0 0
𝑊 𝑄 𝑄 𝑄
𝑞 1
𝑊 = න 𝑑𝑤 = න 𝑈 ∙ 𝑑𝑞 = න ∙ 𝑑𝑞 = ∙ න 𝑞 ∙ 𝑑𝑞
𝐶 𝐶
0 0 0 0
1 1 2 1 2 1 1
= ∙ ∙ 𝑄 − ∙ 0 = ∙ ∙ 𝑄2
𝐶 2 2 2 𝐶
𝑊 𝑄 𝑄 𝑄
𝑞 1
𝑊 = න 𝑑𝑤 = න 𝑈 ∙ 𝑑𝑞 = න ∙ 𝑑𝑞 = ∙ න 𝑞 ∙ 𝑑𝑞
𝐶 𝐶
0 0 0 0
1 1 2 1 2 1 1
= ∙ ∙ 𝑄 − ∙ 0 = ∙ ∙ 𝑄2
𝐶 2 2 2 𝐶
1 1 2
1
= ∙ ∙ 𝐶∙𝑈 = ∙ 𝐶 ∙ 𝑈²
2 𝐶 2
𝑊 𝑄 𝑄 𝑄
𝑞 1
𝑊 = න 𝑑𝑤 = න 𝑈 ∙ 𝑑𝑞 = න ∙ 𝑑𝑞 = ∙ න 𝑞 ∙ 𝑑𝑞
𝐶 𝐶
0 0 0 0
1 1 2 1 2 1 1
= ∙ ∙ 𝑄 − ∙ 0 = ∙ ∙ 𝑄2
𝐶 2 2 2 𝐶
1 1 2
1
= ∙ ∙ 𝐶∙𝑈 = ∙ 𝐶 ∙ 𝑈²
2 𝐶 2
Ein .
Energie Im v2
Parallelschaltung: Reihenschaltung:
𝑛
𝑛
𝐶𝑔𝑒𝑠 = 𝐶𝑖 1 1
𝑖=1 =
𝑛 𝐶𝑔𝑒𝑠 𝐶𝑖
𝑖=1
𝑄𝑔𝑒𝑠 = 𝑄𝑖 𝑄𝑔𝑒𝑠 = 𝑄1 = ⋯ = 𝑄𝑛
𝑖=1
Einführung in die Technische Informatik | WS 20/21
7 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Widerstand
Strom und Spannung am Kondensator
U= R I
.
⑨'
:
÷
¥4 DX
Hand - tv)
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differenzen -
Steigung:
Δ𝑥 quotient
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
=/]
𝑑𝑢(𝑡) 1 Dutt .
-
Ücss = ∙𝑖 𝑡
𝑑𝑡 𝐶 gheüh]
- ( DGL)
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
Lineare Differentialgleichung:
𝑇 𝑇
1
න 𝑑𝑢(𝑡) = න ∙ 𝑖 𝑡 𝑑𝑡
0 0 𝐶
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Steigung:
Δ𝑥
𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
Lineare Differentialgleichung:
𝑇 𝑇
1
න 𝑑𝑢(𝑡) = න ∙ 𝑖 𝑡 𝑑𝑡
0 0 𝐶
𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
t
𝑇 Steigung:
1
at
Δ𝑥
𝑈 𝑇 = ∙ න 𝑖 EE
𝑡 𝑑𝑡 𝑑𝑓 𝑓 𝑥+Δ𝑥 −𝑓(𝑥)
𝐶 0 Differentialquotient: = lim
𝑑𝑥 Δ𝑥→0 Δ𝑥
1)
dein
=
E -
in / -
at
g-
day) =
E -
ich -
DE
u
t
f. du =
E Sitz ) de
O O f
UH) =
E. Gif) dz
0
Abschnitt 5.2
Spulen
► Spulenschaltungen
▪ Spule:
Bauteil, das Energie in Form eines magnetischen Feldes
speichern kann.
▪ Symbol im Schaltkreis: oder den -
* wen
-
Widerst .
▪ Spule:
Bauteil, das Energie in Form eines magnetischen Feldes
speichern kann.
▪ Symbol im Schaltkreis:
¥
N
S
F
N
N
W s
O
S
S ←
÷
r
N
Leiter
N
W O
S
S
r
N
Leiter
S
r
N
Leiter
𝑈~ −
𝑑𝑡
𝑈
▪ Lenzsche Regel:
Die induzierte Spannung erzeugt einen Induktionsstrom,
der so gerichtet ist, dass sein magnetisches Feld der
Flussänderung*, die ihn verursacht hat, entgegen wirkt.
*In diesem Beispiel Vergrößerung von 𝐵
Einführung in die Technische Informatik | WS 20/21
12 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Spule
Induktivität Strom
8
𝐿⋅𝐼
Φ=
𝑁
𝐼
Anzahl der Windungen
𝑈
Quelle: http://www.amateurfunkpruefung.de/lehrg/a03/a03.html
Einführung in die Technische Informatik | WS 20/21
13 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Induktivität einer Spule
▪ Induktivität L ist geometrie- und materialabhängige
Bauteileigenschaft einer Spule
𝜇0 ⋅ 𝜇𝑟 ⋅ 𝐴 2
𝐿=𝑁
𝑙 ▪ 𝜇0 heißt magnetische Feldkonstante
−7
H
𝜇0 ≈ 4𝜋 ⋅ 10
m
▪ [L] = H , für „Henry“ ▪ 𝜇𝑟 ist materialabhängige Konstante
𝜇𝑟 (Vakuum) = 1.0
1Vs
1H = 𝜇𝑟 (Eisenkern) = 300 − 104
1A
uÄÄ 𝑑𝐼 𝑑𝐵 𝑑𝜙
𝑑𝑡
i→ i→ TO
𝑑𝑡 𝑑𝑡
→ 𝑢𝑖
𝐼 F-
-
𝑢𝑖
lndiztiouspennj ↳
𝑈 Ä
𝑑𝑖 𝑡 1
𝑈 𝑡 =O
𝐿⋅ 𝐸 = 𝐿 ⋅ 𝐼2 Spree
𝑑𝑡 2
?
f- =
Ich Kindern .
𝑈1
𝑈 𝑈
𝑈2
Parallelschaltung: Reihenschaltung:
𝑛 𝑛
1 1
= 𝐿𝑔𝑒𝑠 = 𝐿𝑖
𝐿𝑔𝑒𝑠 𝐿𝑖
𝑖=1 𝑖=1
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 5.3
Schaltverhalten
► Frequenzfilter
► Schwingkreis
Zusammenfassung: Übertragungsverhalten der Bauteile
▪ Widerstand: 𝑢 𝑡 = 𝑅 ⋅ 𝑖(𝑡) ° 01
𝑖 𝑡 = ∙ 𝑢(𝑡)
𝑅
ix :
𝑑 1 𝑇
▪ Spule: 𝑢 𝑡 =𝐿 ⋅ 𝑖(𝑡) 𝑖 𝑇 = ∙ 0 𝑢 𝑡 𝑑𝑡
𝑑𝑡 𝐿
1 𝑇 𝑑
▪ Kondensator: 𝑢 𝑇 = ⋅ 0 𝑖 𝑡 𝑑𝑡 𝑖 𝑡 =𝐶∙ 𝑢(𝑡)
𝐶 𝑑𝑡
𝑢𝑅 / 𝑖𝑅
𝑈0
U
𝑈00 𝑅
𝑢𝑅 / 𝑖𝑅
𝑈0
-
U
𝑈00 ! Cu . 𝑅
O 𝑈0
𝑅
M A 𝑡
Shelter Shelter
zu auf
𝑢𝑅𝐶 / 𝑖𝐶
𝑅
𝑈0 𝑈0
wie
𝑢𝑅𝐶 / 𝑖𝐶
hat
| 4¥44
𝑅
𝑈0 𝑈0
𝑖0
4cL 𝐶
^ 𝑡
zu
𝑢𝑅𝐶 / 𝑖𝐶
𝑈0 hehe 𝑅 𝑈0
𝑖0
%𝐶
𝑈0 = 𝑈𝑅 𝑡 + 𝑈𝐶 𝑡 𝑡
𝑢𝑅𝐶 / 𝑖𝐶
𝑅
𝑈0 𝑈0
𝑖0
𝐶
𝑈0 = 𝑈𝑅 𝑡 + 𝑈𝐶 𝑡 𝑡
𝑇
1
𝑈0 = 𝑅 ∙ 𝑖 𝑡 + ∙ න 𝑖 𝑡 𝑑𝑡
𝐶 0
𝑢𝑅𝐶 / 𝑖𝐶
𝑅
𝑈0 𝑈0
𝑖0
𝐶
𝑈0 = 𝑈𝑅 𝑡 + 𝑈𝐶 𝑡 𝑡
Vor
𝑇
1
𝑈0 = 𝑅 ∙ 𝑖 𝑡 + ∙ න 𝑖 𝑡 𝑑𝑡
𝐶 0
𝑑𝑖 𝑡 1 𝑑𝑖 𝑡 1 1
0=𝑅∙ + ∙𝑖 𝑡 ⇒ =− ∙ 𝑖 𝑡 = − ∙ 𝑖(𝑡)
𝑑𝑡 𝐶 𝑑𝑡 𝑅𝐶 𝜏
𝑢𝑅𝐶 / 𝑖𝐶
𝑅
𝑈0 𝑈0
×
𝑖0
𝐶
𝑈0 = 𝑈𝑅 𝑡 + 𝑈𝐶 𝑡 𝜏
± 𝑡
𝑇
1
𝑈0 = 𝑅 ∙ 𝑖 𝑡 + ∙ න 𝑖 𝑡 𝑑𝑡 2 = Zeitkonstante
𝐶 0
𝑑𝑖 𝑡 1 𝑑𝑖 𝑡 1 1
0=𝑅∙ + ∙𝑖 𝑡 ⇒ =− ∙ 𝑖 𝑡 = − ∙ 𝑖(𝑡)
𝑑𝑡 𝐶 𝑑𝑡 𝑅𝐶 𝜏
1 1
𝑘2 ∙ 𝑘1 ∙ 𝑒 𝑘1 ∙𝑡 = − ∙ 𝑘2 ∙ 𝑒 𝑘1∙𝑡 ⇒ 𝑘1 = −
𝜏 𝜏
1 1
𝑘2 ∙ 𝑘1 ∙ 𝑒 𝑘1 ∙𝑡 = − ∙ 𝑘2 ∙ 𝑒 𝑘1∙𝑡 ⇒ 𝑘1 = −
𝜏 𝜏
𝑖0 = 𝑖 𝑡 = 0 = 𝑘2 ∙ 𝑒1=1
𝑘1 ∙0 ⇒ 𝑘 2 = 𝑖0
1 1
𝑘2 ∙ 𝑘1 ∙ 𝑒 𝑘1 ∙𝑡 = − ∙ 𝑘2 ∙ 𝑒 𝑘1∙𝑡 ⇒ 𝑘1 = −
𝜏 𝜏
𝑖0 = 𝑖 𝑡 = 0 = 𝑘2 ∙ 𝑒 𝑘1 ∙0 ⇒ 𝑘 2 = 𝑖0
am
¥
𝑡
𝑖 𝑡 = 𝑖0 ∙ −𝜏
𝑒° "
𝜏 = RC UdK =
no -
(e -
e- E)
E)
▪ Nach einer Zeit von 5 Sekunden ist ein Kondensator zu 99%
ge- oder entladen ⇐ 0,63 Uo -
V C As
𝜏 =Ω⋅F= ⋅ = =s
A V A
Einführung in die Technische Informatik | WS 20/21
22 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltverhalten einer Spule
𝑢𝑅𝐿
𝑢𝑅 𝑅 𝑈0
𝑈0
𝑢𝐿 𝐿
𝑡
Frage: Wie verändern sich Strom und Spannung
über die Zeit?
𝑈0 = 𝑢𝑅 + 𝑢𝐿 = 𝑖 ⋅ 𝑅 + 𝑢𝐿 (Maschenregel)
l
𝑑 1 𝑢𝑅 𝑅
𝑢𝐿 = 𝐿 ⋅ 𝑖 ⇒ 𝑖 = න 𝑢𝐿 ∙ 𝑑𝑡
𝑑𝑡 𝐿 𝑈0
𝑢𝐿 𝐿
𝑢𝑅𝐿 / 𝑢𝐿
𝑈0
𝑡
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltverhalten einer Spule
𝑈0 = 𝑢𝑅 + 𝑢𝐿 = 𝑖 ⋅ 𝑅 + 𝑢𝐿 (Maschenregel)
𝑑 1 𝑢𝑅 𝑅
𝑢𝐿 = 𝐿 ⋅ 𝑖 ⇒ 𝑖 = න 𝑢𝐿 ∙ 𝑑𝑡
𝑑𝑡 𝐿 𝑈0
Einsetzen: 𝑢𝐿 𝐿
I. Er
𝑅
𝑢𝐿 = 𝑈0 − න 𝑢𝐿 ⋅ 𝑑𝑡
𝐿
𝑢𝑅𝐿 / 𝑢𝐿
𝑈0
𝑡
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltverhalten einer Spule
𝑈0 = 𝑢𝑅 + 𝑢𝐿 = 𝑖 ⋅ 𝑅 + 𝑢𝐿 (Maschenregel)
𝑑 1 𝑢𝑅 𝑅
𝑢𝐿 = 𝐿 ⋅ 𝑖 ⇒ 𝑖 = න 𝑢𝐿 ∙ 𝑑𝑡
𝑑𝑡 𝐿 𝑈0
Einsetzen: 𝑢𝐿 𝐿
𝑅
𝑢𝐿 = 𝑈0 − න 𝑢𝐿 ⋅ 𝑑𝑡
𝐿 O
Differenzieren: 𝑢𝑅𝐿 / 𝑢𝐿
𝑑𝑢𝐿 𝑅
= − ⋅ 𝑢𝐿
𝑑𝑡 𝐿
𝑈0
𝑡
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltverhalten einer Spule
𝑈0 = 𝑢𝑅 + 𝑢𝐿 = 𝑖 ⋅ 𝑅 + 𝑢𝐿 (Maschenregel) -
𝑑 1 𝑢𝑅=D 𝑅
𝑢𝐿 = 𝐿 ⋅ 𝑖 ⇒ 𝑖 = න 𝑢𝐿 ∙ 𝑑𝑡
𝑑𝑡 𝐿 𝑈0
Einsetzen: 𝑢𝐿 𝐿
𝑅
𝑢𝐿 = 𝑈0 − න 𝑢𝐿 ⋅ 𝑑𝑡
𝐿
Differenzieren: 𝑢𝑅𝐿 / 𝑢𝐿
𝑑𝑢𝐿 𝑅
= − ⋅ 𝑢𝐿
𝑑𝑡 𝐿
𝑈0 9
oh
Mit Ansatz zur Lösung von
Differentialgleichungen folgt:
𝑅
− 𝐿 ⋅𝑡 𝐿
𝑢𝐿 = 𝑈0 ⋅ 𝑒 dabei gilt: 𝜏 =
𝑅 .
𝑡
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltverhalten einer Spule
𝑈0 = 𝑢𝑅 + 𝑢𝐿 = 𝑖 ⋅ 𝑅 + 𝑢𝐿 (Maschenregel)
𝑑 1 𝑢𝑅 𝑅
𝑢𝐿 = 𝐿 ⋅ 𝑖 ⇒ 𝑖 = න 𝑢𝐿 ∙ 𝑑𝑡
𝑑𝑡 𝐿 𝑈0
Einsetzen: 𝑢𝐿 𝐿
𝑅
𝑢𝐿 = 𝑈0 − න 𝑢𝐿 ⋅ 𝑑𝑡
𝐿
Differenzieren: 𝑢𝑅𝐿 / 𝑢𝐿
𝑑𝑢𝐿 𝑅 -
±
"
= − ⋅ 𝑢𝐿 e
𝑑𝑡 𝐿
𝑈0
Mit Ansatz zur Lösung von
Differentialgleichungen folgt:
𝑅
− 𝐿 ⋅𝑡 𝐿
𝑢𝐿 = 𝑈0 ⋅ 𝑒 dabei gilt: 𝜏 =
𝑅
Kondensator E- RC 𝜏 𝑡
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltverhalten einer Spule
𝑢𝑅𝐿 / 𝑖
𝑈0
𝑡 𝑖0
−
𝑖 = 𝑖0 ⋅ 1 − 𝑒 𝜏
𝑡
▪ Übung: Führen Sie die Berechnung für den Stromverlauf selber
durch.
Einführung in die Technische Informatik | WS 20/21
25 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Zusammenspiel von Spule und Kondensator
- Frequenzfilter -
▪ Schaltverhalten einer Spule bei Wechselspannung
𝑈𝐸 𝑖
-
𝑡 𝑡
~ Nur
𝑡 𝑡
rum um
𝑡 𝑡
Einführung in die Technische Informatik | WS 20/21
26 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Zusammenspiel von Spule und Kondensator
- Frequenzfilter -
𝑈𝐸
tue 𝑈𝐴 = 𝑈𝐸
= 0𝑉
𝑈𝐸 𝑈𝐴 = 0𝑉
= 𝑈𝐸
-0
Tiefpass
-0
Hochpass
Einführung in die Technische Informatik | WS 20/21
27 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Zusammenspiel von Spule und Kondensator
- Frequenzfilter -
𝑈𝐸 𝑈𝐴 𝑈𝐸 𝑈𝐴
Tiefpass Hochpass
10−2 10−2
10−3 10−3
10−4 10−4
10−1 100 101 102 𝑓 [Hz] 10−1 100 101 102 𝑓 [Hz]
Tiefpass Hochpass
I
𝑈𝐴 10 0 𝑈𝐴 100
𝑈𝐸 10−1 𝑈𝐸 10−1
10−2 10−2
10−3 10−3
10−4 10−4
10−1 100 101 102 𝑓 [Hz] 10−1 100 101 102 𝑓 [Hz]
Tiefpass Hochpass
𝑈𝐴 10 0 𝑈𝐴 100
𝑈𝐸 10−1 𝑈𝐸 10−1
10−2 10−2
10−3 10−3
10−4 10−4
10−1 100 101 102 𝑓 [Hz] 10−1 100 101𝑓𝑐 102 𝑓 [Hz]
▪ Grenzfrequenz:
1
Die Amplitude des Ausgangssignals 𝑈𝐴 ist auf den fachen Wert
2
der Eingangsamplitude 𝑈𝐸 abgesunken
1 𝐿
𝑓𝑐 = mit 𝜏 = 𝑅𝐶 bzw. 𝜏 =
2𝜋𝜏 𝑅
Einführung in die Technische Informatik | WS 20/21
29 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Zusammenspiel von Spule und Kondensator
- Frequenzfilter -
▪ Mit mehreren Energie speichernden Bauteilen kann ein Filter
2. Ordnung konstruiert werden.
EH
▪
.
𝑈𝐸
Es sind auch Filter realisierbar, die bei hohen und tiefen Frequenzen
𝑈𝐴
abdämpfen: Bandpass
HI
1
𝑈𝐸 𝑈𝐴 𝑓𝑚 =
2𝜋 𝐿𝐶
tun!
▪ Zum Zeitpunkt 𝑡0 sei der Kondensator voll geladen und der Schalter
wird umgelegt
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
𝐼
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
𝐼
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
→ 𝑢
/
→
i.
𝑖
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
𝐼
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
𝑡0 𝑡
𝐼
1
𝑓0 = 𝑡0 𝑡
2𝜋 𝐿𝐶
Kapitel 6: Halbleiter
Halbleiter-Bauteile
Quelle: TSMC
Einführung in die Technische Informatik | WS 20/21
2 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Experiment
▪ Experiment:
+
Lampe leuchtet
-
Anode Kathode
Schaltzeichen
▪ Experiment:
+
get Lampe leuchtet nicht
-
► Energiebändermodell
Erinnerung: Der Aufbau eines elektrischen
Leiters
+- + + +
- - - - -
- Elektronen
+ +
.
.
+ +
- - -
+ + - + +
Kristallgitter - -
- - -
+ + + +
* -
↳
Schalen (Energieniveaus) bewegen. +
.
-
_
0=9.4 w eV
Elektronenvolt eV angegeben.
=
*
Einführung in die Technische Informatik | WS 20/21
↳ =3
8 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Aufbau der Materie
▪ Die Energiedifferenz Δ𝑊
zwischen zwei
Energieniveaus ist stets
diskret.
W
-
n=5 (12.99 eV)
÷
n=4 (12.68 eV)
9
10
eV
n=3 (12.03 eV)
5 n=2 (10.15 eV)
0 n=1 (Grundzustand)
Leitungsband
✓ = Valenzband
𝑊
L
01
t
-0
V
i .
L
V
.
L
V
Isolator Halbleiter Leiter
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
11 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 6.2
Silicium und Dotierung
► Eigenleitfähigkeit
► Dotierte Halbleiter
Atommodell von Silicium
-
-
- -
- - - Si - - -
- -
-
-
- -
Si - Si -
- - - -
- -
Si - Si -
→
-
01
-
Rekombination -
- -
- - -
Si Si Si -
- -
-
- - -
Loch
-
Si
-
- - -
Si Si - Si -
- +
- - -→
- - - -
- +
- - -
Si Si - Si - Elektronen-
-
Bewegung
-
Loch-
- - - Bewegung
←
← o
- so Elektronen-
- - - - mangel
- Si - Si - B
- - -
- - -
in
⑦ ⑦
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
19 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für dotierte Halbleiter mit 5 Valenzelektronen: Silicium +
Phosphor
- - -
negativ-leitendes
Material
- - -
P Si Si
- - -
-
Elektronen-
- - - - - - -
überschuss
- Si - Si - P
- - -
- - -
→①
F
⑥
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
21 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 6.3
PN-Übergänge
► Sperrschicht
► Kennlinie
pn-Übergang
spersbilt
m
p-leitend n-leitend
÷":"
Löcher = + + + + + + - - - - - - Elektronen =
Majoritäts- + + + + + + - - - - - - Majoritäts-
ladungsträger + + + + + + - - - - - - ladungsträger
+ + + + + + - - - - - -
Elektronen = Löcher =
+ + + + + + - - - - - -
Minoritäts- Minoritäts-
ladungsträger + + + + + + - - - - - -
ladungsträger
Rekombination
nA n(x) nD
24
Einführung in die Technische Informatik | WS 20/21
o © W. Schiffman, R. Schmitz
Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
pn-Übergang
nA n(x) nD
c) Zunächst sprunghafte Änderung
der Ladungsträgerdichte, dann
Ausgleich an der Grenzschicht
durch Diffusion X
Konzentrationsdichte nach der
Diffusion
p -- +
-- --- n
d) Durch Diffusion verbleiben in der + +
n-Zone ortsfeste positive Ionen
-- +
+
+ +
+ +
und durch Rekombination der
- -- +
+
+
φ(x) φn
f) Wenn Diffusions- und elektr.
Feldwirkung auf die freien
Ladungsträger gleich ist, führt dies X
zu einem dynamischen
φp UD
Gleichgewicht
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
26 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Sperrschicht
p n
+ + + + + - - - - - - -
+ + + + + - - - - - - -
+ + + + + - - - - - - -
+ + + +→ + - - - - - - -
+ + + + + - - - - -
+ + + + + - - - - -
Grenzschicht,
arm an freien Ladungsträgern
UD
x
Bei extrem hoher Spannung:
0
Zener-Effekt
28 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
pn-Übergang mit äußerer Spannung
WEN DER -
Link im Chat
¥
Kennlinie des pn-Übergangs Widerstand
¥ "
viiueinuissoß
→
viugroß
U
V
¥! U
V tf
und
-
groß
Durchlassrichtung Sperrrichtung
Spannungsmessgerät richtig Strommessgerät richtig
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
30 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Aufzeichnen der Dioden-Kennlinie
-1
𝐼
Durchlassbereich
ca. 70 V
𝑈
-1 +2 +4
Zener- 𝐼
Durchbruch
Sperrbereich U
Ideale Strom- und Spannungskennlinie des pn-Übergangs
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
31 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 6.4
Anwendungen der Diode
► Gleichrichter
► UND/ODER-Schaltungen
Anwendung: Gleichrichter
FH Uin U´
aus
R
▪ Beispiele:
▪ Einweg-Gleichrichter
▪ Brücken-Gleichrichter
+
}
U U‘
R
U U‘
R
U U‘
R
U U‘
t t
• 0
U
in
U‘out
+
+
✓
U
wer ‒ ‒
U‘
? ?
Einführung in die Technische Informatik | WS 20/21
39 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Brücken-Gleichrichter
𝑈𝑖𝑛 𝑈𝑜𝑢𝑡
+
+
+
‒
U +
‒ ‒
U‘
‒ +
Einführung in die Technische Informatik | WS 20/21
40 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Brücken-Gleichrichter
𝑈𝑖𝑛
‒
‒
‒
Yen
U
+ + zur
+
U‘
? ?
Einführung in die Technische Informatik | WS 20/21
41 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Brücken-Gleichrichter
𝑈𝑖𝑛 𝑈𝑜𝑢𝑡
‒
‒
‒
+
U ‒
+ +
U‘
- +
Einführung in die Technische Informatik | WS 20/21
42 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Brücken-Gleichrichter
U U‘
-
t t
A B AᴧB
▪ Schaltung zur Darstellung des 0 0 0
logischen UND 0 1 0
1 0 0
5N
+UB =
1 1 1
R
D1
A + Q
STE 1
B ‒ .
D2
+
Juans
0V
Q ou o
A B AᴧB
▪ Schaltung zur Darstellung des 0 0 0
logischen UND 0 1 0
1 0 0
+UB EU 1 1 1
A +
D1
‒
R
f UR =0
Q=0
5V
Ov
B ‒ 0V
D2
0V
A B AᴧB
▪ Schaltung zur Darstellung des 0 0 0
logischen UND 0 1 0
1 0 0
+UB 1 1 1
R UR = 0V
D1
A + Q=1
B + 5V
D2
0V
A B AvB
▪ Schaltung zur Darstellung des 0 0 0
logischen ODER 0 1 1
1 0 1
+UB 1 1 1
D1
A + ‒
B
‒ Q
D2
R
0V
A B AvB
▪ Schaltung zur Darstellung des 0 0 0
logischen ODER 0 1 1
1 0 1
+UB 1 1 1
D1
A +
0V
B
‒ + Q= 1
D2
R UR = 5V
0V
A B AvB
▪ Schaltung zur Darstellung des 0 0 0
logischen ODER 0 1 1
1 0 1
+UB 1 1 1
D1
A ‒
5V
B
‒ Q= 0
D2
R UR = 0V
0V
► Bipolare Transistoren
► Funktionsprinzip
► Verstärkerschaltung
► Emitterschaltung
► Kennlinien
► Feldeffekttransistoren
► Bipolar vs. Unipolar
Der Transistor
Technische Daten
Typ: BU 208
IC: Max. 8 A
UCEO: 700 V
Ausführung: NPN
Gehäuse: TO 3
Quelle: www.reichelt.de, September 2020
© Wikimedia Commons
C
▪ Diese bestehen aus drei Schichten
mit unterschiedlicher Dotierung: n Kollektor
▪ npn (im Bild zu sehen)
▪ pnp
B p
n
Basis B
0
Emitter
E
▪ Die Halbleiterschichten verfügen
über metallische Anschlüsse
▪ Kollektor E
▪ Basis
▪ Emitter
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
53 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Die Emitterschaltung - NPN
𝐵𝑁 =
𝐼𝐶
Stromverstärkung
+ 𝑈𝐶𝐸
𝐼𝐵
𝑈𝐵𝐸 𝐼𝐸
0V
Einführung in die Technische Informatik | WS 20/21
54 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Die Emitterschaltung - PNP
𝐵𝑁 =
𝐼𝐶
Stromverstärkung
- 𝑈𝐶𝐸
𝐼𝐵
𝑈𝐵𝐸 𝐼𝐸
0V
Einführung in die Technische Informatik | WS 20/21
55 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Funktionsprinzip eines Transistors
Freie Ladungsträger:
C
-
Bewegliche Elektronen - - - - -
n
- - - - -
- - - - -
- - - - -
- - - - -
- - - - -
+
+
+
+
+
+
+
B
+
+
+
+
+
+
n
- - - - -
- - - - -
- - - - -
Bewegliche Löcher - - - - -
+
- - - - -
- - - - -
= *
C ^ -0
|
Positive Ladung
+
n
- - - - -
- - - - -
ULE
µ
- - - - -
- - - - -
-
Bew. Elektronen - - - - -
¥
'
+
+
+
+
#p
- - - - -
EEB Sperrschicht
- - - -
+
+
+
+
+
+
+
+
+
+
µ
- - - -
+
+
+
+
"n
+
+
Bew. Löcher
¥
- - - - -
+
+
+
+
+
- - - - -
- - - - -
Negative ;
- - - - - -
- - - - -
Ladung \
- - - - -
E .
← * -
T
→
𝐼𝐶
𝐼𝐵
Last-
+ 𝑈𝐶𝐸 stromkreis
Eingangs-
stromkreis
𝑈𝐵𝐸
𝐼𝐶 A
𝐼𝐵
+ A V 𝑈𝐶𝐸
𝑈𝐵𝐸 V
IC
Ausgangskennlinienfeld
Transfer- IB4
Kennlinie
𝐼
I IB3
(𝐵𝑁 = 𝐼𝐶 )
⑧
1
0
𝐵
' -
•
•
I B2
a
IB1
IB Is
do I I I 7
UCE
I
Eingangskennlinie
:
£ . -
E.
- - -
↳:Ä
UBE u
.
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
68 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Kennlinienfelder
IC
Ausgangskennlinienfeld
Transfer- IB4
Kennlinie IB3
𝐼
(𝐵𝑁 = 𝐼𝐶 ) IB2
𝐵
IB1
IB
UCE
Für verschiedene 𝐼𝐵
Eingangskennlinie
UBE
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
68 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Verstärkerschaltung
𝑈𝑉𝐶𝐶
𝐼𝑅
Laster derst . 𝑅𝐿 𝑈𝑅
𝐼𝐶
𝑅𝐵 𝐼𝐵
𝑈𝐶𝐸
𝑈𝐵𝐵 𝑈𝐵𝐸
𝑈𝑉𝐶𝐶
𝐼𝑅
Mit der Maschen- und Knotenregel
gilt für den Kollektorstrom:
𝑅 f-
𝑈 𝑅
na
°O°
|
𝑈𝑅
𝐼𝐶 = 𝐼𝑅 =
𝑅
mit 𝑈𝐶𝐸 + 𝑈𝑅 = 𝑈𝑉𝐶𝐶 𝐼𝐶
𝑈𝑉𝐶𝐶 − 𝑈𝐶𝐸
𝐼𝐶 =
𝑅
𝑈𝐶𝐸
1 𝑈𝑉𝐶𝐶 b
𝐼𝐶 = − ⋅ 𝑈𝐶𝐸 + ylx) = Un -
Xx
𝑅 𝑅 v
IC preist
𝑈𝑉𝐶𝐶
!
•
𝑅
1 𝑈𝑉𝐶𝐶
𝐼𝐶 = − ⋅ 𝑈𝐶𝐸 + oo FB
𝑅 𝑅
4- =D Ic = UI
R
E- 0
4¥ = % Ot
°
•
UVcc UCE
Rn
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
71 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Bestimmung des Arbeitspunktes
▪ Der Arbeitspunkt ist
der Schnittpunkt der Ausgangskennlinienfeld
IC
Lastgeraden mit einer
Lastgerade
Ausgangskennlinie. Transfer- IB4
Kennlinie Arbeitspunkt
𝐼
IB3
(𝐵𝑁 = 𝐼𝐶 )
𝐵 IB2
IB1
▪ Er gibt an, wie groß IB
𝐼𝐶 und 𝑈𝐶𝐸 in einer UCE
konkreten Schaltung
für ein gegebenes IB
sind.
Eingangskennlinie
UBE
© W. Schiffman, R. Schmitz
Einführung in die Technische Informatik | WS 20/21
72 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Realisierung Boolescher Funktionen
auf Transistorebene
Transistor-Inverter (NOT)
+VCC
\
A ഥ
𝐀 +VCC
0 1
1 0 UR = VCC
UR = 0V
HA) = Ä
A ' UCE = Vout = VCC UCE = Vout = 0V
vi.o 1 0
UBE = Vin = 0V oo
UBE = Vin = VCC
5%
VCC 0V
1 0
AEI
Vout = VCC 1
Vout = 0V
V1= VCC V1 = VCC
B:O VCC d 0V
V2= 0V V2 = VCC
0
1 Vout = VCC Vout = 0V
"
^
0V VCC
µ
0V 0V .
.
VON DER -
Link im Chat
¥
Feldeffekttransistoren
▪ Wechsel der Bauelementtechnologien
100% 2
1 3 4
V 50%
0%
1950 1960 1970 1980 1990
1 Röhrenschaltungen
2 Schaltungen mit diskreten Transistoren
3 Integrierte bipolare Schaltungen
4 Integrierte MOS-Schaltungen
V Anteil der Funktionseinheiten an den
gesamten Gerätefunktionen
Einführung in die Technische Informatik | WS 20/21
76 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Feldeffekttransistoren
▪ MOS = Metal-Oxide-Semiconductor
▪ (≈Metall-Oxid-Halbleiterbauteil)
▪ Vorteile gegenüber bipolaren Schaltungen:
▪ Höhere Integrationsfähigkeit
▪ Geringere Verlustleistung
▪ Einfachere Herstellung
▪ Geringere Abmessungen, geringes Gewicht
▪ Niedriger Energieverbrauch
▪ Höhere Zuverlässigkeit
▪ Verringerte Gesamtkosten
D-
¥-0
9
*
l l
▪ selbstsperrender Feldeffekttransistor
▪ selbstleitender Feldeffekttransistor
Einführung in die Technische Informatik | WS 20/21
78 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltzeichen MOSFET
n-Kanal p-Kanal
D D
selbstsperrend B B
G G
S S
D
D
selbstleitend B
B
G
S G
S
Bipolartransistor Unipolartransistor
▪ Strom steuert Strom ▪ Spannung steuert Strom
▪ Schaltvorgang nahezu
▪ Schaltzeit klein leistungslos
▪ Hohe Verlustleistung ▪ Langsamer als
▪ Geringe Bipolartransistor
Herstellungskosten ▪ Hoch Integrierbar
0
Strecke ist gesperrt Strecke ist gesperrt
𝑈𝐶𝐸 = 𝑈
0 𝑈𝐷𝑆 = 𝑈
𝐼𝐵 > 0 ⇒ Kollektor-Emitter-
Strecke ist geöffnet
① 𝑈𝐺𝑆 > 𝑈𝑇ℎ𝑟 ⇒ Drain-Source-
Strecke ist geöffnet
.
𝑈𝐶𝐸 = 0 𝑈𝐷𝑆 = 0
Schaltgeschwindigkeit -Leistungsaufnahme
-
Spannung O
3 - 15V 4.75 - 5.25V
Schaltzeit 35ns 10ns
Leistungs-
10nW 10mW
aufnahme
► n-stelliges Register
Einführung: 1-Bit Register für die Taktung von
Schaltnetzen
"
x3 x2 x1 x0
▪ Beispiel: Gesucht ist ein
Ringzähler für vierstellige R
Dualzahlen, also eine
y3
Schaltung für die Funktion
𝑓: 𝐵 4 → 𝐵 4 , definiert durch
𝑓 𝑑 𝑖 ≔ 𝑑(𝑖 + 1 mod 16)
y2
realisieren
y0
realisieren
y0
z
z at
*
∨
x 01
¬
°
101
1
z
z
∨
x
¬
x
1
Arm
1 0
z z z
∨
x
¬ x x
0 0
S = Speicher
i
1 xi
JI V S yi ^
0
Takt
1. Arbeitsphase: Der Inhalt von S wird „nach rechts“ abgegeben;
er steht als Signal yi zur Verfügung. Ein Signal xi wird in V
„abgelegt“. V und S sind durch eine Sperre getrennt.
2. Setzphase: Eine zentrale Synchronisation durch eine Uhr (engl.
Clock), welche Taktimpulse erzeugt, hebt die Sperre kurzzeitig
auf und bewirkt dadurch die Abgabe des Inhalts von V an S.
© G. Lakemeyer, W. Oberschelp, G. Vossen
1 xi V S yi 1
0
Takt
1. Arbeitsphase: Der Inhalt von S wird „nach rechts“ abgegeben;
er steht als Signal yi zur Verfügung. Ein Signal xi wird in V
„abgelegt“. V und S sind durch eine Sperre getrennt.
2. Setzphase: Eine zentrale Synchronisation durch eine Uhr (engl.
Clock), welche Taktimpulse erzeugt, hebt die Sperre kurzzeitig
auf und bewirkt dadurch die Abgabe des Inhalts von V an S.
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
1 1
x2 y2
1 1
R
x1 y1
1 0
1
x0 y0
0
1 1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
1 1
x2 y2
1 1
R
x1 y1
1 1
x0 y0
0
1 0
1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
1 1
x2 y2
1 1
R
x1 y1
1 1
x0 y0
1 0
1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
1 1
x2 y2
1 1
R
x1 y1
1 1
x0 y0
1 1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
0
1 1
x2 y2
0
1 1
R
x1 y1
0
1 1
x0 y0
0
1 1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
0
1 0
1
x2 y2
0
1 0
1
R
x1 y1
0
1 0
1
x0 y0
0
1 0
1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
x3 y3
0
1 0
1
x2 y2
0
1 0
1
R
x1 y1
0
1 0
1
x0 y0
1 0
1
Clock
© G. Lakemeyer, W. Oberschelp, G. Vossen
0
x
0
1
01
z
1
0
0
x
01
f
°
-01 O
O
z
1
0
0
x
01 :
0
z
0
0
x
0
0
z
0
0
x
0
Contra
01
z
1
0
. . .
Dn-1 Dn-2 D1 D0
. . .
Dn-1 Dn-2 D1 D0
► SR-Latch
► Getaktetes SR-Latch
► JK-Flipflop
► Pulsgenerator
A B NOR
Set
µ
0 0
0 0 1
S 1 S 0 0 1 0
Q Q
0 1 1 0 0
1 1 0
1 0 Zustandsgraph eines
R 0
Q R 1
Q SR-Latch
0 0
Reset (S)et=1
SR-Latch in Zustand 0 SR-Latch in Zustand 1 Q=0 Q=1
(R)eset=1
SR-Latch
S
Q
Clock
Q
R
Getaktetes SR-Latch
D
Q
Clock
out
Δ
a AND b
a
in out
b
b
a
Pulsgenerator
in
Zeit
Zeitdiagramm
© G. Lakemeyer, W. Oberschelp, G. Vossen
D-Latch
D
Q
Pulsgenerator
Clock
Latches Flipflops
D Q D Q D Q D Q
CK CK CK CK
CK
D Q
D
CK
CK
D Q
D
CK
CK
D Q
D
CK
CK
D Q
D
CK
𝐶𝐾
PR
D Q
CK
CLR
VCC VCC
14 13 12 11 10 9 8 20 19 18 17 16 15 14 13 12 11
Q D D Q Q D D Q
CLR CLR CK CK CK CK
D Q D Q CLR CLR CLR CLR
Q D Q D Q D Q D
1 2 3 4 5 6 7 1 2 3 4 5 6 7 8 9 10
GND GND
D Q D Q D Q
Word 1
select Word 1
CK CK CK
line
D Q D Q D Q
A1 Word 2
A0 select Word 2
CK CK CK
line
D Q D Q D Q
Word 3
select Word 3
CK CK CK O2
line O1
O0
CS ∙ RD
CS
RD
OE
Output Enable = CS ∙ RD ∙ OE
Control
Schalter Control = 1
Invertierender Control = 0
Schalter
Control kann als Schalter aufgefasst werden oder äquivalent
mit dem Input zusammen in ein UND geführt werden
CS WE OE CS WE OE
© G. Lakemeyer, W. Oberschelp, G. Vossen
Kapitel 8: Speichertechnologien
Speichertechnologien
Quelle: commons.wikimedia.org/wiki/File:Nec_02716_EPROM.jpg
Einführung in die Technische Informatik | WS 20/21
19 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Speicher
▪ „logische Sicht“
▪ Einfache Speicherkonzepte
▪ Abstraktionsniveau: D-Flipflop
▪ Fragestellung: Wie werden Daten im Speicher verwaltet?
▪ „technische Sicht“
▪ Schwerpunkt auf der Realisierung moderner Speicher
▪ Fragestellung: Wie ist ein bestimmter Speichertyp technisch
aufgebaut?
Spalten-Decoder
0 1 2 3
3
A1 3 7 11 15
Zeilen-Decoder
2
2 6 10 14
1
1 5 9 13
A0 0
0 4 8 12
R/W
Schreib/Lesesteuerung Bidirektionaler Datenbus
CS
Quelle: Technische Informatik 2, W. Schiffmann und R. Schmitz
Einführung in die Technische Informatik | WS 20/21
22 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Technologien
Halbleiterspeicher
serieller, zyklischer
Zugriff
serieller, zyklischer
Zugriff
FIFO
(First in,
first out)
Warte-
schlange
serieller, zyklischer
Zugriff
FIFO LIFO
(First in, (Last in,
first out) first out)
Warte- Keller-
schlange speicher
serieller, zyklischer
Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff
löschbar
serieller, zyklischer
Zugriff wahlfreier Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff
serieller, zyklischer
Zugriff wahlfreier Zugriff inhaltsbezogener Zugriff
wahlfreier Zugriff
Fokus dieser Vorlesung:
statisch dynamisch
EPROM ROM
EEPROM PROM SRAM DRAM
Flash
Quelle: Technische Informatik 2, W. Schiffmann und R. Schmitz
Einführung in die Technische Informatik | WS 20/21
7 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 8.1
Random access memory
► Statische RAM
► Dynamische RAM
RAM-Speicher
RAM = Random Access Memory
▪ SRAM (Static RAM)
▪ Verwendung von Flipflops/Latches (wie in Kap. 6.2: „4 x 3 Speicher“)
▪ sehr schnell (<10ns Zugriffszeit)
▪ Verwendung als Level 2 Cache (L2-Cache)
UCC
RL RL
A1 A2
RB RB
E1 E2
T1 T2
RV RV
Est1 Est2
UCC
RL RL
A1 A2
RB RB
E1 E2
T1 T2
RV RV
Est1 Est2
UCC
RL RL
A1 A2
RB RB
E1 E2
T1 T2
RV RV
Est1 Est2
UCC
RL RL
A1 A2
RB RB
E1 E2
T1 T2
RV RV
Est1 Est2
UCC
RL RL
A1 A2
RB RB
E1 E2
T1 T2
RV RV
Est1 Est2
UCC
RL RL
A1 A2
RB RB
E1 E2
T1 T2
RV RV
Est1 Est2
Datenleitung Datenleitung
RL RL
Der Multiemitter-
Transistor sperrt, wenn
alle Emitter-Ausgänge = 1
T1 T2
Datenleitung
CS
Wortleitung
Datenleitung
Schreiben
CS
Wortleitung
Datenleitung
CS
Wortleitung
Datenleitung
CS
Wortleitung
Datenleitung
Lesen
CS
Wortleitung
Datenleitung
CS
Wortleitung
Row
2048 x 2048
Decoder
Array
11-to-2048
Mux
DOut
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 8.2
Read-Only Memory
► Festwertspeicher
► Programmable ROM
► Flash-Speicher
Festwertspeicher
Wortleitung
▪ Beim Herstellungsprozess
wird die Information durch
eine Metallisierungsmaske
VDD VDD
auf den Chip übertragen.
D0=1 D1=0
A10 0
∙∙
∙A
4 127
16 16 16 16 16 16 16 16
A3
A0
CS
1 aus 16
D0 D1 D2 D3 D4 D5 D6 D7
Quelle: howstuffworks.com
Einführung in die Technische Informatik | WS 20/21
21 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
EPROM-Speicher
(Erasable Programmable ROM)
Spalte
Zeile
(Adresse)
Speicherzellenaufbau
(Eintransistor-Zelle)
Quelle: Technische Informatik 1, W. Schiffmann und R. Schmitz
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
EPROM-Speicher
- Funktionsprinzip -
gate
▪ Anlegen einer großen +15V
positiven Spannung am Gate - - - -
source drain
▪ Negative Ladungsträger
bewegen sich aus dem Kanal - -
zum Floating-Gate
▪ Logische 1 wird
wiederhergestellt
Steuergate Gate 2
SiO2
S D
n+ n+
Floating-Gate
p-Substrat
2,23,21,24, addr<12…0>
25,3-10
Schreiboperation
22 OE
data
27 WE
addr
WE 20 CS1
CS1
2,23,21,24, addr<12…0>
25,3-10
Leseoperation
22 OE
data
27 WE
addr
OE 20 CS1
CS1
27,26,2,23,21, addr<14…0>
24,25,3-10
22 OE
20 CS
27C256
Quelle: Embedded System Design, Frank Vahid und Tony Givargis
Einführung in die Technische Informatik | WS 20/21
33 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für einen RAM-Baustein
TC55V2325FF
data<31…0>
Eine einzelne Leseoperation
addr<15…0>
CLK
ADSP CS1
ADSC CS2
TC55V2325FF-100
ADV CS3
addr <15…0> WE
WE OE
OE MODE
CS1 und CS2 ADSP
ADSC
CS3
ADV
data<31…0>
CLK
Kapitel 9: Rechenstrukturen
Abschnitt 9.1
Addiernetze
► Halbaddierer
► Volladdierer
► Ripple-Carry-Adder
► Carry-Bypass-Addiernetz
► Carry-Save-Addiernetz
► Wallace-Tree
Recap: Schriftliche Addition
Dezimal Binär
183 x 0111 x
+ 997 y + 1101 y
1110 U 11110 U
1180 R 10100 R
Dezimal Binär
183 x 0111 x
+ 997 y + 1101 y
1110 U 11110 U
1180 R 10100 R
2 Bits addiert
3 Bits addiert
x y Σ10 U R
x HA
y 0 0 0 0 0
0 1 1 0 1
1 0 1 0 1
1 1 2 1 0
U R
x y u’ Σ10 U R
x VA
0 0 0 0 0 0
y 0 0 1 1 0 1
u‘ 0 1 0 1 0 1
0 1 1 2 1 0
HA1 1 0 0 1 0 1
U1 R1 1 0 1 2 1 0
1 1 0 2 1 0
HA2
1 1 1 3 1 1
U2 R2
© G. Lakemeyer, W. Oberschelp, G. Vossen
U R
Einführung in die Technische Informatik | WS 20/21
5 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Volladdierer
x y u’ Σ10 U R
x VA
0 0 0 0 0 0
y 0 0 1 1 0 1
u‘ 0 1 0 1 0 1
0 1 1 2 1 0
HA1 1 0 0 1 0 1
U1 R1 1 0 1 2 1 0
1 1 0 2 1 0
HA2
1 1 1 3 1 1
U2 R2
© G. Lakemeyer, W. Oberschelp, G. Vossen
𝑈1 = 𝑥 ⋅ 𝑦 𝑅1 = 𝑥 ⊕ 𝑦
U R
Einführung in die Technische Informatik | WS 20/21
5 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Volladdierer
x y u’ Σ10 U R
x VA
0 0 0 0 0 0
y 0 0 1 1 0 1
u‘ 0 1 0 1 0 1
0 1 1 2 1 0
HA1 1 0 0 1 0 1
U1 R1 1 0 1 2 1 0
1 1 0 2 1 0
HA2
1 1 1 3 1 1
U2 R2
© G. Lakemeyer, W. Oberschelp, G. Vossen
𝑈1 = 𝑥 ⋅ 𝑦 𝑅1 = 𝑥 ⊕ 𝑦
𝑈2 = 𝑥 ⊕ 𝑦 ⋅ 𝑢′ 𝑅2 = 𝑥 ⊕ 𝑦 ⊕ 𝑢′
U R
Einführung in die Technische Informatik | WS 20/21
5 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Volladdierer
x y u’ Σ10 U R
x VA
0 0 0 0 0 0
y 0 0 1 1 0 1
u‘ 0 1 0 1 0 1
0 1 1 2 1 0
HA1 1 0 0 1 0 1
U1 R1 1 0 1 2 1 0
1 1 0 2 1 0
HA2
1 1 1 3 1 1
U2 R2
© G. Lakemeyer, W. Oberschelp, G. Vossen
𝑈1 = 𝑥 ⋅ 𝑦 𝑅1 = 𝑥 ⊕ 𝑦
𝑈2 = 𝑥 ⊕ 𝑦 ⋅ 𝑢′ 𝑅2 = 𝑥 ⊕ 𝑦 ⊕ 𝑢′
U R 𝑈 = 𝑥 ⋅ 𝑦 + 𝑥 ⊕ 𝑦 ⋅ 𝑢′ 𝑅 = 𝑥 ⊕ 𝑦 ⊕ 𝑢′
Einführung in die Technische Informatik | WS 20/21
5 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Addiernetz für zwei 4-stellige Dualzahlen
u3 u2 u1
VA VA VA HA
U3 U2 U1 U0
R4 R3 R2 R1 R0
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
6 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
n-stelliges Addiernetz
U U U U U
Ã4 Ã4 ... Ã4 A4
VA VA VA HA
U U U U U
Ã4 Ã4 ... Ã4 A4
VA VA VA VA VA VA VA HA
U U U U U
Ã4 Ã4 ... Ã4 A4
U′
Ã4
R4
U R3 R2 R1 R0
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
8 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Carry-Bypass-Addiernetz
VA VA VA VA CSA
w3 w2 w1 w0
VA VA VA VA 0 CSA
Addiernetz
R5 R4 R3 R2 R1 R0
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
11 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Prinzip der Carry-Save-Addition
X Y Z
CSA
CSA
Addiernetz
CSA CSA
CSA CSA
CSA
CSA
Addiernetz
► Carry-Save-Multiplikation
x3 x2 x1 x0 x
× y3 y2 y1 y0 y
0 0 0 𝑦3 ∙ 𝑥0 𝑦2 ∙ 𝑥0 𝑦1 ∙ 𝑥0 𝑦0 ∙ 𝑥0 M0
0 0 𝑦3 ∙ 𝑥1 𝑦2 ∙ 𝑥1 𝑦1 ∙ 𝑥1 𝑦0 ∙ 𝑥1 0 M1
0 𝑦3 ∙ 𝑥2 𝑦2 ∙ 𝑥2 𝑦1 ∙ 𝑥2 𝑦0 ∙ 𝑥2 0 0 M2
𝑦3 ∙ 𝑥3 𝑦2 ∙ 𝑥3 𝑦1 ∙ 𝑥3 𝑦0 ∙ 𝑥3 0 0 0 M3
CSA
M3
CSA
Addiernetz
Schulmethode:
= 𝑥 ⋅ 𝑦𝑖 ⋅ 2𝑖
𝑖=0
In der Praxis ist es sinnvoll, jeden Term der Form x yi 2i
zu addieren, sobald er generiert wurde:
Akkumulator
Rechts-
Addierer Y
shift
Multiplikator
X Linksshift
Multiplikand
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltung zur Multiplikation
t=0 00000000
Akkumulator
t=0 1001
Rechts-
Addierer Y
shift
Multiplikator
t=0 00001101
X Linksshift
Multiplikand
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltung zur Multiplikation
t=0 00000000
t=1 00001101
Akkumulator
t=0 1001
t=1 0100
Rechts-
Addierer Y
shift
Multiplikator
t=0 00001101
t=1 00011010
X Linksshift
Multiplikand
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltung zur Multiplikation
t=0 00000000
t=1 00001101
t=2 00001101 Akkumulator
t=0 1001
t=1 0100
Rechts- t=2 0010
Addierer Y
shift
Multiplikator
t=0 00001101
t=1 00011010
t=2 00110100 X Linksshift
Multiplikand
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltung zur Multiplikation
t=0 00000000
t=1 00001101
t=2 00001101 Akkumulator
t=3 00001101
t=0 1001
t=1 0100
Rechts- t=2 0010
Addierer Y t=3 0001
shift
Multiplikator
t=0 00001101
t=1 00011010
t=2 00110100 X Linksshift
t=3 01101000
Multiplikand
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schaltung zur Multiplikation
t=0 00000000
t=1 00001101
t=2 00001101 Akkumulator
t=3 00001101
t=4 01110101
t=0 1001
t=1 0100
Rechts- t=2 0010
Addierer Y t=3 0001
shift t=4 0000
Multiplikator
t=0 00001101
t=1 00011010
t=2 00110100 X Linksshift
t=3 01101000
t=4 11010000 Multiplikand
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 9.3
Addierwerke
► Parallel-Addierwerk
► Serien-Addierwerk
► von Neumann-Addierwerk
Addierwerk (Organisationsplan)
..... Akkumulator
Addiernetz
..... Puffer
Akkumulator
x3 x2 x1 x0
R3 R2 R1 R0
U3 U2 U1 U0
VA VA VA HA
y3 y2 y1 y0
Puffer
0 1 0 1
Akkumulator
VA VA VA HA
Puffer
0 0 1 1
0 0 1 1
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
22 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
4-Bit-Parallel-Addierwerk
(Ripple-Carry-Addierer mit D-Flipflops)
0101
+ 0011
0 1 0 1
Akkumulator
0 1 0 1
VA VA VA HA
0 0 1 1 Puffer
0 0 1 1
0 1 0 0
Akkumulator
0 1 0 1
1
VA VA VA HA
0 0 1 1 Puffer
0 0 1 1
0 1 0 0
Akkumulator
0 1 0 1
1 1
VA VA VA HA
0 0 1 1 Puffer
0 0 1 1
0 0 0 0
Akkumulator
0 1 0 1
1 1 1
VA VA VA HA
0 0 1 1 Puffer
0 0 1 1
1 0 0 0
Akkumulator
0 0 1 0 1
1 1 1
VA VA VA HA
0 0 1 1 Puffer
0 0 1 1
1 1 1
VA VA VA HA
0 0 1 1 Puffer
0 0 0 0
A3 A2 A1 A0
VA
U
P3 P2 P1 P0
0101
+ 0011
A3 A2 A1 A0
0 1 0 1
R
0 VA
U
0 0 1 1
P3 P2 P1 P0
0101
+ 0011
A3 A2 A1 A0
0 0 1 1 0 0 1 1
R
0 0 VA
U
0 0 0 0 1 1 1 1
P3 P2 P1 P0
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 0 0 0 1 1 0 0 1
+ 0001 R
1 0 VA
U
0 0 0 0 0 1 1 1
P3 P2 P1 P0
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 0 0 0 0 1 1 0 0
+ 0001 R
1 1 VA
U
0 0 0 0 0 0 1 1
P3 P2 P1 P0
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 0 0 0 0 0 1 1 0
+ 0001 R
0001
+ 0000 1 1 VA
U
+ 0001
0 0 0 0 0 0 0 1
P3 P2 P1 P0
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 0 0 0 0 0 0 1 1
+ 0001 R
0001
+ 0000 1 1 VA
U
+ 0001
0 0 0 0 0 0 0 0
P3 P2 P1 P0
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 0 0 0 0 0 0 0 1
+ 0001 R
0001
+ 0000 1 1 VA
U
+ 0001
0000
0 0 0 0 0 0 0 0
+ 0000
P3 P2 P1 P0
+ 0001
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 0 0 0 0 0 0 0 0
+ 0001 R
0001
+ 0000 1 1 VA
U
+ 0001
0000
0 0 0 0 0 0 0 0
+ 0000
P3 P2 P1 P0
+ 0001
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 1 0 0 0 0 0 0 0
+ 0001 R
0001
+ 0000 0 1 VA
U
+ 0001
0000
0 0 0 0 0 0 0 0
+ 0000
P3 P2 P1 P0
+ 0001
1000
0101
+ 0011
0010 A3 A2 A1 A0
+ 0001 1 1 0 0 0 0 0 0
+ 0001 R
0001
+ 0000 0 0 VA
U
+ 0001
0000
0 0 0 0 0 0 0 0
+ 0000
P3 P2 P1 P0
+ 0001
1000
HA HA HA HA
P3 P2 P1 P0
x
R
U y
HA
S
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
40 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
4-Bit-von Neumann-Addierwerk: Beispiel
1011 U A3 A2 A1 A0
+ 1101 1 0 1 1
HA HA HA HA
P3 P2 P1 P0
1 1 0 1
1
S
1011 U A3 A2 A1 A0
+ 1101 1 1 0 0 1 1 1 1
HA HA HA HA
P3 P2 P1 P0
1 1 1 1 0 0 1 1
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 0 1 1 0 1 1 0 1
1 0110
+ 0010
HA HA HA HA
P3 P2 P1 P0
0 1 0 1 1 0 0 1
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 0 0 1 1 1 1 0 0
1 0110
+ 0010
HA HA HA HA
P3 P2 P1 P0
0 0 0 0 1 1 0 0
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 0 0 1 1 0 1 0 0
1 0110
+ 0010
HA HA HA HA
1 0100
+ 0100 P3 P2 P1 P0
0 0 1 0 0 1 0 0
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 0 0 1 1 0 0 0 0
1 0110
+ 0010
HA HA HA HA
1 0100
+ 0100 P3 P2 P1 P0
0 0 1 1 0 0 0 0
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 0 0 0 1 0 0 0 0
1 0110
+ 0010
HA HA HA HA
1 0100
+ 0100 P3 P2 P1 P0
1 0 0 1 0 0 0 0
1 0000
+ 1000
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 0 0 0 0 0 0 0 0
1 0110
+ 0010
HA HA HA HA
1 0100
+ 0100 P3 P2 P1 P0
1 1 0 0 0 0 0 0
1 0000
+ 1000
1
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 1 0 0 0 0 0 0 0
1 0110
+ 0010
HA HA HA HA
1 0100
+ 0100 P3 P2 P1 P0
0 1 0 0 0 0 0 0
1 0000
+ 1000
1 1000
+ 0000
0
1 S
1011 U A3 A2 A1 A0
+ 1101 1 1 1 1 0 0 0 0 0 0
1 0110
+ 0010
HA HA HA HA
1 0100
+ 0100 P3 P2 P1 P0
0 0 0 0 0 0 0 0
1 0000
+ 1000
1 1000
+ 0000
0
1 1000 0 S
Input
„Zustand“
Next-State Speicher- Output- Output
Logik Elemente Logik
1. Zu jedem Zeitpunkt führt die CPU genau einen Befehl aus, und
dieser kann (höchstens) einen Datenwert bearbeiten.
(SISD-Prinzip) SISD = Single Instruction, Single Data
Datenbus
Speicher
Adressbus
Adressbus
Datenbus
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
6 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Fetch/Execute-Zyklus
Datenprozessor Befehlsprozessor
A Decodierer
Steuerwerk
¥25 ALU
MBR
3252
32kt
IR
MAR
Oxid
PC
Oxid 00011010
④ 3A
0010000000111010
C-
Adressbus →
sina.mn
Datenbus
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
9 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Struktur eines von Neumann-Rechners
Datenbus
Speicher
Adressbus
CPU
Register <1 ns
größere Zugriffszeit
Cache 2-5 ns
größeres Volumen
CPU
Graphics
package CPU core 1 CPU core 2 controller
L1-I L1-D L1-I L1-D
Unified Unified Main
Memory Disk
L2 cache L2 cache
(DRAM) controller
Processor
board Unified
L3 cache Keyboard
controller
Datenbus
Speicher
Adressbus
I/O-Controller
bloß
z.B Tastatur
. Steuersignale
Endgerät Puffer Ein/Ausgabe-
Daten Gruß
▪ interrupt-gesteuerter I/O
Terminal
Address
CPU DMA Memory
100
Count
32 … 100
RS232C
4 Controller
1 …
Device Direction
Bus
Datenbus
Speicher
Adressbus
▪ Parallele Übertragung
▪ Zur Verbindung sehr nahe beieinanderliegender Komponenten (z.B.
rechnerintern).
▪ Auf Platinen ergibt sich das Problem, eine möglichst effiziente
Leiterführung zu finden.
ISA
E/A-Geräte
South Bridge
Graphics
SCSI USB ISA IDE adaptor Available
bridge disk PCI slot
Mon-
Key- itor
Mouse
board ISA bus
Sound Available
Modem Printer
card
ISA slot
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
24 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel: Busse eines Pentium 4-Systems
PCI-Steckplätze
South Bridge
IDE-Steckplätze RAM-Speicherplätze
CPU-Steckplatz
PCIe-Steckplatz
RAM-Speicherplätze
▪ Akkumulator-Architektur
Prozessor
Speicher
Akku
Pc → ADD 9×01
ALU
.....
SPS :
Sprache Instruction
ADD # 17
u
"
ist
SP
Speicher
.....
ALU
.....
Prozessor
Rl 0×02
Adr . 0×01
ALU
.....
7¥
▪ Register-Register-Architektur "
Prozessor
Speicher
ALU
.....
► Befehlsphasen-Pipelining
► Superskalar-Architektur
► Harvard-Architektur
Optimierung
j
instruction data result
execute
fetch fetch write
instruction data result
execute
fetch fetch write
instruction data result
execute
fetch fetch write
a⑦
execute
integer
execute
instruction instruction memory write
fetch decode access back
execute
execute
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
36 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Mögliche Alternativen zum von Neumann-Rechner
§ von Neumann-Architektur
§ Instruktionen und Daten teilen sich denselben Speicherbereich
§ Unterscheidung nur aus dem Kontext möglich
§ Harvard-Architektur
§ Instruktionen und Daten sind physisch getrennt
§ Werden über getrennte Busse angesteuert
§ Haben unabhängige Adressräume
Adresse
CPU
Datenspeicher Daten
Register
Adresse
-
Befehlsspeicher Program Ctr
Befehle
Quelle: http://mmix.cs.hm.edu
Einführung in die Technische Informatik | WS 20/21
2 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Software/Dokumentation
▪ Assembler, Simulator und Handbuch:
http://mmix.cs.hm.edu/
$255
rA
lfrithmetüc
32 special purpose
…
rB
Register
rZZ
6h bits
1 Octa = 2 Tetras M8[4]
. = M8[0] My =
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 B
0416 0516 0616
hexadezimal
0×1 =
046
111 \
00002 01002
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
▪ M1[81] = 0x00
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
▪ M1[81] = 0x00
▪ M2[81] = 0xff00
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
▪ M1[81] = 0x00
▪ M2[81] = 0xff00
▪ M4[81] = 0xff008001
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
▪ M1[81] = 0x00
▪ M2[81] = 0xff00
▪ M4[81] = 0xff008001
▪ M8[81] = 0xff00800102030405
(▪ Floating-Point-Befehle)
▪ Load- / Store-Befehle
▪ Sprungbefehle
▪ Kontrollbefehle (für das Betriebssystem)
Allgemein:
▪ Alle Instruktionen sind 4 Bytes lang und im Speicher
auf durch 4 teilbare Adressen ausgerichtet (siehe M4).
Einführung in die Technische Informatik | WS 20/21
9 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Einheitliches Befehlsformat
Operation Code Ziel für das Operanden
Ergebnis
0 7 15 23 31
OPCODE X Y Z
▪ Beispiel:
▪ ADD $1,$3,$6 $1 := $3 + $6
0 7 15 23 31
32 10
1 3 6
001000002 00000001 00000011 00000110
← Konstante
▪ ADD $1,$3,6 $1 := $3 + 6
0 7 15 23 31
33 1 3 6
00100001
Einführung in die Technische Informatik | WS 20/21
10 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Arithmetik
▪ ADD, SUB, MUL, DIV, NEG a- unsigued
▪ ADDU, SUBU, MULU, … (vorzeichenlose Variante)
▪ rA für Ausnahmen, rH für MUL, DIV
ADD 414182 ADDU $18142
$1 : 1001 -
7 9
42 : e- 0011 ¥ ⇐
-
4 12
$1 :
1001 = -
Kz (001 ) = -
¥001) e-
e) = -
(110+1)=-1112
= -
710
▪ Da bspw. “+” im 2er-Komplement wie “normale” Addition funktioniert,
unterscheiden sich viele **U-Befehle nur im Setzen von Überlauf-Bits.
! !
42 100110
▪ AND, OR, XOR
- -
-
÷
. . .
* 000106) SC
-
▪ ANDN, ORN 11000104oz
01000145km
▪ SL, SR (bit shift left/right)
▪ MUX (multiplex) MUX 4×94 KZ
▪ X := (Y and rM) or (Z and !rM) $4 ⑥
1100101--47
001J
^ ^
▪ SADD (sideways add)
RMIDBOOBBOOBDOX
▪ „zählt gesetze Bits“
SIX 001111
NAND I
y
'
XANNDN > I + ^5
Einführung in die Technische Informatik | WS 20/21
12 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Vergleiche
Coenpere $4 0100 - - -
▪ $X := 0, falls $Y==$Z EP
▪ CMPU $X,$Y,$Z
CMPUSIX 111 er
- - -
-
▪ $X := 0, falls $Y==$Z
▪ $X := 1, falls $Y > $Z (ohne Vorzeichen)
▪ $X := -1, falls $Y < $Z (ohne Vorzeichen)
OOOOn-n.DK
1 1 lel -
- - -
1110
+ 1
111 - - -
1111
▪ ZS<condition> $X,$Y,$Z
▪ „zero or set“
▪ if condition ($Y) then $X := $Z else $X := 0 -
▪ Bedingungen:
▪ CSN (negative), CSNN (nonnegative)
▪ CSZ (zero), CSNZ (nonzero)
▪ CSP (positive), CSNP (nonpositive)
▪ CSOD (odd), CSEV (even)
▪ Ebenso für ZS<condition>
Basisadresse
Offset
Beispiele: { [
▪ LDB 0„load byte“ $X := M1[$Y+$Z]
$X,$Y,$Z
▪ LDWU $X,$Y,$Z „load wyde“ $X := M2[$Y+$Z]
▪ STT $X,$Y,$Z „store tetra“ M4[$Y+$Z] := $X
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
▪ Sei $Y = 80, $Z = 3
▪ LDBU $X,$Y,$Z
▪ LDWU $X,$Y,$Z
▪ LDTU $X,$Y,$Z
▪ LDOU $X,$Y,$Z
▪ $X := Mx[$Y+$Z]
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Laden aus Speicher (1/2)
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
§ Sei $Y = 80, $Z = 3
§ LDBU $X,$Y,$Z $X := M1[83] = 00 00 00 00 00 00 00 0116
§ LDWU $X,$Y,$Z
§ LDTU $X,$Y,$Z
§ LDOU $X,$Y,$Z
§ $X := Mx[$Y+$Z]
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Laden aus Speicher (1/2)
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
§ Sei $Y = 80, $Z = 3
§ LDBU $X,$Y,$Z $X := M1[83] = 00 00 00 00 00 00 00 0116
§ LDWU $X,$Y,$Z $X := M2[83] = 00 00 00 00 00 00 80 0116
§ LDTU $X,$Y,$Z
§ LDOU $X,$Y,$Z
§ $X := Mx[$Y+$Z]
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Laden aus Speicher (1/2)
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
§ Sei $Y = 80, $Z = 3
§ LDBU $X,$Y,$Z $X := M1[83] = 00 00 00 00 00 00 00 0116
§ LDWU $X,$Y,$Z $X := M2[83] = 00 00 00 00 00 00 80 0116
§ LDTU $X,$Y,$Z $X := M4[83] = 00 00 00 00 ff 00 80 0116
§ LDOU $X,$Y,$Z
§ $X := Mx[$Y+$Z]
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Laden aus Speicher (1/2): unsigned
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
§ Sei $Y = 80, $Z = 3
§ LDBU $X,$Y,$Z $X := M1[83] = 00 00 00 00 00 00 00 0116
§ LDWU $X,$Y,$Z $X := M2[83] = 00 00 00 00 00 00 80 0116
§ LDTU $X,$Y,$Z $X := M4[83] = 00 00 00 00 ff 00 80 0116
§ LDOU $X,$Y,$Z $X := M8[83] = ff 00 80 01 02 03 04 0516
§ $X := Mx[$Y+$Z]
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Laden aus Speicher (2/2): signed
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
§ Sei $Y = 80, $Z = 3
§ LDB $X,$Y,$Z $X := M1[83] = 00 00 00 00 00 00 00 0116
§ LDW $X,$Y,$Z $X := M2[83] = ff ff ff ff ff ff 80 0116
§ LDT $X,$Y,$Z $X := M4[83] = ff ff ff ff ff 00 80 0116
§ LDO $X,$Y,$Z $X := M8[83] = ff 00 80 01 02 03 04 0516
§ $X := Mx[$Y+$Z]
Einführung in die Technische Informatik | WS 20/21
16 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Schreiben in Speicher
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
▪ Sei $Y = 80, $Z = 3
▪ $X = (f0f1f2f3f4f5f6f7)16
▪ STB $X,$Y,$Z
▪ STW $X,$Y,$Z
▪ STT $X,$Y,$Z
▪ STO $X,$Y,$Z
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 01
f716 0216 0316 0416 0516 0616
▪ Sei $Y = 80, $Z = 3
▪ $X = (f0f1f2f3f4f5f6f7)16
▪ STB $X,$Y,$Z M1[83] = f716
▪ STW $X,$Y,$Z
▪ STT $X,$Y,$Z
▪ STO $X,$Y,$Z
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 80
f616 01
f716 0216 0316 0416 0516 0616
▪ Sei $Y = 80, $Z = 3
▪ $X = (f0f1f2f3f4f5f6f7)16
▪ STB $X,$Y,$Z M1[83] = f716
▪ STW $X,$Y,$Z M2[83] = f6f716
▪ STT $X,$Y,$Z
▪ STO $X,$Y,$Z
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 fff41616 00 16 80
f516 f616 01
f716 0216 0316 0416 0516 0616
▪ Sei $Y = 80, $Z = 3
▪ $X = (f0f1f2f3f4f5f6f7)16
▪ STB $X,$Y,$Z M1[83] = f716
▪ STW $X,$Y,$Z M2[83] = f6f716
▪ STT $X,$Y,$Z M4[83] = f4f5f6f716
▪ STO $X,$Y,$Z
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 fff4
f01616 00
f5 16 80
f116 f216 01
f6 f316 02
f7 f416 03 16 04
f516 f616 05
f716 0616
▪ Sei $Y = 80, $Z = 3
▪ $X = (f0f1f2f3f4f5f6f7)16
▪ STB $X,$Y,$Z M1[83] = f716
▪ STW $X,$Y,$Z M2[83] = f6f716
▪ STT $X,$Y,$Z M4[83] = f4f5f6f716
▪ STO $X,$Y,$Z M8[83] = f0f1f2f3f4f5f6f716
a 79 80 81 82 83 84 85 86 87 88
M1[a] 0016 ff16 0016 8016 0116 0216 0316 0416 0516 0616
p .,§
▪ MMIX ist big-endian
▪ LDT $X,$Y,$Z ($Y+$Z=84)
▪ $X := (02030405)16
▪ Exkurs: little-endian
▪ MOV r8, dword [84]
▪ r8 := (05040302)16
▪ Bedingungen:
▪ BN (negative), BNN (nonnegative)
▪ BZ (zero), BNZ (nonzero)
▪ BP (positive), BNP (nonpositive)
▪ BOD (odd), BEV (even)
Kommentar Kommentar
{
-
"
er
% Bilde den Mittelwert der natuerlichen Zahlen a,b,c
[
v. Er
a GREG 10 //Definiere globale Var. a, b, c
e- b GREG 21 //$254 := 10; $253 := 21; $252 := 30
• c GREG 30
-
www.//o%EE::::a::sEE:Enm
LOC #100 //Position des Programms im Speicher
p@
{ Main ✓
ADDU $0,a,b 11 40 $254 + D2 53 31=10+21
ADDU $0,$0,c
DIV $0,$0,3
Stop TRAP 0,Halt,0 //Ende des Programms
Instanz . Operanden
time
coole
% mmixal ex0.mms → Dient
[erzeugt Maschinenprogramm ex0.mmo]
0 so ^
! %
""
75333
% mmix –t1 ex0.mmo
1. 0000000000000100: 2200fefd (ADDU) $0=1[0] = #a + #15 = #1f "
1. 0000000000000104: 220000fc (ADDU) $0=1[0] = #1f + #1e = #3d
1. 0000000000000108: D
1d000003 (DIVI) $0=1[0] = 61 / 3 = 20, rR=1
1. 000000000000010c: 00000000 (TRAP) Halt(0)
4 instructions, 0 mems, 67 oops; 0 good guesses, 0 bad
(halted at location #000000000000010c) 3 13=16
-
- fl : Tracer 31--00111101
34*8×4+1
-
► Allgemeiner MUX/DeMUX-Aufbau
► Top-Down-Multiplexer-Entwurf
𝑥0
𝑦1 𝑦0 𝑧
𝑥1 0 0 𝑥0
Daten-
eingänge
𝑥O
MUX
-
𝑧 Xz
:<
1 Ausgang
0 1 𝑥1
g
2
1 0 𝑥 2
𝑥3 1 1 𝑥3
1 0
𝑦1 𝑦0
Steuereingänge
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
3 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Allgemeiner MUX-Aufbau
𝑥0
.
2d Daten- . d-MUX 𝑧=𝑥
.
𝑦𝑑−1 …𝑦0 2
eingänge
𝑥2𝑑 −1
...
𝑦𝑑−1 𝑦0
d Steuereingänge
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
4 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Realisierung eines 1-MUX
𝑥0 𝑥1 𝑥0
MUX 𝑧
𝑥1
𝑦0 0
𝑦0
°
1
0
Xo
𝑥0
𝑥1 𝑥3 𝑥2 𝑥1 𝑥0
MUX 𝑧
𝑥2
:
𝑥3 𝑦1
𝑦1 𝑦0
𝑦0
^ :
O Xz O O
𝑧
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
6 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Systematische Konstruktion des 2-MUX
¥7
←
TTT 𝑥0 𝑥1 𝑥2 𝑥3
440
0 0
𝑦0 1-MUX 1-MUX 𝑦0
Xo Xz
1
𝑦1 1-MUX
Xz
𝑧
𝑦0
d)
𝑦0 𝑦0
…
𝑦𝑑−1
d-MUX …
𝑦𝑑−1
d-MUX ∙∙∙ …
𝑦𝑑−1
d-MUX
Er ∙ ∙ ∙ "
N
¥
𝑦𝑑
SE d
… d-MUX
Zd 𝑦2𝑑−1
.
Betrachte: 𝑥2 𝑥1 𝑥0 𝑓
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 1
-
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0
0
: 0
µ 1
3-MUX 𝑓
#Et
O -
f 0 1 0 0
0 1 1 1
Fo 1 0 0 1
( l 1 0 1 1
Xz +1 1 1 0 1
1 1 1 0
0
𝑥2 𝑥1 𝑓
𝑥0 0 0 0
1
MUX 𝑓 0 1 𝑥0
1 0 1
𝑥0 1 1 𝑥0
𝑥2 𝑥1
𝑧0 =X
-
DeMUX
𝑥 𝑧1 =D
𝑥 DE
𝑦0
:
𝑦0
i
°
×
𝑧1 𝑧0
𝑧0
𝑧1
𝑥 DeMUX 𝑧2
𝑧3
𝑥
𝑦1 𝑦0 1
𝑦1
0^1
𝑦0
O X O O
𝑧3 𝑧O
2 𝑧1 𝑧0
oO
𝑧0
.
𝑥
DeMUX . 2𝑑 Ausgänge
1 Dateneingang
. 𝑧2𝑑 −1
...
𝑦𝑑−1 𝑦0
𝑑 Steuereingänge
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
15 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 12.2
Encoder/Decoder
► Encoder/Decoder-Aufbau
• 𝑦0 =D
𝑥0 𝑦1 Funktion: Umwandeln des binären
^ Decoder 𝑦2
𝑥1 Eingangswerts in einen Dezimalwert
𝑦3 =D
0
𝑥0
X. Xo d Eingänge
F 𝑥1
1
𝑦3 =D 𝑦2 =
1 𝑦1=D 𝑦0 =D
2d Ausgänge
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
17 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
4x2-Encoder
8 𝑥𝑥0 𝑦0 o
Funktion: Umwandeln des dezimalen
1 Encoder
^
𝑥2 𝑦1 ^ Eingangswerts in einen Binärwert
.
𝑥3
-
𝑥0
-2d Eingänge
0𝑥1 ! 𝑦0
𝑥2 d Ausgänge
: 𝑥3 : 𝑦1 =
.
|
𝑥3 𝑥2 𝑥1 𝑥0 𝑦1 𝑦0
0 0 0 1 0 0
0 0 1 0 0 1
0 1 0 0 1 0
1 0 0 0 1 1
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
18 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Realisierung Boolescher Funktionen
Beispiel: Mo m
5 Uno mit
0
𝑓 𝑥3, 𝑥2, 𝑥1, 𝑥0 = 𝑥3 𝑥2 𝑥1 𝑥0 + 𝑥3 𝑥2𝑥1 𝑥0 + 𝑥3𝑥2 𝑥1𝑥0 + 𝑥3𝑥2𝑥1𝑥0 DNF
ösiös BB BB
1. mittels MUX: siehe oben
¥
1-
𝑥3 𝑚1
𝑚2
𝑚3
𝑚4
𝑥2
° 𝑚5
𝑚6 :
𝑚7
4x16- 𝑓=
1×0
𝑚8 1 1
=
Decoder 𝑚9
𝑥1 =/
𝑚10 =D
=D
𝑚11
¥
𝑚12
𝑚13
° 𝑚14
𝑥0 𝑚15
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
20 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Realisierung Boolescher Funktionen
° 1
𝑥1 𝑥0
SEE D
D
wenn
Baustein
Quelle: static-resources.imageservice.cloud
► Bausteintypen
Ltte -
. 203
. .
. . m Outputs
n Inputs . .
. .
.
. ^
lll
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
29 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Ein Gitterpunkt
y u
Identer Addierer
x x
y
+ 0 y y 1
I x+y
x x
Multiplizierer Negat-Multiplizierer
x x
y -
2 y y -
3 y
x·y x·y
See
Identer x Addierer x
0: y 1: y
x+y
Negat-
Multiplizierer x x
Multiplizierer
y y
2: 3: so
x·y x·y
f-
Es soll
!: #$ → #& , definiert durch
! (, ), * ≔ ()* + ()*, (* + ()*)
u v
realisiert werden.
'
§ #Zeilen = #Variablen +
#Outputs 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 "
:
rechten Seite
&
§ k=4 Spalten
'
§ #Zeilen = #Variablen +
#Outputs 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 "
'
§ #Zeilen = #Variablen +
#Outputs 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 "
' 2 2
§ #Zeilen = #Variablen + Xyz
#Outputs 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 "
' 2 2 2
§ #Zeilen = #Variablen + XZ
#Outputs 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 "
' 2 2 2 3
§ #Zeilen = #Variablen + ×> I
#Outputs 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 "
' 2 2 2 3
§ #Zeilen = #Variablen + TZ Xzz XZ
#Outputs TZ txyz
=
TZ TZ
0 1 1
+xyz
0 0 !
§ #Spalten = #konjunktiv 5T xz
' 2 2 2 3
§ #Zeilen = #Variablen +
#Outputs 0 1 1 0 0 !
§ #Spalten = #konjunktiv
verknüpften Terme 0 0 0 1 1 "
0 1 1 0 0 ( = "# + !"#
0 0 0 1 1 + = !# + !"#
"#
$ !"# !# !"#̅
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
43 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Allgemeiner PLA-Aufbau
.. Typ 0 2,3
..
wer ,
n ..
.. Und-Ebene
Typ 0,1 ..
..
wer
Oder-Ebene m
7. !
!
% &
" #
$
Baustein-Typ % & O
$ O
#
⇐ DE
0 0 0 ! "
1 0 1 ! !+"
ßDB §
2 1 0 !+" "
3 1 1 !+" "
x
X
M
EY
x
E E. xD
'E
y
y
5 Und-Ebene
z
nur
Typ (Punkt entspricht
einer 2)
z On 2
I
.
u
Oder-Ebene wer 0 u . 1
(Punkt entspricht
einer 1)
v
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
46 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Faltung von PLAs
- !1, !2, !3, !4, !5 ≔ (!1!2!3 + !3!4!55,
PLA für eine Funktion -: /+ → /' :
-!1!53 + !53!4!5, . !53!4!5 + !51!54!55 + !52!54)
A B C D E F
!1 O
}
:
!#
!2
!%
!3
!' °
!4 :
!)
!5 0
!+
re)
!
¥ !
-
}
,1
° O ,2
o
,3 + E 0
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
47 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Überdeckungsmatrix
A B C D E F
XT
|
ABC DEF -
1 !1 1 1
1
477×1 2 !1 1
¥ !
|
* 3 !2 1
^
4 !2 1
5 !3 1 1
6
7
8
!3
!4
!4
1 1
1 1
| 1 1
!
9
10 ! 5
!5 1
1 1 1 ^
6
© G. Lakemeyer, W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
48 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Überdeckungsmatrix
A B C D E F A B C D E F
1 !1 1 1 1 !1 1 1 1 1 !4
2 !1 1 2 !1 1
3 !2 1 3 !2 1
4 !2 1 4 !2 1
5 !3 1 1 5 !3 1 1
6 !3 1 1 6 !3 1 1
7 !4 1 1 8 !4 1 1
8 !4 1 1 9 !5 1
9 !5 1 10 ! 5 1 1
10 ! 5 1 1
A B C D E F A B C D E F
1 !1 1 1 1 1 !4 1 !1 1 1 1 1 !4
2 !1 1 2 !1 1 1 !2
3 !2 1 3 !2 1
4 !2 1 5 !3 1 1
5 !3 1 1 6 !3 1 1
6 !3 1 1 8 !4 1 1
8 !4 1 1 9 !5 1
9 !5 1 10 ! 5 1 1
10 ! 5 1 1
A B C D E F A B C D E F
1 !1 1 1 1 1 !4 1 !1 1 1 1 1 !4
2 !1 1 1 !2 2 !1 1 1 !2
3 !2 1 3 !2 1 1 !5
5 !3 1 1 5 !3 1 1
6 !3 1 1 6 !3 1 1
8 !4 1 1 8 !4 1 1
9 !5 1 10 ! 5 1 1
10 ! 5 1 1
A B C D E F A B C D E F
1 !1 1 1 1 1 !4 1 !1 1 1 1 1 !4
2 !1 1 1 !2 2 !1 1 1 !2
3 !2 1 1 !5 3 !2 1 1 !5
5 !3 1 1 5 !3 1 1 1 1 !4
6 !3 1 1 6 !3 1 1
8 !4 1 1 10 ! 5 1 1
10 ! 5 1 1
A B C D E F A B C D E F
1 !1 1 1 1 1 !4 1 !1 1 1 1 1 1 !4
2 !1 1 1 !2 0
2 !1 1 1 1 0
!2
3 !2 1 1 !5 3 !2 1 11 !5
5 !3 1 1 1 1 !4 5 !3 1 1 1 1 1 !4
6 !3 1 1 6 !3 1 1 11 1 !5
10 ! 5 1 1
eo
Zeiten
5 statt
A B C D E F
!1 !4
!2 !5
!3 !5
!3 !4
!1 o!2
6 " en
|
A B C D E F
!1 !1
!2 !2
!3 !4
!5 !5
!3
!4
!0
!1
!2
!3
&0
&1
&2
&3
► CPLDs
► Prinzipielle FPGA-Struktur
► Spartan-FPGAs
CPLDs
§ Zentrale Verbindungsmatrix
§ Einfaches Routing
§ Einfaches,
deterministisches
Zeitverhalten
§ Tools müssen nur
Verbindungspunkte setzen
Lehh
Macro
MC 0 MC 0
LB Inputs
LB Inputs
MC 1 Logic Logic MC 1
-0
I/O . . I/O
.
Block Block .
Interconnect
. .
MC X MC X
LB Inputs
I/O
MC 1
.
Logic Logic MC 1
. I/O
.
.
Block Block .
.
MC X MC X
© W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
59 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
FPGAs
§ Kanalbasierte Verbindungen
§ Komplexes Routing
Es
§ Zeitverhalten erst nach
Design bekannt
§ Tools müssen komplexe
Synthesen durchführen
...
...
-
...
Basiszellen
...
I/O-Blöcke
...
© W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
61 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Prinzip der FPGA-Verbindungsstruktur
...
...
© W. Oberschelp, G. Vossen
Einführung in die Technische Informatik | WS 20/21
62 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Spartan-3 XC3S200FT256 FPGA
§ Taktfrequenz von bis zu 165MHz
§ Bis zu 173 benutzerdefinierte Ein-/Ausgabesignale
§ ° 1,2V Kern
§ Spannungsregelbare Ein-/Ausgabeoperation: 1,2 - 3,3V O
§ 4320 gleichwertige logische Zellen
§ Interne Multiplizierer und Multiplexer
§ Speicherung der Konfiguration in SRAM (flüchtig)
§ Kann auch in externem Flash-Speicher abgelegt werden-
§ I/O Block:
iq
Einführung in die Technische Informatik | WS 20/21
66 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
6V Jumper: M0&M2: offen, Power
M1: geschlossen supply for
external
LEDs
boards
connected Jumper:
to FPGA closed
Programming cable to
Einführung in die Technische Informatik | WS 20/21 Access to FPGA-Pins CAN board
Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
parallel port
Abschnitt 12.7
VHSIC Hardware Description Language
► Motivation
► Crashkurs
► Komplexes Beispiel
Hardwarebeschreibungssprachen
Software: Hardware:
Spezifikation Spezifikation
Merk Mensch
+
Werkzeuge + Der
kzengh
=
Synthese
Maschinencode „programmierter“ FPGA
Lang .
#
§ VHDL
§ an Ada angelehnt
§ seit 1987 standardisiert
§ Verilog
§ an C angelehnt
§ seit 1997 standardisiert
*ASIP = Application-Specific
Instruction Set Processor
§ Verschiedene Modelle
§ Mehrere Architectures pro Entity
§ Bindung durch Configurations
Configuration Component
Wait Anweisung
Wait Anweisung
Sensitivity List
Sensitivity List
Sensitivity List
Relevantes
Relevantes
Relevantes
Ereignis
Ereignis
Ereignis
Prozess A Prozess B Prozess C
wartet wartet wartet
Standard Logic
7 Boden c. Bits
^ Bit
Einführung in die Technische Informatik | WS 20/21
78 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
std_logic
§ Definiert in IEEE 1164
library ieee;
use ieee.std_logic_1164.all;
§ Technisches Signal
§0U noch nicht initialisiert
§ X treibend unbekannt
1-
§ 0 treibend logische 0 ± Bode an
§ 1 treibend logische 1
✓
§ Z hochohmig (für Busse mit Three-State)
§ W schwach unbekannt
§ L schwach logische 0
§ - ⑥
§ H schwach logische 1
egal (für Logiksynthese) don't are
Einführung in die Technische Informatik | WS 20/21
79 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Deklarationen
constant SIZE: integer := 5;
TINO
°
signal A: std_logic_vector(3 downto 0) := “0000“;
-- Initialisierung mito
:=
-- Zuweisung (s.u.) mit O
<= Variable : :=
-
Bsp : 110101101
101010110¥
.
unsiguecl
Ssh 111010110 * arithmetische
>
=
Signal
S4 #
010110101
technisch sinnlos
da €41 ,
11010in
rot
, vor entspannend
Einführung in die Technische Informatik | WS 20/21
81 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Anweisungen 1/3
V := expr; -- Variablenzuweisung
statements
end loop;
statements
end loop;
statements
end loop;
Y
-
entity DFlipFlop is
port (D, CLK : in std_logic; -- Eingangssignale
Q : out std_logic := '0'); -- Ausgangssignal
end entity DFlipFlop;
entity Debouncer is Q
port (PIN, CLK : in std_logic;
Q : out std_logic := '0');
end entity Debouncer;
÷
:
architecture Aufbau of Debouncer is
signal Q1, Q2, Q3, Q4 : std_logic := '0';
component DFlipFlop
port (D, CLK : in std_logic;
Q : out std_logic := '0');
end component;
begin B =D
YEA
-_ > <
return A = B O (
and B = C and C = D;
all
end function all_equal; equal Q
PIN DFF1 DFF2 DFF3 DFF4
CLK
begin
DFF1 : DFlipFlop port map (PIN, CLK, Q1);
PIN
DFF2 : DFlipFlop port map (Q1, CLK, Q2);
Q1
DFF3 : DFlipFlop port map (Q2, CLK, Q3);
Q2
DFF4 : DFlipFlop port map (Q3, CLK, Q4); Q3
Q <= Q1 when all_equal(Q1, Q2, Q3, Q4); Q4
end architecture Aufbau; Q
zustand 1
Strom
gegen
Rot
Gelb
Strom Strom
Rot Rot
Gelb Techniker quittiert Fehler Gelb
entity AlarmController is
port (ERROR, RECEIPT, RESET, CLK : in std_logic;
POWER, YELLOW, RED : out std_logic);
end entity;
÷
component DFlipFlop
port (
D, CLK : in std_logic;
Q : out std_logic := '0');
end component;
component Debouncer
port (
PIN, CLK : in std_logic;
Q : out std_logic := '0');
end component;
Licht Mittel-
wert
Internal bus
I/O
Non-volatile
Volatile
Processor memory Counter,
memory Clock Watchdog
core (EEPROM, Timer
(SRAM)
Flash)
Internal bus
D/A
Digital I/O Serial Interrupt A/D Bus
converters,
(parallel) interface controller converters controller
PWM output
… External
bus
Non-volatile
Volatile
Processor memory Counter,
memory Clock Watchdog
core (EEPROM, Timer
(SRAM)
Flash)
Internal bus
D/A
Digital I/O Serial Interrupt A/D Bus
converters,
(parallel) interface controller converters controller
PWM output
… External
bus
Non-volatile
Volatile
Processor memory Counter,
memory Clock Watchdog
core (EEPROM, Timer
(SRAM)
Flash)
Internal bus
D/A
Digital I/O Serial Interrupt A/D Bus
converters,
(parallel) interface controller converters controller
PWM output
… External
bus
Non-volatile
Volatile
Processor memory Counter,
memory Clock Watchdog
core (EEPROM, Timer
(SRAM)
Flash)
Internal bus
D/A
Digital I/O Serial Interrupt A/D Bus
converters,
(parallel) interface controller converters controller
PWM output
… External
bus
Digital I/O und On-Chip Peripherie werden durch zweckgebundene Register (I/O-Register) angesteuert.
Non-volatile
Volatile
Processor memory Counter,
memory Clock Watchdog
core (EEPROM, Timer
(SRAM)
Flash)
Internal bus
D/A
Digital I/O Serial Interrupt A/D Bus
converters,
(parallel) interface controller converters controller
PWM output
… External
bus
-
▪ Achtung: manche Chips erlauben Schreibzugriffe, aber mit unintuitiver
Semantik!
NE da so
VCC Üblich: Verschaltung
gegen VCC
← ? Ein
Strom
-
Z
OV LED
OV GND
VCC
PORT = 0 to
DDR = 1
begrenzt
§
t LED ist an!
5 U VCC
PIN
ou
Ausgangs pin
→
-
aww ) 5N
VCC Üblich: Verschaltung
gegen VCC
F-0
que
.
⑤
Z LED
VCC
.
DDR = 1
t.SU
PORT = 1
GND
LED ist aus!
0 VCC
PIN
1
D-
▪ Der max. fließende Strom ist von dem externen
Stromkreis abhängig (kann kurzgeschlossen sein).
Externe Strombegrenzung ist notwendig.
Üblich: Verschaltung
gegen GND
Z
DDR = 0
VCC
PORT = 0 S1 GND DU
GND
[
VCC PIN ist undefiniert, wenn
S1 offen ist!
PIN
Eingangstür
Üblich: Verschaltung
gegen GND
Z EO
g- j DDR = 0 5J
VCC
PORT = 1 GND
^ S1
|
GND
VCC PIN ist jetzt definiert,
wenn S1 offen ist!
PIN 5J
up
-
8M Pull-up Widerstand
stand
a
-
Üblich: Verschaltung
gegen GND
Z
-55 DDR = 0 DU
5W VCC Ov Ov
: → PORT = 1 S1 GND
GND
VCC An PIN liegen 0 Volt an
:
PIN
▪ Sampling (engl. für Abtastung) innerhalb eines Clock-Zyklus erzeugt eine Verzögerung
von ~ 1 Clock-Zyklus im Worst-Case.
▪ Impulse, die kürzer als ein Clock-Zyklus sind, bleiben eventuell unentdeckt.
Clock
Signal
•-0
Verpasster
Worst-Case Impuls
Verzögerung
PIN Register 1 Zyklus
Ein Zyklus
Einführung in die Technische Informatik | WS 20/21
21 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Digitaler Eingang
▪ Problem: Signale haben nicht immer ein genau definiertes Niveau.
VCC + 0.5 V
vinput
IEEE
ii. .li#EETsE.-
•
Störung Prellen
Taste Taste
gedrückt losgelassen
Signalspitze
Störung Prellen
Taste Taste
gedrückt losgelassen
Signalspitze
[ [|
er
^
Ill ( l ,
▪ Lösungen:
Interrupt
▪ Üblicherweise gibt es Ende
▪ eine globale Aktivierungsmöglichkeit für alle Interrupts (Global IE) und
▪ eine individuelle Aktivierungsmöglichkeit.
1 Reset $0000
2 External Interrupt 0 $0004
… … …
vectors
Adr : Heap
=
.
=
ISR
Program
SRAM
memory
Main Program
PC
loop Counter
Stack
SP
Pointer Stack
Heap
ISR
Main
PC
loop
PC
SP
Stack
PC
ISR
vectors
Heap
ISR
Eigentlich „gleichzeitig“ mit
Program vorherigem Schritt
SRAM
memory I-Bit löschen
Flag-Bit löschen (meistens)
Main
loop
PC
SP
Stack
PC
vectors
Heap
ISR
Der PC springt zur ISR,
Program
ihre Adresse ist in der SRAM
memory
ISR-Vektor Tabelle zu finden
Main
loop
PC
SP
Stack
Heap
PC
ISR
Compilergenerierter Code
Program
u.U. hardwaregestützt SRAM
memory
sichert wichtige Register
Main Context
SP
loop
PC
Stack
Heap
ISR
RETI
ISR wird wie jedes
Program
PC
Unterprogramm SRAM
memory
abgearbeitet
Main
0
Context
SP
loop
PC
Stack
Heap
ISR
Program Register
PC
SRAM
memory wiederherstellen
Main
loop
PC
SP
Stack
Heap
ISR
PC wiederherstellen
Program
I-Bit setzen (verzögert) SRAM
memory
Flag-Bit NICHT setzen
Main
PC
loop
SP
-
Stack
▪ Timer
▪ zählt Clock-Zyklen (mit oder ohne Prescaler).
▪ Jeder Timer ist ein Counter.
▪ Die meisten Mikrocontroller haben einen oder mehrere
Timer/Counter mit 8 und/oder 16 Bit Auflösung.
oft
TCNTn (Counter Register)
OCn (Compare IR)
(Int.Req.)
Compare Match
Clock Select
Output Mode
Einführung in die Technische Informatik | WS 20/21
42 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Timer/Counter
▪ (Timer-Interrupt) Regelmäßige
Unterprogrammausführung
FÄLLE
Einführung in die Technische Informatik | WS 20/21
45 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
iii.
Watchdog Timer (WD) •
▪ Spezieller Timer
▪ Deadlock detection
Stefan -
Schaltung
▪ Achtung: falsche Annahmen über Ausführungszeiten
können ungewünschte Resets herbeiführen!
Einführung in die Technische Informatik | WS 20/21
46 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Weitere Peripherie
▪ Kommunikationsschnittstellen
▪ Analogmessung und –wandlung
▪ Externer Speicher
▪ Werden über Spezialregister gesteuert
▪ Kontrollregister
▪ Statusregister
▪ Datenregister
▪ Keine eigenen Befehle, sondern Nebenwirkungen
▪ Genaue Semantik stark hardwareabhängig
► Darlington-Schaltung
► Differenzverstärker
► Operationsverstärker
Darlington-Schaltung
▪ Besteht aus zwei Transistoren, die hintereinander
geschaltet sind.
B T1
T2
R
E
C
𝑖𝐵1
B T1
𝑖𝐸1 = 100 ∙ 𝑖𝐵1 ≈ 𝑖𝐵2
T2
𝑖𝐸2 = 100 ∙ 𝑖𝐵2 = 10000 ∙ 𝑖𝐵1
R
E
(Für 𝐵𝑛 = 100)
T1
B T2
Finger C
T1
B T2
+U
R1 R2
+A
-A
+E
-E
-U
+U
UE = 0 V
R1 R2
+A
-A
+E
&
UE
-E
✓ i fest
.
Stromquelle
-U
+U
UE = 0 V
iB1 = iB2 R1 R2
+A
-A
JU
+E
UE
÷
-E
-U
+U
UE = 0 V
iB1 = iB2 R1 R2
iC1 = iC2
+A
-A
+E
UE
-E
-U
+U
UE = 0 V
iB1 = iB2 R1 R2
iC1 = iC2
+A
UR1 = UR2 -A
+E
UE
-E
-U
+U
UE = 0 V
iB1 = iB2 Che R1 R2 Uz
iC1 = iC2
+A
UA DU
•
UR1 = UR2 • -A
UA = 0 V +E
du UE
-E
-U
+U
UE = 0 V UE > 0 V
iB1 = iB2 R1 R2
iC1 = iC2
+A
UR1 = UR2 -A
UA = 0 V +E
UE
-E
-U
+U
UE = 0 V UE > 0 V
iB1 = iB2 iB1 > iB2 R1 R2
iC1 = iC2
+A
UR1 = UR2 -A
UA = 0 V +E
UE
-E
-U
+U
UE = 0 V UE > 0 V
iB1 = iB2 iB1 > iB2 R1 R2
iC1 = iC2 iC1 >> iC2
+A
UR1 = UR2 -A
UA = 0 V +E
UE
-E
-U
+U
UE = 0 V UE > 0 V
iB1 = iB2 iB1 > iB2 R1 R2
iC1 = iC2 iC1 >> iC2
+A
UR1 = UR2 UR1 >> UR2 -A
UA = 0 V +E
UE
-E
-U
+U
UE = 0 V UE > 0 V
iB1 = iB2 iB1 > iB2 R1 R2
iC1 = iC2 iC1 >> iC2
+A
UR1 = UR2 UR1 >> UR2 -A UA
UA = 0 V UA << 0 V +E
UE
-E
-U
+10V
Thermo- Differenz-
V
element Verstärker
¥
U
A1
E1
E2
Differenz-
verstärker A2 " A
-U
-U in
Einführung in die Technische Informatik | WS 20/21
7 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Aufbau eines modernen Operationsverstärkers
Quelle: http://commons.wikimedia.org/wiki/File:OpAmpTransistorLevel_Colored_DE.svg
Einführung in die Technische Informatik | WS 20/21
8 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Operationsverstärker
Ust
𝑈𝐶𝐶 =5U
Äßen
V
b-
𝑈𝐸+ +
-
It 𝑈𝑎 I =
su
𝑈𝐸− -
𝑈𝑒𝑒 =
Us -
= -
Ucc = -
5W
► Komparator
► Verstärker
► Schmitt-Trigger
► Addierer/Subtrahierer
► Integrierer/Differenzierer
Außenbeschaltung eines Operationsverstärkers
▪ Nutzung eines Rückkopplungsnetzwerkes (besteht aus
verschiedenen Bauteilen)
+
+ ‒
‒
i
"
gibt an
Uref
↳
Ua
Ue
:
←
𝑈𝑒 > 𝑈𝑟𝑒𝑓 ⇒ 𝑈𝑎 = 𝑈𝑒𝑒
in ie
RB ia
-
NEUE, # UE hegt
ia (
ie RA ie " ←
"
Ua RB.iq
UERa.ie Ros >
Und
Ue Ua
E- Ei
Ü
a- -
𝑅𝐵
𝑈𝑎 = − ⋅ 𝑈𝑒
𝑅𝐴
= -
RoyRA
Einführung in die Technische Informatik | WS 20/21
14 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Außenbeschaltung eines Operationsverstärkers
- nichtinvertierender Verstärker -
Ue =\ Ua >
Umtun
Eo
ieihtiq.kz
=
+ Riie ,
>
Ud :D E- N
Wizz
Ue Und
R2
Eo
> ✓
im
Ua
und R1
vier
Ue >
Rica Kustugl .
:
ier-iez-iar-E-E.EE
Ua >
( Eth) in -
𝑅2
𝑈𝑎 = 1 + ⋅ 𝑈𝑒 -
𝑅1
,
Einführung in die Technische Informatik | WS 20/21
15 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Außenbeschaltung eines Operationsverstärkers
- Spezialfall: Impedanzwandler -
1ha
'
Ue nee
0
U a
𝑈𝑎 = 𝑈𝑒
Ue RA Ua
RB
𝑅𝐴 𝑅𝐴
𝑈𝑆− = 𝑈 𝑈𝑆+ = 𝑈
𝑅𝐴 + 𝑅𝐵 𝑒𝑒 𝑅𝐴 + 𝑅𝐵 𝐶𝐶
𝑈𝐶𝐶
𝑈𝑆+
Spannung [V]
𝑈𝑒
0
𝑈𝑆− 𝑈𝑎
𝑈𝑒𝑒
Zeit [s]
UU
a a
Usat+
CC
→
US- Us+
Ue
U
Usat-
ee
Ue RA Ua
RB
008
𝑅𝐴 𝑅𝐴
𝑈𝑆− = 𝑈 𝑈𝑆+ = 𝑈
𝑅𝐴 + 𝑅𝐵 𝑒𝑒 𝑅𝐴 + 𝑅𝐵 𝐶𝐶
http://www.analogmuseum.org/english/impressions/frisch_02.jpg
http://www.analogmuseum.org/english/impressions/eai_231_late.jpg
in
Rn •
Rk
• \
•
÷
via
RB ¥0
? es
RA '
1
UEn
e UE2
e UE1
e
Ua
R2
R1
Ue- Ue+ R3 Ua
R4
𝑅1 + 𝑅2 𝑅4 𝑅2
𝑈𝑎 = 𝑈 − 𝑈
𝑅3 + 𝑅4 𝑅1 𝑒+ 𝑅1 𝑒−
"
C fiaedz
=
9
ne >
ie.BG Ua > Ucla )
ia
RA
O
"
Ließe!
ie ←
> ¥ ne = -
4¥
Ue Ua
UE-ia.kz
𝑡
ia = - E 𝑈𝑎 𝑡 = −
1
⋅ න 𝑈𝑒 𝜏 𝑑𝜏
RA 𝑅𝐴 ⋅ 𝐶
0
ie-c.dk#ia=-ie
C ÷
> .
RA
Ue
Ua
Ua =
Raiia = -
Rot ie -
𝑑𝑈𝑒 (𝑡)
𝑈𝑎 𝑡 = −𝑅𝐴 ⋅ 𝐶 ⋅
𝑑𝑡
÷:
10
8
Ue Ua
an:*
6
𝑉𝐶𝐶 = 4
Spannung [V]
2
0
-2
−𝑉𝐶𝐶 = -4
-6
-8
-10
Zeit [s]
► Digital-Analog Umwandlung
► Widerstandsnetze
► Pulsweitenmodulation
Digital-Analog Umwandlung
▪ Binäre Werte werden in
einen kontinuierlichen
Wertebereich abgebildet.
Digital Analog
Bsp.: 2 bis OU -
Gv
(00) 2
0V
(01) 2 3V
(10) 2 6V
9V
(11) 2
6V
Bsp.: 3V
0V
(00) 2
¥
(00)2 (01)2 (10)2 (11)2 Code
0V
(01) 2 3V
(10) 2 6V
9V
(11) 2
nicht
sinnvoll
Bsp.: 3V
0V
:
(00) 2 (00)2 (01)2 (10)2 (11)2 Code
0V
(01) 2 3V U Konvention
00
(10) 2 6V 9V
(11) 2 9V
Eq
6V
3V
0 V 1- d
(00)2 (01)2 (10)2 (11)2 Code
Einführung in die Technische Informatik | WS 20/21
3 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Realisierung eines D/A-Wandlers
▪ Drei verschiedene Verfahren:
2. Parallel-Verfahren (Widerstandsnetz)
3. Pulsweitenmodulation (PWM)
I1
O
U1
R1
F
I2
O
U2
R2 Gegeben: R1 , R2 , Uges
÷
Uges
𝑈𝑔𝑒𝑠 𝑈𝑔𝑒𝑠
▪ Ohmsches Gesetz: 𝐼𝑔𝑒𝑠 = =
𝑅𝑔𝑒𝑠 𝑅1 + 𝑅2
▪ Reihenschaltung: 𝑈𝑖 = 𝐼𝑔𝑒𝑠 ⋅ 𝑅𝑖
𝑅𝑖
⇒ 𝑈𝑖 = ⋅ 𝑈𝑔𝑒𝑠
𝑅𝑔𝑒𝑠
+VCC
①
9V
6V
2k -
2k 213 3V ^ MUX Vout
0V °
1k 43
9)
+VCC x0 x0 x1 x1
g)
R -
GV -
R Vout
3. U
R
-
Ov
Vout
}
die 2 3
1 1
: ^^
x1 ∧ x0
-
: :
- -
-
Ein
.
".
x1 ∧ x0
x1 ∧ x0
.
x3 ∧ x2
1 1
• x3 ∧ x2 x3 ∧ x2 x3 ∧ x2 -
+
Vout FEE
- Impedanzwandler
Pufferkondensator =
} .
Ua
X3 +2 ,
be ,
b)
R/2r-1
br-1
'
V0 at
▪ Jeder erhöht die Spannung des
analogen Ausgangs.
+
▪ Die Steigerung der Spannung ist Von
Icf= R/2
abhängig von der Stellung des
Bits. 1 = b1
|
R
▪ Problem: Die Genauigkeit der D= b0 ,
verwendeten Widerstände Fou
1
𝑉0 = 𝑉𝑟𝑒𝑓 ⋅ 𝑖0
𝑏𝑟−𝑖
𝑟
R
{ Vor
2
𝑖=1
Einführung in die Technische Informatik | WS 20/21
10 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Binär gewichtetes Widerstandsnetz
µ
z
-
1 S3 1 be 1 be 1 bo ^
max
2 4 8 16 R/2
:
1 1 b1
= 𝑉𝑟𝑒𝑓 · +
2 16
R
= 𝑉𝑟𝑒𝑓 · °
9
b0
16
b =
11112=1%0 R ✓
𝑟
1
E-
max
Uri IE 𝑉0 = 𝑉𝑟𝑒𝑓 ⋅ 𝑖 𝑏𝑟−𝑖
2
𝑖=1
Einführung in die Technische Informatik | WS 20/21
11 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Binär gewichtetes Widerstandsnetz
𝑅𝑖
𝑉𝑖 = ⋅𝑉
Berechnung mittels Spannungsteiler: 𝑅𝑔𝑒𝑠 𝑔𝑒𝑠
1 𝑅
→
R/2r-1 𝑅0 = =
4 2 1 7
ST -
b -0
V + +
𝑅 𝑅 𝑅
/
° r-1 0
} SV
zu Vref
𝑅 1
b. ¥5
Hiro
𝑅𝑔𝑒𝑠 =
+
R/2 𝑏 = (1001)2 7 8+1
R/8 R
b1 𝑅 𝑅
E
V0 𝑅 𝑅 16 ∙ 𝑅
R = + =
← 7 9 7∙9
÷
b 0
R/4 R/2 R
𝑅0 𝑅∙7∙9
R =
𝑅𝑔𝑒𝑠 7 ∙ 16 ∙ 𝑅
= = 9 9
= ⇒ 𝑉0 = ∙𝑉
16 16 𝑟𝑒𝑓
1 𝑅
→
R/2r-1 𝑅0 = =
4 2 1 7
50 br-1
- -0
V + +
𝑅 𝑅 𝑅
k¥3)
0
3 5W
Vref
𝑅 1
𝑅𝑔𝑒𝑠 =
+
𝑏 = (1001)2
↳ 7 8+1
=
R/2 R/8 R ref Vo
-
b1 𝑅 𝑅
E vis via
V0 𝑅 𝑅 16 ∙ 𝑅
R
" " " = + =
7 9 7∙9
µ
b0
R/4 R/2 R
. 𝑅0 𝑅∙7∙9
R =
𝑅𝑔𝑒𝑠 7 ∙ 16 ∙ 𝑅
4-
istio iztitiu = = 9 9
Knoten
regeln : =
= ⇒ 𝑉0 = ∙𝑉
16 16 𝑟𝑒𝑓
ansah :
Füttert
Einführung in die Technische Informatik | WS 20/21
=
Int # NE
12 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
ung l %
-
E) =
uol.fi ¥ E- Es E)
und Er E) =
uo ( E- Er E- E E ) t
✓
ref
i
I =
Vo
.
¥
v. =
! - V
ref
vgl ! E) uol.fi#i-EtEjE )
-
=
ist Er E)=µE¥EE/
Kyi I vo.IT
-
]
=
v. =
! Vref
-
Er 1)
. ?
:c : . + 2 t
÷
ung l %
-
E) =
uol.fi ¥ E- Es E)
ÄHNELE/
vo.it/
)
=
v. =
! vet
-
EE =
El )
EI Ö
r
=
z
b
;
'
r
.
vgl ! E) uol.fi # E- E- E)
- +
=
ÄHNELTE
vo.ee/
!
-
v. =
! Vat
-
EE r
Fi
=
Elz -
:c : it )
- =
zr
22 .
bei
E
F- 1
Vref II Ii bei ¥
Vry ¥ bei
'
Vo = . - = .
,
Parallel-Verfahren : R2R – Netzwerk (R-2R resistor ladder)
▪ Nur zwei Arten von 2R
Widerständen br-1 V0
DIE
-
R
▪ Kann sogar nur mit einer Art
von Widerständen realisiert
werden
R
▪ Einfacher als die 2R
vorhergehende Lösung b1
2R R
▪ Viele Widerstände notwendig b0
▪ Kirchhoff-Regeln 2R
𝑈𝑒𝑖𝑛
*
E- 𝑈
-
𝑚
𝑈𝑎𝑢𝑠
𝑡𝑎𝑢𝑠
°
𝑡𝑒𝑖𝑛
𝑈𝑚 = 𝑈𝑎𝑢𝑠 + 𝑈𝑒𝑖𝑛 − 𝑈𝑎𝑢𝑠 ⋅ Periode
𝑡𝑒𝑖𝑛 + 𝑡𝑎𝑢𝑠
Einführung in die Technische Informatik | WS 20/21
14 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiel für PWM
𝑈𝑒𝑖𝑛 = 12 V
𝑈𝑎𝑢𝑠 = 0 V
𝑡𝑒𝑖𝑛 = 4 ms
𝑡𝑎𝑢𝑠 = 2 ms
𝑡𝑒𝑖𝑛
𝑈𝑚 = 𝑈𝑎𝑢𝑠 + 𝑈𝑒𝑖𝑛 − 𝑈𝑎𝑢𝑠 ⋅
𝑡𝑒𝑖𝑛 + 𝑡𝑎𝑢𝑠
4ms
𝑈𝑚 = 0V + 12V − 0V ⋅
4ms + 2ms
𝑈𝑚 = 8V
Einführung in die Technische Informatik | WS 20/21
15 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Zählverfahren
MCU
PWM R
V0
C
µ .
Vmax
Volt)
t
PWM
▪ 1-PIN
▪ Benutzt PWM
▪ Qualität gering
► Analog-Digital Umwandlung
► Flash-Wandler
► Tracking-Wandler
► Sukzessive Approximation
► Einrampenverfahren
Analog-Digital Umwandlung
¥
"
I
Bsp.: 0 V bis 4 V mit 3 Bit abbilden
[0V;…;0,5V] 000 000
f- I I I ( l l I K V
001 OV
(0,5V;…;1V] EU
000 001
0,1V 0,0V 0,5462V
0,2432345V …
… 0,73V
110 ▪ 2r Klassen
101
110 ▪ 2r Klassen
101
T
011 Spannungsdifferenz Vref/2r
010
110 ▪ 2r Klassen
101
①
001 1.5 LSB
▪ Quantisierungsfehler ist
0 ° DU
jp
°
P °
abhängig von
too
000 , , , I
V /8 ref
V Positionierung der Klassen
+1 LSB ref
+0,5 LSB
0 LSB
-0,5 LSB
-1 LSB
\V •
•
in
00
①
𝑓𝐴 > 2𝑓
Praxis tot > eozo S
01
00
110 6 LSB
▪ Fokus auf die y-Achse
▪ Reduziert Genauigkeit
101 5 LSB
▪ z.B. verringert Vref
100 4 LSB
▪ oder erhöht r
011 3 LSB
2 LSB
▪ Fokus auf die x-Achse:
010
conversion time
001 1 LSB
000 t
110 6 LSB
▪ Fokus auf die y-Achse
▪ Reduziert Genauigkeit
101 5 LSB
▪ z.B. verringert Vref
100 4 LSB
▪ oder erhöht r
011 3 LSB
2 LSB
▪ Fokus auf die x-Achse:
010
conversion time
001 1 LSB
000 t
ggf. Auflösung
unzureichend
110
-
6 LSB
▪ Fokus auf die y-Achse
▪ Reduziert Genauigkeit
101 = 5 LSB
▪ z.B. verringert Vref
II.
100 4 LSB
▪ oder erhöht r
÷
011 3 LSB
.
2 LSB
▪ Fokus auf die x-Achse:
010
conversion time
Turf
, 1
F
001 1 LSB
-
Veto
000 t ~
, >> Abtast -
Zeit
1. Flash-Wandler
2. Tracking-Wandler
3. Sukzessive Approximation
4. Einrampenverfahren
Vin
zu
"
EI , aus ) a
0
/
⇐Vref, 2
encoder
2. OU b0
1
1,5N Vref, 1
0
1
▪ Folglich: teuer
3? 1 → e- 1
n
Vin ^
01
N
3. # Up/Down Counter
# ON
Zähler : 0000
0001
0010
3,1W Vref . l
:
3,5W 1 100
U
0,16 1011 r
#
c) ↳ eoo ?
→ br-1
0 DAC 4 0000
(Digital-Analog 004
Converter) → ←↳ → b0
1101011
Einführung in die Technische Informatik | WS 20/21
26 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Beispiele für Tracking-Wandler Probleme
t t
▪ Nachteile:
▪ Tracking benötigt im Worst Case 2r Schritte
▪ Am Anfang ungenau
▪ langsam
siezt
1000
Vref 5J 1100
SIE 18 I
375T
-
br-1
2,5T
DAC
(Digital-Analog
Converter)
b0
000
K=1 K=0
100
Ui . Uoef
V Komparator
feuert
𝑉𝑟𝑒𝑓
Δ𝑡 𝑉𝑖𝑛
= 𝑉𝑖𝑛
𝑇 𝑉𝑟𝑒𝑓
∆t
t
Einführung in die Technische Informatik | WS 20/21
32 Prof. Dr.-Ing. Stefan Kowalewski | Maximilian Kloock, M.Sc. | Robin Mroß, M.Sc.
Abschnitt 15.3
Anwendungen
► Abtast-Halte-Schaltung
► Digital/Analog-Wandlung: Motorsteuerung
► Analog/Digital-Wandlung: Audioaufnahme
Abtast-Halte-Schaltung (engl. Sample and Hold)
▪ Problem: Spannung verändert sich während der
Messung (engl. fluctuate)
▪ Lösung: Füge eine “Falle” (engl. Trap) für den Strom
hinzu
▪ Kondensator wird geladen und abgetrennt
Buffer
R
Vin
Vin ez Vii
(a)
-
ÄÄ÷
via Ein
C
2. Nutze PWM
▪ Nachteil: Bei sehr geringen Frequenzen kann der Motor ruckeln. ->
Wichtig: eine hohe Frequenz wählen
D C
A