Sie sind auf Seite 1von 97

Hochschule für angewandte Wissenschaften Würzburg-Schweinfurt

Fakultät: Elektrotechnik

Diplomarbeit, 2.Auflage

Entwicklung eines
Umrichter-Prüfsystems
von
Martin Stollberger
Matrikelnummer: 4111167
Studiengang: Elektro- und Informationstechnik

Schneider Electric Automation FH Würzburg Schweinfurt


GmbH
Marktheidenfeld Abt. Schweinfurt
Abt. R&D Labor für Regelungstechnik

Betreuer: Betreuer:
Dr.-Ing. Bernhard Müller Prof. Dr.-Ing Abid Ali
Diplomarbeit

Entwicklung eines
Umrichter-Prüfsystems
V2: Januar 2016
verbesserte Version
Danksagung
Zunächst möchte ich mich an dieser Stelle bei all denjenigen bedanken, die mich während
der Anfertigung dieser Diplomarbeit unterstützt und motiviert haben.

Mein großer Dank gilt vor allem den Mitarbeitern des Mechatronik Labors der FHWS,
den Mitgliedern der regelungstechnischen Gruppe, sowie Herrn Prof. Ali und Herrn Prof.
Ackva, für die sehr angenehme Arbeitsatmosphäre, sowie die fachliche, technische und mo-
ralische Unterstützung. Vielen Dank für die Zeit und Mühen, die sie in die Unterstützung
meiner Arbeit investiert haben und für die Möglichkeit bei ihnen zu forschen und zu ar-
beiten.

Besonders danken möchte ich meinem Betreuer Dr. Bernhard Müller für seine ausgiebige
Unterstützung. Durch stetiges Hinterfragen, viele interessante Diskussionen und konstruk-
tive Kritik hat er wesentlich dazu beigetragen, dieser Arbeit den letzten Schliff zu geben.
Vielen Dank für die hilfreichen Anregungen und die investierte Zeit für das Korrekturlesen.

Abschließend möchte ich mich bei meinen Eltern Isa Stollberger-Pöschl und Peter Pöschl
bedanken, die mich bei all meinen Vorhaben unterstützen und mir den nötigen familiären
Rückhalt geben.
Ehrenwörtliche Erklärung:
Hiermit erkläre ich, dass ich die vorliegende Diplomarbeit selbständig verfasst und noch
nicht anderweitig für Prüfungszwecke vorgelegt habe. Ich habe keine anderen als die an-
gegebenen Quellen und Hilfsmittel benutzt und habe wörtliche oder sinngemäße Zitate
als solche gekennzeichnet.

Schweinfurt, November 2015

Martin Stollberger

Sperrvermerk: Die vorliegende Diplomarbeit beinhaltet interne vertrauliche Informationen


der Schneider Electric Automation GmbH. Die Weitergabe des Inhaltes der Arbeit im Gesamten
oder in Teilen ist grundsätzlich untersagt. Es dürfen keinerlei Kopien oder Abschriften – auch
in digitaler Form – gefertigt werden. Ausnahmen bedürfen der schriftlichen Genehmigung der
Schneider Electric Automation GmbH.
Kurzfassung
In der folgenden Arbeit wird ein Umrichter-Prüfsystem entwickelt, mit dem es möglich
ist, das dynamische Verhalten einer elektrischen Maschine anhand eines Simulationsmo-
dells in der Realität nachzubilden. Dazu geht es nach einem kurzen Überblick über das zu
testende System zunächst darum, den realen Motor zu analysieren und daraus ein Kon-
zept für die Umsetzung des Prüfsystems zu entwickeln. Dieses Konzept wird anschließend
weiter verfeinert, um daraus den hardwarenahen Aufbau des Prüfsystems zu gewinnen.
Auf Basis der Hardware wird ein Regelverfahren entworfen, mit dem die Anforderungen
an Dynamik und Genauigkeit bestmöglich erfüllt werden. Der letzte Teil der Arbeit bein-
haltet schließlich Details zur Umsetzung des Prüfsystems sowie den Vergleich zwischen
der realen und der nachgebildeten Maschine. Im anschließenden Ausblick werden noch
mögliche Optimierungsmöglichkeiten als Ansatz für zukünftige Arbeiten vorgestellt.

I
Inhaltsverzeichnis

Verzeichnis wichtiger Formelzeichen V

1 Einleitung 1
1.1 Einführung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Stand der Technik . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.3 Ziel der Arbeit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.4 Aufbau der Arbeit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2 Grundlagen 4
2.1 Elektrische Antriebe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.2 Frequenzumrichter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2.3 PacDrive3 Automatisierungslösung . . . . . . . . . . . . . . . . . . . . . . 5
2.3.1 Lexium Servoumrichter . . . . . . . . . . . . . . . . . . . . . . . . . 6
2.3.2 SH3 Servomotoren . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
2.3.3 Sick Stegmann Motorfeedbacksystem SKx36 . . . . . . . . . . . . . 8
2.4 Mathematische Beschreibung der permanenterregten Synchronmaschine . . 9
2.4.1 Elektrische Beschreibung . . . . . . . . . . . . . . . . . . . . . . . . 9
2.4.2 Mechanische Beschreibung . . . . . . . . . . . . . . . . . . . . . . . 12
2.5 Regelkreisstrukturen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2.5.1 Grundlegende Regelkreisstruktur . . . . . . . . . . . . . . . . . . . 12
2.5.2 Klassischer PID-Regler . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.5.3 Zustandsregler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.5.4 Vorsteuerung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.5.5 Modellgestützt-dynamische Vorsteuerung
(Zwei-Freiheitsgrade-Struktur) . . . . . . . . . . . . . . . . . . . . . 15
2.5.6 Störgrößenaufschaltung . . . . . . . . . . . . . . . . . . . . . . . . . 16

3 Entwurf des Emulationssystems 17


3.1 Systemübersicht . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
3.2 Elektrisches Teilsystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
3.2.1 Grundlegendes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
3.2.2 Gegenspannungserzeugung . . . . . . . . . . . . . . . . . . . . . . . 18
3.2.3 Aufbau des elektrischen Teilsystems . . . . . . . . . . . . . . . . . . 20
3.2.4 Das LC-Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
3.2.4.1 Dynamik . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
3.2.4.2 Impedanz . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
3.2.5 Betrachtung als Gesamtsystem . . . . . . . . . . . . . . . . . . . . 21
3.2.5.1 Restwelligkeit . . . . . . . . . . . . . . . . . . . . . . . . . 22
3.2.5.2 Betriebsbereiche . . . . . . . . . . . . . . . . . . . . . . . 24
3.2.6 Filterkonfigurationen . . . . . . . . . . . . . . . . . . . . . . . . . . 29
3.2.7 Energiefluss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
3.2.7.1 Bidirektionale Zwischenkreisversorgung . . . . . . . . . . . 32
3.2.8 Spannungsregelung . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
3.2.8.1 Modellbildung . . . . . . . . . . . . . . . . . . . . . . . . 37
3.2.8.2 Regler Struktur/Auslegung . . . . . . . . . . . . . . . . . 39

II
3.2.8.3 Aufteilung FPGA/dSPACE: . . . . . . . . . . . . . . . . . 48
3.2.8.4 Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
3.2.8.5 Verzerrung des Stellsignals durch der IGBT-Nichtlinearitäten 51
3.3 Motor-/ Mechanikmodell . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
3.4 Geber-Emulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
3.4.1 Interpolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
3.4.2 Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

4 Realisierung 55
4.1 Übersicht über die Systemkomponenten . . . . . . . . . . . . . . . . . . . . 55
4.1.1 Simulink . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
4.1.2 dSPACE ControlDesk . . . . . . . . . . . . . . . . . . . . . . . . . 58
4.1.3 dSPACE-System . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
4.1.4 FPGA-Board mit I/O-Erweiterung . . . . . . . . . . . . . . . . . . 59
4.1.5 Drehgeber Emulation . . . . . . . . . . . . . . . . . . . . . . . . . . 59
4.1.6 SKiiP 2 IGBT Endstufe . . . . . . . . . . . . . . . . . . . . . . . . 60
4.1.7 LCL-Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
4.1.8 Messwerterfassung . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
4.1.8.1 Strommessung . . . . . . . . . . . . . . . . . . . . . . . . 61
4.1.8.2 Spannungsmessung . . . . . . . . . . . . . . . . . . . . . . 61
4.1.9 Netzteil/Rückspeisung . . . . . . . . . . . . . . . . . . . . . . . . . 62
4.1.10 Device Under Test . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
4.2 Software Implementierung . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
4.2.1 Simulink . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
4.2.2 Reglerumsetzung . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
4.2.3 FPGA Programm . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
4.2.3.1 Messwerterfassung (ADC Top.vhd) . . . . . . . . . . . . . 66
4.2.3.2 dSPACE Kommunikation (DSpace IO.vhd) . . . . . . . . 69
4.2.3.3 Regler (Regulator 3x.vhd) . . . . . . . . . . . . . . . . . . 71
4.2.3.4 PWM Steuerung (inverter stage.vhd) . . . . . . . . . . . . 71
4.2.3.5 SinCos-Geber Emulation (sin cos out.vhd) . . . . . . . . . 72
4.2.3.6 Monitoring/Steuerung (Monitor.vhd) . . . . . . . . . . . . 72
4.2.3.7 Ablauf Timing (Sync Timer.vhd) . . . . . . . . . . . . . . 74

5 Messergebnisse 75
5.1 Gegenspannungserzeugung . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
5.2 Gesamtsystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

6 Fazit und Ausblick 79


6.1 Fazit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
6.2 Grenzen des Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
6.3 Bidirektionale Zwischenkreisversorgung . . . . . . . . . . . . . . . . . . . . 79
6.4 IGBT Linearisierung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
6.5 EMV-Problematik bei Hiperface-Emulation . . . . . . . . . . . . . . . . . . 79
6.6 Bedienoberfläche . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

III
7 Anhang A
7.1 Betrachtung zur Dynamik des Kondensatorstroms . . . . . . . . . . . . . . A
7.2 Vorgehen bei Inbetriebnahme . . . . . . . . . . . . . . . . . . . . . . . . . B
7.3 Strombelastbakeit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C
7.4 Wichtige Daten der SH3-Motoren . . . . . . . . . . . . . . . . . . . . . . . D
7.5 Ergebnisse der Auslegung nach 3.2.6 . . . . . . . . . . . . . . . . . . . . . E

Literatur F

IV
Verzeichnis wichtiger Formelzeichen

Elektrische und mechanische Größen

Symbol: Einheit: Beschreibung:


CF [F ] Kapazität LC-Filter
E [W s] Elektrische Energie
J [kg m2 ] Massenträgheitsmoment
LF [H] Induktivität LC-Filter
LM [H] Wicklungsinduktivität
M [N m] Drehmoment
P [W ] Elektrische Leistung
RF [Ω] Parasitärer Widerstand, LC-Filter
RM [Ω] Wicklungswiderstand
T [s] Periodendauer der PWM
UC [V ] Spannung d. Filterkondensators
UZK [V ] Zwischenkreisspannung Emulationssystem
α [ rad
s2
] Winkelbeschleunigung
rad
ω [ s ] Elektrische Winkelgeschwindigkeit
ωm [ rads
] Mechanische Winkelgeschwindigkeit
φ [rad] Rotorwinkel
fg [Hz] Grenzfrquenz des Filters
fP W M [Hz] PWM Frequenz
iU/V /W [A] Strangströme, Klemme-Sternpunkt
id , iq [A] Ströme im Rotorkoordinatensystem
kEM k [ Vrads ] Spannungskonstante bezogen auf ω
p [−] Polpaarzahl
tF IR [s] Zeitverzögerung der Messwertfilter
tF P GA [s] Zeitverzögerung der Stromregelung
tP W M [s] Zeitverzögerung der Pulsweitenmodulation
tdSP ACE [s] Zeitverzögerung der Modellberechnung
tmess [s] Zeitverzögerung der Messwerterfassung
ttot [s] Totzeit der Endsufe, Interlock
ud , uq [V ] Spannungen im Rotorkoordinatensystem
uEM K [V ] Gegenspannung, Polradspannung
uU/V /W [V ] Strangspannungen, Klemme-Sternpunkt

Reglergrößen

Symbol: Beschreibung:
A System-Matrix
B Eingangs-Matrix
C Ausgangs-Matrix

V
Symbol: Beschreibung:
D Durchgangs-Matrix
G Störeingangs-Matrix
K Rückführ-Matrix, dyn. Vorsteuerung
Mu Vorsteuer-Matrix, Stellgröße
Mx Vorsteuer-Matrix, Zustandsgröße
Nu Störaufschaltungs-Matrix, Stellgröße
Nx Störaufschaltungs-Matrix, Zustandsgröße
R Rückführ-Matrix, Zustandsregler
e Regelabweichung
ki Rückführfaktor I-Regler
u Stellgröße
w Führungsgröße (Sollwert)
x1 , x2 , x3 Zustandsgrößen des Systems
y Ausgangsgröße
z Störgröße

VI
1 Einleitung
1.1 Einführung
In der heutigen Zeit werden stetig mehr komplexe Anlagen zur Automatisierung von Ar-
beitsabläufen benötigt. Während früher häufig mechanisch synchronisierte Systeme zum
Einsatz kamen, werden heute vornehmlich elektronisch synchronisierte Systeme verwen-
det. Diese bestehen zumeist aus mehreren Positionierantrieben, welche von einer gemein-
samen Steuereinheit miteinander synchronisiert werden. Dazu werden die Fahrprofile der
Motoren zentral berechnet und anschließend an die einzelnen Servoregler übermittelt. Der
Servoregler hat nun die Aufgabe, den Motor so anzusteuern, dass er dem vorgegebenen
Profil möglichst genau folgt. Dafür besitzt er neben dem Leistungsteil auch eine Positi-
onserfassung sowie einige komplexe Regelalgorithmen.
Die Herausforderung bei der Entwicklung solcher Geräte ist es nun, Regler und Leistungs-
teil so zu optimieren, dass sie maximale Performance bieten und dennoch flexibel genug
sind, um in den unterschiedlichsten Maschinen zuverlässig zu funktionieren.
Um beim Entwurf der Regelalgorithmen beste Leistung auch bei unterschiedlichsten Be-
dingungen sicher zu stellen, werden häufig Simulationswerkzeuge eingesetzt. Mit ihnen
werden die Regelstrecken als vereinfachtes Simulationsmodell abgebildet und ermöglichen
so eine flexible Untersuchung des Reglerverhaltens. Allerdings kommt es beim Einsatz mit
der realen Hardware manchmal zu Effekten, die sich aus dem Simulationsmodell nicht vor-
hersagen lassen. Es gestaltet sich jedoch oft schwierig, ähnlich flexible Tests mit der realen
Maschine durchzuführen, da teils komplizierte mechanische Aufbauten notwendig sind.
Aus diesem Grund wird in dieser Arbeit ein Prüfsystem für die Firma Schneider Electric
entwickelt, welches das Verhalten einer beliebigen Motor/Mechanik Kombination nach-
bildet und so die Flexibilität der Simulation mit der Genauigkeit der Realität verbindet.
Dieses System ermöglicht es einen Servoregler in einer beliebigen simulierten elektro-
mechanischen Umgebung zu testen.

1
1.2 Stand der Technik
Aktuell finden sich mehrere Möglichkeiten, Umrichter zu testen: Die genaueste Variante
ist der Test in der realen Hardware-Umgebung mit vollständigem mechanischem Aufbau
am Motor. Sie bietet den Vorteil, dass sowohl die Hardware als auch die Regelalgorithmen
getestet werden können. Allerdings ist dies auch die aufwändigste und unflexibelste Test-
variante. Sie ist nur für flächendeckende Standartanwendungen sinnvoll, z.B. innerhalb
einer Maschine, welche in größerer Stückzahl gefertigt wird.

Eine weitere Möglichkeit besteht darin, einen Antrieb an einer Lastmaschine zu betrei-
ben, deren Verhalten entsprechend eingestellt werden kann. Im einfachsten Fall ist dies
eine Wirbelstrom- oder Magnetpulverbremse, welche ein definiertes Lastmoment aufneh-
men kann. Nutzt man als Last einen zweiten Motor mit Umrichter, so kann nicht nur
Moment aufgenommen, sondern auch abgegeben werden. Somit ist bereits ein dynami-
sches Testen in allen vier Quadranten möglich. Allerdings wird die Dynamik stark durch
den zweiten Umrichter und die Lastmaschine eingeschränkt. Damit sind zum einen Dau-
erlastversuche an den Grenzen der Hardware möglich, zum anderen kann so bereits ein
Teil der Regelung getestet werden.

Um allerdings den Umrichter sowohl an den Lastgrenzen als auch an den Grenzen
seiner Dynamik sinnvoll testen zu können, ist eine elektronische Nachbildung des Mo-
torverhaltens unumgänglich. Ein solches Prüfsystem wird beispielsweise in einer wissen-
schaftlichen Veröffentlichung der University of South Carolina behandelt [1]. Dabei werden
die vom zu testenden Umrichter erzeugten Spannungen gemessen und über ein Motormo-
dell die entsprechenden Phasenströme berechnet. Diese werden dann über einen zweiten
Umrichter mit LCL-Filter eingeregelt. Auch von der Firma SET Powersystems GmbH [2]
wird ein ähnliches System vertrieben. Allerdings wird hier an Stelle des LCL-Filters ein
Multilevel-Umrichter verwendet, um die Restwelligkeit des Stroms gering zu halten.

1.3 Ziel der Arbeit


Wie in der Einführung beschrieben, geht es bei der folgenden Arbeit um die Entwicklung
eines Prüfsystems, mit dem eine Hardware-in-the-Loop (HIL) Simulation für einen Ser-
voregler möglich ist. Bei der Auslegung der Komponenten soll das PacDrive3-System von
Schneider Electric als Referenz dienen. So soll das Prüfsystem insbesondere unabhängig
vom angeschlossenen Umrichter in der Lage sein, alle Motoren der SH3 Serie von Schnei-
der Electric nachzubilden. Dabei soll sich das Prüfsystem nach außen hin genau wie ein
realer Motor verhalten, dessen Eigenschaften mit Hilfe eines Rechenmodells bestimmt
werden können. Es bietet somit die Möglichkeit, einen Servoumrichter flexibel, praxisnah
und effizient zu testen.
Das dabei entstehende System soll in Anlehnung an die in [1],[2] vorgestellten Prüfsys-
teme so entwickelt werden, dass es aus bereits vorhandenen Leistungs- und Steuerungs-
elektronischen Komponenten aufgebaut werden kann. Dazu zählen der sog. Powercube als
Gehäuse und zur Versorgung des Leistungsteils (siehe Abschnitt 4.1.6), ein FPGA-Board
zur Messwerterfassung und hardwarenahen Regelung (siehe Abschnitt 4.1.4) sowie ein
dSPACE System als schneller Echtzeitrechner (siehe Abschnitt 4.1.3).

2
1.4 Aufbau der Arbeit
Nachdem nun im ersten Kapitel Motivation und Rahmenbedingung dieser Arbeit näher
erläutert wurden, geht es im zweiten Kapitel darum, dem Leser die technischen Grundla-
gen zum Verständnis der folgenden Ausführungen zu vermitteln. Dabei werden vor allem
Grundlagen der Antriebstechnik sowie eine Übersicht über das zu testende System von
Schneider Electric vermittelt.
Kapitel drei befasst sich anschließend mit dem Entwurf und der Auslegung des Emu-
lationssystems. Zuerst wird die Struktur des Gesamtsystems gezeigt. Anschließend wird
sukzessive die Auslegung der einzelnen Komponenten behandelt und schließlich intensiv
auf den Entwurf der Regelung für das System eingegangen.
Nach der theoretischen Betrachtung geht es im vierten Kapitel schließlich um die tatsächli-
che Realisierung in Hard- und Software. Hier wird gezeigt, wie die einzelnen Komponenten
des fertigen Emulationssystems und die Software-Funktionen interagieren. Am Ende der
Arbeit wird das Verhalten des emulierten Motors mit Messungen am realen Motor ver-
glichen. Nach einer kurzen Zusammenfassung wird ein Ausblick gegeben, welcher weitere
Verbesserungspotentiale aufzeigt und als Grundlage für zukünftige Arbeiten dienen kann.

3
2 Grundlagen
2.1 Elektrische Antriebe
Die folgenden Ausführungen orientieren sich an [6].

Elektromotoren zählen zu den elektrischen Maschinen und dienen als bidirektiona-


ler Energiewandler zwischen elektrischer und mechanischer Energie. Ihre Funktionsweise
basiert dabei auf der elektromagnetischen Wechselwirkung und der damit verbundenen
Lorenzkraft, die auf einen stromdurchflossenen Leiter in einem Magnetfeld wirkt. Ledig-
lich die Reluktanzmaschine weicht von diesem Funktionsprinzip ab. Hier dient die Re-
luktanzkraft als Funktionsgrundlage. Prinzipiell lassen sich Elektromotoren in folgende
Haupttypen gliedern:

• Gleichstrommotor

• Asynchronmotor

• Synchronmotor

• (Reluktanzmotor)

Da es bei dem Projekt maßgeblich um die Emulation einer permanent-erregten Syn-


chronmaschine (PSM) geht, soll im Folgenden nur auf diese näher eingegangen werden.
Ähnliche Betrachtungen lassen sich jedoch auch für die anderen Maschinentypen anstellen.
Die Maschine besteht im wesentlichen aus zwei Teilen:

1. Stator: Der Stator (auch Ständer genannt) ist der feststehende Teil der Maschine,
welcher mit dem Gehäuse verbunden ist. Er trägt die 3-phasige Ständerwicklung
(stromdurchflossener Leiter).

2. Rotor: Der Rotor (auch Läufer genannt) ist der bewegliche Teil der Maschine. Über
ihn wird die mechanische Energie abgegeben/aufgenommen. Er ist mit Permanent-
magneten bestückt, die ein dauerhaft vorhandenes Magnetfeld erzeugen.

Fließt ein Strom in der Ständerwicklung, so richtet sich der Läufer mit seinen Perma-
nentmagneten im entstehenden Magnetfeld aus. Wird die Wicklung nun mit Drehstrom
gespeist, beginnt der Läufer dem Drehfeld zu folgen und damit zu rotieren.

4
2.2 Frequenzumrichter

Umrichter Motor

versorgung
Netz-

Regelung Lagegeber
Abbildung 1: Schematische Darstellung eines Motors mit Umrichter

In der Praxis werden PSM oftmals als hochdynamische, präzise Stell- und Positionieran-
triebe verwendet. Für den Betrieb dieser Motoren ist immer ein Frequenzumrichter not-
wendig. Typischerweise stellt die Ansteuerung über den Umrichter sicher, dass die Mo-
toren ein vorgegebenes Fahrprofil einhalten. Dafür wird die Position des Motors mittels
eines Lagegebers erfasst und der Strom durch den Motor so eingeregelt, dass die gemes-
sene Position mit der vorgegebenen Sollposition übereinstimmt.

Hierfür wird in der Regel eine mehrstufige Kaskadenregelung verwendet. In der äußeren
Kaskade kommt häufig eine Positionsregelung mit unterlagerter Geschwindigkeitsregelung
zum Einsatz. Aus ihr wird mit Hilfe der tatsächlichen Position des Rotors aus dem Lage-
geber, der nötige drehmomentbildende Strom berechnet, um die gewünschte Sollposition
bzw. Sollgeschwindigkeit zu erreichen.
Dieser Strom bildet die Führungsgröße der inneren Kaskade, welche für die Einregelung
des gewünschten Stromwerts sorgt. Die zur Erzeugung des Stroms notwendige Stellspan-
nung wird durch Pulsweitenmodulation (PWM) mittels elektronischer Leistungsschalter
erzeugt, welche die gleichgerichtete Netzspannung aus dem Zwischenkreis entsprechend
modulieren.

2.3 PacDrive3 Automatisierungslösung


Mit dem PacDrive3-System bietet Schneider Electric ein Automatisierungssystem, das
den hohen Anforderungen der Produktions- und Verpackungsautomatisierung in Puncto
Genauigkeit und Dynamik gerecht wird.

5
Abbildung 2: Systemarchitektur PacDrive3 [3]

Auf der Anwenderebene bietet das Softwarepaket SoMachineMotion eine große Sammlung
an Werkzeugen die von der Antriebsauslegung über die Steuerungsprogrammierung bis
hin zur Diagnose reichen und damit einen durchgängigen Projekt-Workflow ermöglichen.
In der Steuerungsebene vereint der Logic Motion Controller die zentrale Steuerung der
Bewegungsabläufe mit SPS- und IT/Kommunikations-Funktionalitäten. Seine Hauptauf-
gabe besteht in der Erzeugung von Fahrprofilen für bis zu 99 Achsen, welche synchron
zueinander verfahren werden können. Um auch bei der maximalen Anzahl von Antrieben
eine hohe Performance zu erreichen, kommt zur Kommunikation mit den Motorcontrollern
der Ethernet basierte SERCOSIII-Bus zum Einsatz. Er ermöglicht selbst bei 99 Achsen
noch eine Zykluszeit von 1ms.

2.3.1 Lexium Servoumrichter


Für die Regelung der Motoren kommen Servoumrichter der Lexium (LXM) Serie zum
Einsatz. Dabei stehen die folgenden Baureihen zur Verfügung:
Beim LXM52 handelt es sich um kompakte Einzelachsumrichter mit integriertem Netz-
teil, die für den Schaltschrankeinbau konzipiert sind. Es werden Geräte mit Ausgangsleis-
tungen von 0,3 bis 7 kVA angeboten.
Die LXM62 Serie ist im Gegensatz zum LXM52 modular aufgebaut. So können mehrere
Umrichter ein gemeinsames Versorgungsmodul nutzen. An ein Umrichtermodul können
je nach Bauform entweder ein Motor (Single Drive) oder zwei Motoren (Double Drive)
angeschlossen werden. Auch diese Geräteserie ist für den zentralen Schaltschrankeinbau
gedacht. Die verfügbaren Leistungsklassen erstrecken sich über Nennströme von 2 Arms
bis 50 Arms .
Die Gerätebezeichnung ILM62 wird für Motoren mit integrierter Elektronik verwendet,
bei denen der Motorcontroller direkt am Gehäuse des Motors montiert ist. Dies ermöglicht
eine erhebliche Reduktion der Schaltschrankgröße, da nur eine zentrale Gleichspannungs-
versorgung sowie der Motion Controller benötigt werden. Auch der Verdrahtungsaufwand

6
sinkt dank der Verwendung von Verteilerboxen erheblich.

Abbildung 3: Übersicht der Umrichter Varianten [3]

Die grundlegende Funktionsweise ist jedoch für alle Varianten gleich: Die Umrichter be-
sitzen einen Zwischenkreis mit einer Gleichspannung von ca. 560V, der entweder aus
einem integrierten Netzteil oder extern gespeist wird. Daraus wird mit Hilfe der puls-
weitenmodulierten IGBT-Endstufe die Ausgangsspannung für den Motor bereitgestellt.
Zum Berechnen der Ausgangsspannung kommt eine Kombination aus Geschwindigkeits-,
Positions- und Stromregler zum Einsatz, denen als Eingangsgrößen die Sollposition, die
aktuelle Rotorlage sowie der Motorstrom zur Verfügung stehen.

2.3.2 SH3 Servomotoren


Bei der SH3 Servomotorserie handelt es sich um permanent-erregte Vollpol- Synchron-
maschinen, die sich vor allem durch eine hohe Leistungsdichte und ein geringes Rotor-
trägheitsmoment auszeichnen. Sie sind prädestiniert für Anwendungen, in denen hohe
Anforderungen an Dynamik und Präzision gestellt werden. Durch den integrierten La-
gegeber kann zum einen die Position des Rotors mit einer hohen Genauigkeit erfasst
werden. Zum anderen lässt sich auf dem integrierten EEPROM ein elektronisches Ty-
penschild speichern, was eine automatische Erkennung des Motors durch den Umrichter
ermöglicht.

Die Produktpalette deckt Motoren mit einem Flanschmaß von 55mm bis 205mm und
Leistungen zwischen 0,4kW und 10kW ab. Nachstehend sind einige Kenndaten des kleins-
ten und des größten Motors der SH3 Serie im Vergleich aufgezeigt:
U
• Drehzahl: 8000...2000 min

• Spitzendrehmoment: 1.5...330 N mmax

• Nennleistung: 0.4...10.62 kW

• Wicklungsinduktivität (Phase-Phase): 36...2.1 mH

• Wicklungswiderstand (Phase-Phase): 21...0.1 Ω

7
• Spitzenstrom: 2.9...136.1 Arms

Eine Übersicht über alle wesentlichen Motorkenndaten kann Tabelle 14 im Anhang


sowie dem Benutzerhandbuch [4] entnommen werden.

2.3.3 Sick Stegmann Motorfeedbacksystem SKx36


Zur Bestimmung der Rotorlage und der Speicherung der Typenschild-Daten kommt das
Sick Stegmann Motorfeedbacksystem SKx36 zum Einsatz. Dabei handelt es sich um einen
optischen Lagegeber mit integrierter Signalaufbereitung und Verarbeitung. Angesprochen
wird das Feedbacksystem über die sogenannte Hiperface Schnittstelle, eine Mischung aus
einem digitalen RS-485 Parameterkanal in half-duplex Konfiguration und einer differen-
tiellen, analogen SinCos Schnittstelle zur hochgenauen Positionsbestimmung.

Motorregler

QuadraturV
zähler
Motorfeedback
Prozessdaten

ADC/
Sinus
Drehzahl ArcusV
relative/Position tangensV
Cosinus Wandler

Absolute/Position TxD
Parameter/

Data/out Parameter

RS485
UART
Spannung RxD
Data/in

7/…/12/V

Schirm

Abbildung 4: Hiperface Schnittstelle [5]

Der Parameterkanal dient vor allem der Konfiguration des Feedbacksystems, der Er-
mittlung der absoluten Position innerhalb einer Umdrehung nach dem Einschalten, sowie
dem Auslesen der Typenschilddaten auf dem integrierten EEPROM. Er wird im We-
sentlichen nur während der Initialisierungsphase des Umrichters verwendet. Im Betrieb
hingegen ist fast ausschließlich das SinCos-Signal, dessen Auswertung zur Bestimmung
der Position dient, relevant.

Beim SinCos-Signal handelt es sich, wie der Name bereits vermuten lässt, um zwei
analoge Signale, die den Sinus und Cosinus des aktuellen Lagewinkels abbilden. Beson-
derheit hierbei ist, dass nicht nur eine Sinusperiode pro Umdrehung durchlaufen wird,
sondern 128. Damit kann die Genauigkeit erheblich verbessert werden. Zählt man von
der Startposition aus die Anzahl der Perioden des SinCos-Signals, lässt sich die Positi-
on ähnlich wie bei einem Inkrementalgeber schon auf 360 128
= 2.8◦ genau bestimmen. Nutzt
man nun zusätzlich noch die Information, welche im aktuellen Spannungswert der SinCos-
sin(φ)
Signale steckt, lässt sich mittels der Gesetzmäßigkeit cos(φ) = tan(φ) die genaue Position
sin(φ)
zu φ = arctan( cos(φ) ) bestimmen.

8
2.4 Mathematische Beschreibung der permanenterregten Syn-
chronmaschine
Dieser Abschnitt orientiert sich in weiten Teilen an den Ausführungen in [6].

Mechanik: Lage-
Rotor/Last erfassung

Abbildung 5: Schematische Darstellung eines Motors als Wirkungskette aus Sicht des
Umrichters

Betrachtet man einen Motor aus Sicht des Umrichters, kann er, wie Abbildung 5 zeigt,
als einfache Wirkungskette dargestellt werden. Der Umrichter stellt eine Spannung (UM )
am elektrischen Teil (grün), wodurch sich ein Strom IM bildet, welcher wiederum das
Drehmoment Me erzeugt. Dieses Drehmoment verursacht nun im mechanischen Teil des
Motors (blau) eine Änderung des Rotorwinkels φm . Dieser Winkel wird nun von einem
Lagegeber (orange) erfasst und dem Umrichter als Feedback zurück gemeldet. Gleichzeitig
hat die Winkeländerung eine Winkelgeschwindigkeit ωm zur Folge, welche sich über eine
induzierte Gegenspannung im elektrischen Teil bemerkbar macht.

Im Folgenden wird nun näher auf die einzelnen Teile eingegangen, um ein Grund-
verständnis für die Funktionsweise des Motors zu schaffen und um auf dieser Basis später
Möglichkeiten zur Emulation des Motors zu finden.

2.4.1 Elektrische Beschreibung


Zur Betrachtung des elektrischen Verhaltens ist es sinnvoll, sich zunächst den inneren
Aufbau der Maschine zu verdeutlichen. Vereinfacht gesprochen handelt es sich bei der
PSM um drei Kupferdrahtspulen, die auf ein magnetisch leitendes Ständerblech gewickelt
werden. Innerhalb des Ständers befindet sich der Rotor, welcher ebenfalls aus magnetisch
gut leitendem Material besteht und auf der Außenseite mit Permanentmagneten bestückt
ist.

Nimmt man nun den Fall der sich im Stillstand befindenden Maschine an (keine Ände-
rung der Rotorlage), enthält der Motor drei Spulen, welche über den magnetischen Kreis
(Ständerblech - Luftspalt - Rotor) miteinander gekoppelt sind. Das elektrische Verhalten
entspricht in etwa dem einer dreiphasigen Drossel, bei der ebenfalls drei Spulen auf einem
gemeinsamen Eisenkern gewickelt sind. Demnach lassen sich auch die Maschinenparame-
ter wie Wicklungsinduktivität und Wicklungswiderstand direkt an den Anschlussklemmen
der ruhenden Maschine messen. Allerdings ist dabei zu beachten, dass die Wicklungsin-
duktivität abhängig von der Rotorlage sein kann. Im Folgenden soll allerdings unter der
Annahme Ld ≈ Lq gelten: Ld = Lq = LM

9
Betrachtet man nun die rotierende Maschine, so wird von den Permanentmagneten des
Rotors eine Flussänderung in den Spulen des Stators erzeugt. Diese Flussänderung wie-
derum hat eine Induktionsspannung zur Folge. Fließt kein Strom in den Spulen, so kann
diese induzierte Spannung direkt an den Anschlussklemmen des Motors gemessen werden.
Dabei sind sowohl Frequenz als auch Amplitude proportional zur Drehzahl das Rotors.
Betrachtet man nun einen Zweig der sternförmig angeschlossenen Maschine, also zwischen
der Anschlussklemme und dem Sternpunkt, so ergiebt sich aus den genannten Punkten
das folgende Ersatzschaltbild:

passiv aktiv

Abbildung 6: Einfaches Ersatzschaltbild eines Strangs der PSM

Der passive Teil ist der Anteil des Motors der aus der ruhenden Betrachtung hervor
geht: Wicklungswiderstand (RM ) und Wicklungsinduktivität (LM ). Der aktive Teil stellt
die induzierte Gegenspannung UEM K (auch Gegen-EMK genannt) dar.

Mathematisch lässt sich die Gegenspannung wie folgt ausdrücken:

uEM K (t) = −kEM K · ω · sin(ωt + φ0 ) (2.1)

mit

ωt = φe (2.2)

Sie hat baubedingt einen sinusförmigen Verlauf, dessen Frequenz nur von der elektrischen
Winkelgeschwindigkeit ω abhängt. Diese ist bei Maschinen mit nur einem Polpaar gleich
der mechanischen Winkelgeschwindigkeit ωm und bei Maschinen mit mehreren Polpaaren
gleich der mechanischen Winkelgeschwindigkeit multipliziert mit der Polpaarzahl p, d.h.
ω = p · ωm .
Die Amplitude der induzierten Spannung hängt von der zeitlichen Änderung des magne-
tischen Flusses ab. Damit spielt zum einen die Änderungsgeschwindigkeit (ωm ) und zum
anderen die Stärke des Flusses der Permanentmagnete eine Rolle. Wie stark der Fluss ist,
wird mit der Maschinenkonstante kEM K angegeben.
Da es sich bei der Maschine jedoch um eine dreiphasige Maschine handelt, muss auch
die Beziehung der Phasen zueinander berücksichtigt werden. Dies geschieht mittels der
Phasenverschiebung φ0 . Die dreiphasige Beschreibung der Gegenspannungen UEM K,U/V /W
lautet damit:

10
   
uEM K,U sin(φe )
 uEM K,V  = −kEM K ω sin(φe − 2 π) (2.3)
3
uEM K,W sin(φe + 23 π)

Nun lassen sich auch die Strangspannungen UU/V /W zwischen Klemme und Sternpunkt
mit Hilfe der Maschenregel und der allgemeinen Bauelementgleichung beschreiben, wobei
die Bezeichnung iU/V /W für den jeweiligen Strangstrom verwendet wird:

diU/V /W (t)
uU/V /W (t) = LM + RM iU/V /W (t) + uEM K,U/V /W (2.4)
dt
bzw.
LM didtU + RM iU − kEM K ω sin (φe )
   
uU
 uV  =  LM diV + RM iV − kEM K ω sin (φe − 2π )  (2.5)
dt 3
uW LM didtW + RM iW − kEM K ω sin (φe + 2π 3
)

Anstelle der dreiphasigen Betrachtung (2.5) wird in er Praxis häufig die Beschreibung im
Rotor-Koordinatensystem verwendet. Diese hat den großen Vorteil, dass sich das Koordi-
natensystem auf das Feld der Permanentmagnete im Rotor bezieht und sich im stationären
Betrieb Gleichgrößen anstelle der Wechselgrößen ergeben. Um in dieses Bezugssystem zu
wechseln, nutzt man entsprechende Transformationen. Zuerst wird die dreiphasige Dar-
stellung mit Hilfe der Clarke Transformation, auch αβ-Transformation genannt, in die
Raumzeigerdarstellung überführt.
 
   1 1  uU
uα 2 1 − √2
−√2  
= uV (2.6)
uβ 3 0 2 − 23
3
uW

Daraus folgt für die Maschinengleichungen:

LM didtα + RM iα − kEM K ω sin (φe )


   

= di (2.7)
uβ LM dtβ + RM iβ + kEM K ω cos (φe )

Der Nachteil dieses statorfesten Koordinatensystems liegt jedoch in den rotierenden


Raumzeigern. Deshalb bedient man sich im nächsten Schritt der so genannten Park- oder
d/q-Transformation [6]:     
ud cos φe sin φe uα
= (2.8)
uq − sin φe cos φe uβ
Diese überführt mit Hilfe der Drehmatrix das statorfeste in ein rotorfestes Koordinaten-
system:
LM didtd + R id − ω LM iq
   
ud
= (2.9)
uq LM didtq + R iq + ω LM id + kEM K ω
wobei LM der Wicklungsinduktivität eines Stranges, RM dem Wicklungswiderstand und
kEM K der Spannungskonstanten des Motors entsprechen.

11
2.4.2 Mechanische Beschreibung
Aus mechanischer Sicht lässt sich die Synchronmaschine wie folgt beschreiben: Der Strom
im Stator führt dazu, dass sich ein entsprechendes Magnetfeld proportional zu diesem
Strom aufbaut, welches eine tangentiale Kraft am Rotor erzeugt, die ein Drehmoment zur
Folge hat. Dieses Drehmoment wiederum verursacht eine Winkeländerung am Rotor.
Drehmomentbildend ist dabei im Wesentlichen nur der Strom in q-Richtung (Reluktanzef-
fekte werden unter der Annahme Ld = Lq nicht berücksichtigt). Das erzeugte Drehmoment
M lässt sich unter Berücksichtigung der Drehmomentkonstanten kt mit folgender Formel
ausdrücken:
3
M = p kt iq (2.10)
2
Dieses Drehmoment hat nun eine Winkelbeschleunigung αm zur Folge, welche zusätz-
lich von der am Motor angeflanschten Mechanik abhängt. Für den einfachsten Fall einer
Mechanik mit konstantem Trägheitsmoment gilt:
1
αm = M (2.11)
J
Dabei bezeichnet J das gesamte an der Motorwelle wirksame Trägheitsmoment. Diese
Beschleunigung wiederum sorgt für eine Änderung der Winkelgeschwindigkeit ωm , d.h.
dωm
αm = , (2.12)
dt
und letztlich für eine Änderung des Rotorwinkels φm gemäß
dφm
ωm = . (2.13)
dt
Der Rotorwinkel wird über ein Gebersystem gemessen (siehe auch Kapitel 2.3.3).

2.5 Regelkreisstrukturen
Da es im weiteren Verlauf der Arbeit nötig sein wird, eine Regelung zur Generierung
der in Kapitel 2.4.1 eingeführten Gegenspannung UEM K,U/V /W zu entwerfen, sollen an
dieser Stelle einige Grundlagen der späteren Reglerstruktur besprochen werden. Details
zur mathematischen Beschreibung und Auslegung folgen in Kapitel 3.2.8.2. Die folgenden
Inhalte beziehen sich dabei in wesentlichen Teilen auf [7].

2.5.1 Grundlegende Regelkreisstruktur

Regler Stellglied Regelstrecke

Messglied
Abbildung 7: Typischer Aufbau eines Regelkreises

12
Abbildung 7 zeigt den typischen Aufbau eines Regelkreises. Als Eingang dient die soge-
nannte Soll- oder Führungsgröße w. Sie repräsentiert den Zielwert der Ausgangsgröße y.
Um zu erreichen, dass die Ausgangsgröße der Führungsgröße folgt, wird zunächst gemäß
e = w − y ein Soll-Ist-Vergleich durchgeführt, um die Regelabweichung e zu bestimmen.
Diese Regelabweichung wird nun einem Regler zugeführt, der ein entsprechendes Stell-
signal u so berechnet, dass diese Regelabweichung möglichst abgebaut wird. Das Stell-
signal wird schließlich über ein physikalisches Stellglied auf die eigentliche Regelstrecke
übertragen. Oft wird hierbei die Annahme ũ = u getroffen. Die Regelstrecke reagiert auf
das Stellsignal gemäß ihres Übertragungsverhaltens mit einer Änderung der Ausgangs-
größe, welche wiederum von einem Messglied erfasst und dem Soll-Ist-Vergleich zugeführt
wird. So entsteht ein geschlossener Regelkreis, dessen Aufgabe es ist, die Grundbedin-
gung y = w möglichst zu jeder Zeit zu erfüllen. Dazu müssen zum einen Änderungen der
Führungsgröße und zum anderen Einflüsse einer Störgröße z ausgeglichen werden.

2.5.2 Klassischer PID-Regler

Abbildung 8: Idealer PID-Regler in Parallelstruktur (blau) mit Regelstrecke (grün)

Als klassische Regler kommen sehr häufig Strukturen zum Einsatz, welche sich aus ei-
nem proportionalen P-, einem integralen I- und einem differenziellen D-Anteil zusammen-
setzen. Typische Konstellationen sind dabei der P-, PI-, PD- und PID-Regler. Abbildung
8 zeigt einen solchen PID-Regler in Parallelstruktur mit getrennten Verstärkungsfaktoren
für die jeweiligen Anteile.

P-Anteil (uP (t) = kP · e(t)): Der proportionale Anteil bietet den Vorteil, dass er di-
rekt und ohne zeitliche Verzögerung auf Regelabweichungen reagiert. Allerdings kann er
die bleibende Regelabweichung nicht zu Null ausregeln, sofern eine dauerhaft einwirkende
konstante Störung auf die Strecke einwirkt. Die Regelabweichung wird zwar für eine hohe
Verstärkung kP klein. Der maximale Wert der Verstärkung kp ist in der Realität jedoch
begrenzt, da der Regelkreis für sehr hohe Verstärkungsfaktoren in der Regel instabil wird.
R
I-Anteil (uI (t) = kI · e(t)dt): Der integrale Regleranteil ist zwar in seiner Reaktions-
zeit deutlich langsamer als der proportionale Anteil. Er bietet allerdings den Vorteil, dass
er eine bleibende Regelabweichung bei Vorhandensein einer konstanten Störung robust
zu Null ausregeln kann. Deshalb werden auch häufig Kombinationen aus P- und I-Regler

13
eingesetzt. Allerdings muss bei realen Stellgliedern eine Stellsignalbegrenzung mit berück-
sichtigt werden, um ein Volllaufen des Integrators (Wind-up Effekt) zu vermeiden.

D-Anteil (uD (t) = kD · dtd e(t)): Beim D-Anteil handelt es sich um ein Differenzierglied,
das auf Änderungen der Regelabweichung reagiert und somit die Anregelzeit erheblich
verkürzen kann. Großer Nachteil beim reinen D-Glied ist jedoch, dass es prinzipbedingt
immer die Ableitung der gemessenen Ausgangsgröße bildet und somit auch ein meist
vorhandenes Messrauchen verstärkt. Deshalb schaltet man in der Praxis häufig noch ein
Tiefpassfilter vor den Differenzierer, was allerdings seine Dynamik einschränkt.

2.5.3 Zustandsregler

Regler Regelstrecke

Abbildung 9: Einfacher Zustandsregelkreis

Der Zustandsregler, dessen grundlegende Struktur in Abbildung 9 gezeigt ist, unter-


scheidet sich von einem klassischen PID-Regler durch die Eigenschaft, dass er zunächst
nicht direkt die Ausgangsgröße des Systems zurückführt, sondern dessen inneren Zu-
stand. Zustandsgrößen sind hierbei alle energietragenden Größen des System, wie z.B. der
Strom durch eine Induktivität oder eine Spannung an einem Kondensator. Die Anzahl der
Zustände entspricht der Ordnung des Systems. Ein System n-ter Ordnung besitzt somit
n Zustandsgrößen. Diese Zustände werden zur Regelung im einfachsten Fall über einfache
Verstärkungsfaktoren (Matrix R) auf den Eingang des Systems zurückgeführt und be-
stimmen so die Dynamik des geschlossenen Regelkreises. Ein Vorteil des Zustandsreglers
liegt darin, dass er ohne die Ableitung einer Messgröße auskommt und im Zustandsraum
eine gezieltere Berücksichtigung der auf das System wirkenden Störungen möglich ist. Au-
ßerdem können mit der in Struktur in Abbildung 9 alle dynamischen Freiheitsgrade des
Regelkreises frei parametriert werden. Da es sich bei der einfachen Struktur in Abb. 9 je-
doch um eine reine statische Rückführung handelt, können bleibende Regelabweichungen
durch z.B. Parameterabweichungen oder konstant wirkende Störeinflüsse nicht ausgegli-
chen werden. Um das zu kompensieren, muss man den Regelkreis um einen integralen
Anteil erweitert. Der dabei entstehende Regler wird auch als PI-Zustandsregler bezeich-
net. Des Weiteren sind in der Praxis nicht unbedingt alle Systemgrößen messbar, so dass
die Struktur in Abbildung 9 nicht direkt realisiert werden kann. In diesem Fall muss die
Regelung um einen sog. Beobachter erweitert werden (vgl. z.B. [7] Seite 353)

14
2.5.4 Vorsteuerung

klassische Regelung Zustandsraum

Abbildung 10: Grundprinzip der statischen Vorsteuerung

Idee der Vorsteuerung ist es, aus der Führungsgröße möglichst genau die Stellgröße zu
berechnen, welche die Strecke braucht, um am Ausgang das gewünschte Ergebnis y = w
zu liefern. Ziel ist es, dass alle Freiheitsgrade bei der Parametrierung der Rückführung
zur Parametrierung des Störverhaltens genutzt werden können, da das Führungsverhalten
des geschlossenen Regelkreises schon durch die Vorsteuerung bestimmt wird. Somit wäre
die Vorsteuerung im Idealfall die Inverse der Regelstrecke. Leider kommt es in der Praxis
zu einem Problem, denn die Inverse einer realen Strecke ergibt in den meisten Fällen ein
nicht realisierbares System. Oftmals begnügt man sich daher damit, den statischen Anteil
der Störungen mit Hilfe einer statischen Vorsteuerung zu kompensieren (wie in Abbildung
10 gezeigt).

Betrachtet man die statische Vorsteuerung im Zustandsraum (vgl. rechte Seite von
Abb. 10), so wird hier die Auswirkung der Sollgröße W auf Stell- und Zustandsgrößen
mit Hilfe der konstanten Matrizen M x und M x bewirkt. Eine praktisch realisierbare Ver-
besserung des Führungsverhaltens lässt sich durch die Erweiterung der gezeigten Struktur
zur sog. modellbasierten dynamischen Vorsteuerung erreichen.

2.5.5 Modellgestützt-dynamische Vorsteuerung


(Zwei-Freiheitsgrade-Struktur)

Vorsteuerung Regler Modell d. Regelstrecke


Abbildung 11: Modellgestützt-dynamische Vorsteuerung für
Zwei-Freiheitsgrade-Struktur

15
Bei der modellgestützt-dynamischen Vorsteuerung handelt es sich um einen gewöhn-
lichen Zustandsregelkreis mit Vorsteuerung. Allerdings regelt der Regler hier nicht die
reale Strecke, sondern lediglich ein Modell der Strecke. Die daraus gewonnenen Stell- und
Zustandsgrößen dienen anschließend als Vorsteuerung des eigentlichen Regelkreis. Man
erhält somit eine Vorsteuerung mit beliebig einstellbarer Dynamik.
Darin liegt auch der Vorteil dieser Struktur: Man besitzt nun zwei Freiheitsgrade, da sich
Führungs- und Störverhalten getrennt voneinander einstellen lassen. Damit lässt sich ein
deutlich verbessertes Führungsverhalten erzielen, da im Modell keine Messungenauigkei-
ten oder Totzeiten in der Messung berücksichtigt werden müssen und da der Zustand
des Modells immer direkt für die Rückführung zur Verfügung steht. Somit kann auch der
Regler des Modells deutlich schneller ausgelegt werden.
Allerdings ist die Vorsteuerung nur so gut wie das zur Verfügung stehende Streckenmodell.

2.5.6 Störgrößenaufschaltung

klassische Regelung Zustandsraum

Abbildung 12: Statische Störgrößenaufschaltung

Mit der Störgrößenaufschaltung verhält es sich im Wesentlichen wie mit der Vorsteue-
rung aus Kapitel 2.5.4. Grundvoraussetzung ist jedoch ein messbare Störgröße.
Ist die Störung sowie deren Auswirkung auf die Strecke bekannt, kann sie teilweise (in
seltenen Fällen auch vollständig) kompensiert werden. In jedem Fall kann die Störgrößen-
aufschaltung helfen, besser und vor allem schneller auf Störungen zu reagieren.
Betrachtet man Abbildung 12, wirkt sich die Störung mit der Übertragungsfunktion Gz (s)
auf den Streckenausgang aus. Um diese nun vollständig zu kompensieren müsste die ge-
Gz (s)
messene Störung mit der Funktion G S (s)
auf den Streckeneingang gegeben werden. Ob
Gz (s)
jedoch eine vollständige Kompensation möglich ist, hängt davon ab, ob es sich bei GS (s)
um ein realisierbares stabiles System mit Zählergrad ≤ Nennergrad handelt. Häufig wird
man sich auch hier mit der in Abblidung 12 gezeigten statischen Störgrößenaufschaltung
Gz (0)
Uz (s) = G S (0)
· Z(s) begnügen.

Im Zustandsraum gleicht die statische Struktur genau der der Vorsteuerung in Ab-
bildung 10, mit dem Unterschied dass hier die Störgröße aufgeschaltet wird. Auch diese
kann ähnlich wie für die Führungsgrößenaufschaltung in Abb. 11 zu einer modellgestützt-
dynamischen Störgrößenaufschaltung erweitert werden.

16
3 Entwurf des Emulationssystems
3.1 Systemübersicht

Messung
Motor-/
Geber-
Mechanik-
emulation
modell

Ansteuerung Regler
Abbildung 13: Schematische Darstellung des Emulationssystems

Nimmt man die Wirkungskette des realen Motors aus Abbildung 5 als Grundlage und
kombiniert diese mit den Anforderungen aus Kapitel 1.3 erhält man das oben gezeigte
Grundschema für den virtuellen Motor.
Das elektrischen Verhalten (grün) des Motors wird mit Hilfe leistungselektronischer Kom-
ponenten nachgebildet. Die im elektrischen Teil vorhandenen Messgrößen dienen zur Be-
rechnung eines Motormodells (blau), welches wiederum Steuergrößen zur Nachbildung
des elektrischen Verhaltens und zur Emulation des Motor-Lagegebers (orange) erzeugt.
Der emulierte Lagegeber liefert schließlich das gewünschte Positionsfeedback an den zu
testenden Umrichter.

3.2 Elektrisches Teilsystem


In diesem Kapitel soll auf die grundlegende leistungselektronische Umsetzung der Motor-
emulation eingegangen werden.

3.2.1 Grundlegendes
Zur Emulation eines Motors muss sein elektrisches Verhalten gegenüber dem Umrichter
möglichst gut nachgebildet werden. In der Regel gibt es hierbei zwei wesentliche Schnitt-
stellen: die Leistungsseite und die Signalseite. Auf der Leistungsseite wird aufgrund der
vom Umrichter angelegten Spannung ein Strom durch den Motor hervorgerufen, der vom
inneren Zustand (z.B. Drehzahl, Rotorlage) und den Parametern des Motors abhängt. Auf
der Signalseite wird die aktuelle Position des Motors über den Lagegeber an den Umrich-
ter zurück gegeben. Auf die Emulation des Gebers wird zu einem späteren Zeitpunkt noch
einmal genauer eingegangen. Hier soll das Augenmerk zunächst auf der Nachbildung der
Leistungsseite liegen. Dazu greifen wir an dieser Stelle nochmals auf das Ersatzschaltbild
aus Kapitel 2.4.1 zurück:

17
passiv aktiv

Abbildung 14: Einfaches Ersatzschaltbild eines Stranges der PSM

In diesem Ersatzschaltbild sieht man, dass ein Strang des Motors im Wesentlichen aus 3
Komponenten besteht:
• Ständerinduktivität

• Ständerwiderstand

• Gegen-EMK-Spannung
Um den Motor zu emulieren, müssen diese Komponenten nun nachgebildet werden. Da
sowohl die Ständerinduktivität als auch der Ständerwiderstand passive Bauelemente dar-
stellen, lassen sie sich auch entsprechend einfach durch diese nachbilden. Damit beschränkt
sich die gesamte elektrische Emulation des Motors auf die Erzeugung der variablen Ge-
genspannung.

Zur Vereinfachung wird die folgende Annahme getroffen: In den Nachfolgenden Ausführun-
gen sei der Widerstand RM implizit als Widerstand einer realen Induktivität zur Reali-
sierung der Ständerinduktivität LM enthalten. Es erfolgt keine gesonderte Betrachtung.
Für die Abschätzungen zur Komponentenauslegung sei er vernachlässigbar klein.

3.2.2 Gegenspannungserzeugung
Bevor man sich Gedanken über die verschiedenen Möglichkeiten zur Erzeugung einer
variablen Spannung macht, sollten erst einmal die Anforderungen an die Spannungsquelle
klar sein:
1. Die Spannung muss variabel sein und einen entsprechenden Stellbereich besitzen

2. Die Dynamik muss ausreichend hoch sein um der eines realen Motors folgen zu
können.

3. Die Spannung sollte einen möglichst sinusförmigen Verlauf aufweisen (wenig


Oberwellen).

4. Die Spannungsquelle muss eine hohe Leistung aufnehmen/abgeben können, um


auch große Motoren emulieren zu können.
Es werden nun zunächst die folgenden verschiedenen Möglichkeiten zur Erzeugung
einer variablen Spannung betrachtet:

18
• Stelltransformator

• Generator

• Leistungshalbleiter als Linearregler

• Leistungshalbleiter als Schaltregler

Keine der genannten Möglichkeiten kann alle Anforderungen uneingeschränkt erfüllen.


Sowohl beim Stelltransformator als auch beim Generator ist eine hohe Dynamik nicht
erreichbar. Der Linearregler hingegen besitzt zwar eine hohe Dynamik, erzeugt jedoch
erhebliche Verluste bei höheren Leistungen. Der Schaltregler weist eine hohe Dynamik
aus, ist wesentlich energieeffizienter als der Linearregler, erzeugt ohne weitere Maßnahmen
allerdings keinen glatten Spannungsverlauf.

Referenzspannung modulierte Spannung gefilterte Spannung

Abbildung 15: Prinzip einer pulsweiten modulierten Endstufe mit LC-Filter

Dennoch scheint ein Schaltregler die geeignetste Methode zur Erzeugung der Motor-
EMK-Spannung zu sein. Zur Erzeugung einer variablen Spannung wird hierbei eine Gleich-
spannung mit hoher Frequenz in eine Rechteckspannung moduliert (siehe Abbildung 15).
Der Mittelwert dieser Rechteckspannung kann z.B. durch PWM (Pulsweiten-Modulation)
so verändert werden, dass er der gewünschten Ausgangsspannung entspricht. Um aus die-
sem Rechteck jedoch einen möglichst sauberen Verlauf der Ausgangsspannung zu gewin-
nen, muss ein entsprechendes Filter verwendet werden, welches die Rechteckspannung
glättet und gleichzeitig die Dynamik nicht zu stark einschränken sollte.

19
3.2.3 Aufbau des elektrischen Teilsystems

Prüfling Koppelnetzwerk Prüfumrichter

Abbildung 16: Leistungselektronischer Aufbau des Emulationssystems

Mit den Erkenntnissen aus Kapitel 3.2.1 und 3.2.2 wird für den Leistungteil des Emu-
lationssystems die in Abbildung 16 gezeigte Struktur gewählt. Sie besteht aus dem zu
testenden Umrichter (orange), dem Koppelnetzwerk (grün) und der Endstufe des Emu-
lationssystems (blau). Dabei repräsentiert die dreiphasige Induktivität LM die Ständer-
induktivität des zu emulierenden Motors. CF und LF wirken als Filter 2. Ordnung und
glätten die Ausgangsspannung der Endstufe.
Die Verbindung (rot) zwischen dem Sternpunkt und dem Zwischenkreis kann ggf. auch
entfallen. Voraussetzung dafür ist allerdings ein symmetrisches System. In der gezeigten
Konstellation bietet sich jedoch der Vorteil, dass für eine Regelung die einzelnen Phasen
unabhängig voneinander betrachtet werden können. Somit können auch unsymmetrische
Ströme kompensiert werden, was dem Prüfsystem eine größere Unabhängigkeit gegenüber
dem angeschlossenen Umrichter ermöglicht. Nachteil ist jedoch die erhöhte Spannungsbe-
lastung der Kondensatoren, welche allerdings nur unipolar erfolgt. Es muss jedoch auch
beachtet werden, dass sich, durch die galvanische Trennung der Zwischenkreise, die ma-
ximale Spannung gegenüber der Schutzerde erhöht. Diese entspricht im schlimmsten Fall
der Summe der beiden Zwischenkreisspannungen.

Diese Struktur dient nun als Grundlage zur Dimensionierung des LC-Filters und der
Auslegung einer geeigneten Spannungsregelung.

3.2.4 Das LC-Filter


Wie bereits erwähnt ist es notwendig die Ausgangsspannung des Schaltreglers zu glätten.
Gewöhnlich kommt hier ein LC-Tiefpassfilter 2. Ordnung zum Einsatz. Dieser weist ge-
genüber Filtern 1. Ordnung deutlich geringere Verluste auf, da die einzigen Verluste im
parasitären Widerstand der Induktivität entstehen. Im Gegenzug lässt er sich jedoch
schlechter regeln als ein Filter 1. Ordnung, da es sich um ein schlecht gedämpftes, schwin-
gungsfähiges System handelt.

Für die Auslegung des Filters sind zwei wesentliche Punkte von Interesse: die Dynamik
und die Impedanz.

20
3.2.4.1 Dynamik
Die Dynamik des Filters beschreibt die Geschwindigkeit, mit welcher die Ausgangsspan-
nung einer Änderung der Eingangsspannung folgt. Besitzt das Filter eine hohe Dynamik,
so kann es Änderungen des Eingangs schnell folgen. Besitzt es hingegen eine niedrige Dy-
namik reagiert es träge auf Eingangsänderungen. Dieses Verhalten wird über die Grenz-
frequenz fg des Filters beschrieben, die sich wie folgt berechnen lässt:

1
fg = √ (3.1)
2π LF CF
Alle Sinusschwingungen deutlich unterhalb dieser Frequenz passieren das Filter nahezu
ungedämpft. Alle Schwingungen deren Frequenz deutlich oberhalb von fg liegt werden
dagegen stark gedämpft. Das heißt, je höher die Ausgangsfrequenz des Schaltreglers über
der Grenzfrequenz des Filters liegt, desto glatter wird die Ausgangsspannung. Die Rest-
welligkeit nimmt ab. Auf der anderen Seite sollte die Grenzfrequenz nicht zu niedrig
gewählt werden, um die Dynamik der Ausgangsspannung nicht zu stark einzuschränken.
Geht man davon aus, dass die maximale Frequenz der Ausgangsspannung ein Sinus mit
einer Frequenz von 450Hz (maximale elektrische Frequenz der SH3 Motoren-Baureihe bei
Nenndrehzahl) ist und die IGBTs der Endstufe mit einer PWM-Frequenz von 20kHz (ma-
ximal zulässige Schaltfrequenz der IGBTs) geschaltet werden, so sollte die Grenzfrequenz
in einem Bereich von fg ≈ 1...4kHz liegen.

3.2.4.2 Impedanz
Die zweite wesentliche Eigenschaft des Filters ist dessen Impedanz. Ein Filter kann für
die gleiche Grenzfrequenz verschiedene Impedanzen aufweisen. Die Impedanz steigt mit
größer werdender Induktivität und kleiner werdender Kapazität. Besitzt das Filter eine
niedrige Impedanz, also eine hohe Kapazität und eine geringe Induktivität, so entsteht bei
einer hohen Strombelastung ein geringerer Spannungsverlust zwischen Ein- und Ausgang.
Allerdings steigt im Gegenzug der Strom durch den Kondensator an. Besitzt das Filter
eine hohe Impedanz, so entsteht ein höherer Spannungsabfall zwischen Ein- und Ausgang.
Damit sinkt zwar einerseits der maximal mögliche Ausgangsstrom, auf der anderen Sei-
te reduziert sich jedoch auch die Strombelastung des Kondensators. Deshalb sollte das
Verhältnis CLFF je nach Motortyp so gewählt werden, dass zum einen der maximale Motor-
strom vom Emulationssystem bereit gestellt werden kann und zum anderen die maximale
Strombelastbarkeit der Kondensatoren nicht überschritten wird.

3.2.5 Betrachtung als Gesamtsystem


Wie bereits zuvor erwähnt, seien die Widerstände der verwendeten Induktivitäten für die
nachfolgenden Betrachtungen vernachlässigbar klein.

21
Abbildung 17: Einphasige Betrachtung des Koppelnetzwerks

Betrachtet man nun die Leistungsseite als Gesamtsystem, sind bei der Filterauslegung
besonders zwei Aspekte von Interesse: die Rückwirkung der Spannungserzeugung auf den
vom zu testenden Umrichter gemessenen Strom (Restwelligkeit), sowie die Betriebsberei-
che des Motors, welche abgedeckt werden können.

3.2.5.1 Restwelligkeit
Mit Restwelligkeit ist jener Stromrippel gemeint, welcher durch die pulsweitenmodulierte
Endstufe entsteht und für den zu testenden Umrichter am Ausgang sichtbar (messbar)
bleibt. Dies ist eine wichtige Größe, da es bei hohen Rippelströmen zu signifikanten Fehl-
messungen seitens des zu testenden Umrichters kommen kann. Um diesen Einfluss des
Schaltreglers möglichst gering zu halten, muss die Grenzfrequenz des Filters klein genug
sein, um den Rippel möglichst auf den Bereich des Messrauschens zu reduzieren.

Zur Bestimmung der Restwelligkeit wird folgender Fall angenommen:


Auf Umrichterseite sei die Spannung konstant UM = 0V . Das Tastverhältnis der Span-
nungsquelle des Emulationssystems sei 50%, d.h. die mittlere Spannung U F ist ebenfalls
sehr klein bzw. U F ≈ 0V . Die Zwischenkreisspannung UZK sei konstant und das Sys-
tem sei eingeschwungen. Dieser Zustand wird durch des Ersatzschaltbild in Abbildung 18
beschrieben.

Duty 50%

V V

Abbildung 18: Vereinfachtes Ersatzschaltbild zur Abschätzung der Restwelligkeit

Aus der Simulation des Systems ergeben sich die folgenden Signalverläufe:

22
200
0
-200
4
0
-4
1
0
-1
4
0
-4
0 20 40 60
Abbildung 19: Simulationsergebnis:
Eingeschwungenes System aus Abbildung 18 mit den Parametern:
LF = 1mH, CF = 25µF , LM = 2mH, fP W M = 20kHz, UZK = 600V

Zum besseren Verständnis können die simulierten Verläufe aus Abbildung 19 aufgegrif-
fen werden, um daraus eine mathematische Beschreibung der maximalen Restwelligkeit
näherungsweise zu bestimmen. Betrachtet wird hierfür lediglich die erste Hälfte der Peri-
ode T . Somit gilt UF = UZK
2
.

Der Filterstrom iF berechnet sich gemäß


Z t
1
iF (t) = (uF (τ ) − uC (τ ))dτ + IF,0 , (3.2)
LF 0
wobei aus der Simulation die Relation uC << uF abgelesen werden kann und uc somit
vernachlässigbar ist. Der Anfangswert IF,0 = iF (0) entspricht auf grund der Symmetrie
im eingeschwungenen System −iF ( T2 ) und berechnet sich wie folgt:
Z T
T 1 UZK
2 1 UZK T
iF ( ) = −IF,0 ≈ dt + IF,0 = + IF,0 (3.3)
2 LF 0 2 LF 2 2
1 UZK T
IF,0 ≈ − (3.4)
LF 2 4
Damit kann iF durch
Z t
1 UZK 1 UZK T
iF (t) ≈ dτ + IF,0 = (t − ) (3.5)
LF 0 2 LF 2 4
ausgedrückt werden.
Der nächste Schritt besteht aus der Berechnung von UC . Aus Abbildung 18 liest man die
Beziehung Z t
1
uC (t) = (iF (τ ) + iM (τ ))dτ (3.6)
CF 0

23
ab, wobei der Nullpunkt so gewählt ist, dass der Anfangswert uC (0) verschwindet. Weiter-
hin zeigt das Simulationsergebnis in Abbildung 19, dass die Annahme iM << iF vorausge-
setzt und iM somit vernachlässigt werden kann. Damit gilt für die Kondensatorspannung:
Z t
1 UZK 1 t2 T
uC (t) ≈ iF (τ )dτ = ( − t) (3.7)
CF 0 2 CF LF 2 4
Ihr negativer Spitzenwert wird nach einer Viertelperiode erreicht und die Amplitude be-
trägt damit
Z T
1 4 UZK 1 T 2
ÛC ≈ | iF (t)dt| = (3.8)
CF 0 2 CF LF 32
Im letzten Schritt kann nun aus der Spannungsänderung am Kondensator noch die ei-
gentliche Restwelligkeit des Stroms mit
Z t Z t 2
1 UZK 1 τ T
− iM (t) = uC (τ )dτ + IM,0 ≈ ( − τ )dτ + IM,0 (3.9)
LM 0 2 CF LF LM 0 2 4
UZK 1 t3 T
− iM (t) ≈ ( − t2 ) + IM,0 (3.10)
2 CF LF LM 6 8
berechnet werden. Das negative Vorzeichen resultiert hierbei aus der umgekehrten Zähl-
richtung des Stroms (siehe Abb. 17).
Da auch hier eine viertel Periode zum Erreichen des Spitzenwerts benötigt wird, lässt sich
dieser wie folgt berechnen:
Z T
ˆ 1 4 UZK 1 T3 T3
IM ≈ | uC (t)dt| = | ( − )| (3.11)
LM 0 2 CF LF LM 6 · 43 8 · 42

UZK 1 UZK 1 1
IˆM ≈ T3 = 3
(3.12)
384 CF LF LM 384 CF LF LM fP W M

Diese theoretischen Näherungsformeln decken sich sehr gut mit den Ergebnissen der Si-
mulation (siehe Abb. 19). Wie aus (3.12) hervorgeht, besitzt somit die Schaltfrequenz
den stärksten Einfluss auf die Restwelligkeit. Sie geht in der dritten Potenz ein. Auch
über die Dynamik des Filters (LF CF ) kann sie beeinflusst werden. Ziel sollte es sein, den
Stromrippel so weit zu reduzieren, dass er das Testobjekt nicht beeinflusst und in der
Größenordnung des Messrauschens liegt.

3.2.5.2 Betriebsbereiche
Weitere wichtige Punkte neben der Dynamik des Filters sind die möglichen Betriebs-
bereiche des Emulationssystems. Aus elektrischer Sicht definiert sich ein Betriebspunkt
einer PSM durch die Polradspannung, welche proportional zur Drehzahl ansteigt, sowie
dem Wicklungsstrom. Reale Grenzen sind hier durch die maximal zulässige Drehzahl, was
auf eine maximale Polradspannung führt, sowie durch einen maximal zulässigen Strom,
der die Magnetisierung der Permanentmagnete nicht gefährdet, gesetzt. Beim virtuellen
Motor existiert hingegen das Problem, dass er aufgrund einer begrenzten Zwischenkreis-
spannung nicht zu jeder Polradspannung einen beliebigen Strom aufnehmen oder treiben
kann. Durch das LC-Filter wird somit der Betriebsbereich gegenüber dem realen Motor
eingeschränkt. Dieser Sachverhalt, soll nun zuerst unter der Annahme reiner Sinusgrößen
betrachtet werden, um eine grobe Abschätzung der Filter-Komponenten zu erhalten.

24
Betrachtung für ideale Sinusgrößen mit konstanter Frequenz
Die Problematik des LC-Filters soll an folgendem Zeigerdiagramm (Abbildung 20) ver-
deutlicht werden. Als Stellbegrenzung gilt die Länge des Spannungszeigers UF , welcher
vom Emulationssystem gestellt wird. Er kann als Effektivwertzeiger nicht länger als U2√
ZK
2
werden.

Abbildung 20: Zeigerdiagramm für id = 0

Zwei Betriebsarten müssen für die Abschätzung des maximal möglichen Stroms des
Emulationssystems unterschieden werden:

1. Nennbetrieb (Id = 0) statisch: Bei dieser Betriebsart wird der Strom IM vom
zu prüfenden Umrichter in Phase zur Polradspannung UC gehalten (entspricht dem in
Abbildung 20 gezeigten Fall). Mit dieser Annahme lässt sich nun der Betrag von UF
bestimmen. zunächst liest man aus Abbildung 20 die Beziehung

UF = jωLF IF + UC (3.13)

mit

IF = −IM + jωCF UC (3.14)

ab. Die Kondensatorspannung UC muss hierbei der Polradspannung entsprechen, d.h.

UC = kEM K ω . (3.15)

Daraus folgt

UF = kEM K ω(1 − ω 2 LF CF ) − jωLF IM . (3.16)

Für das Betragsquadrat der Spannung gilt somit

|UF |2 = kEM
2 2 2 2 2 2 2
K ω (1 − ω LF CF ) + ω LF IM (3.17)

25
U√
Setzt man dieses nun mit der maximal zur Verfügung stehenden Effektivspannung ZK
2 2
gleich, erhält man die Gleichung
2
UZK 2 2 2 2 2 2 2
= kEM K ω (1 − ω LF CF ) + ω LF IM . (3.18)
8
Daraus kann der maximale Effektivstrom berechnet werden:
r
2
1 UZK 2 2 2 2
|IM (ω)| = − kEM K ω (1 − ω LF CF ) (3.19)
ωLF 8
Dieser maximale Strom im Nennbetrieb sollte, mindestens bis zum Erreichen der Nenn-
drehzahl, größer als der maximal zulässige Motorstrom sein. Ab der Nenndrehzahl beginnt
in der Regel der Feldschwächbereich, so dass ein anderer Betriebsfall betrachtet werden
muss.

2. Max. Feldschwächung (iq = 0) statisch:

Abbildung 21: max.Feldschwächung

Wird der Strom IM um 90◦ voreilend zur Polradspannung (UC ) eingestellt (Strom rein
imaginär ⇒ maximale Feldschwächung), so subtrahieren sich IC und IM . Die Spannung
über LF eilt wiederum dem entstehenden Differenzstrom IF um 90◦ voraus. Für den Fall
IM > IC liegt diese in Phase mit UC und die Spannungen addieren sich zur größtmöglichen
Spannung UF . Für diesen “Worst-Case-Fall” soll nun der maximal erreichbare Strom IM
berechnet werden. Zunächst liest man aus Abbildung 20 und Abbildung 21

UF = jωLF IF + UC (3.20)

mit

IF = −j|IM | + jωCF UC (3.21)

26
ab. Die Kondensatorspannung UC muss hierbei der Polradspannung entsprechen, d.h.

UC = kEM K ω . (3.22)

Daraus folgt

UF = kEM K ω(1 − ω 2 LF CF ) + ωLF |IM | = |UF | . (3.23)

U√
Setzt man |UF | nun mit der maximal zur Verfügung stehenden Effektivspannung ZK
2 2
gleich, so ergibt sich die Beziehung

UZK
√ = kEM K ω(1 − ω 2 LF CF ) + ωLF |IM | (3.24)
2 2

und der maximale Effektivstrom berechnet sich schließlich zu

1 UZK
|IM (ω)| = ( √ − kEM K ω(1 − LF CF ω 2 )) . (3.25)
ωLF 2 2

Auch in diesem Fall ist es wünschenswert, dass der maximal mögliche Strom größer bleibt,
als der Spitzenstrom des Motors. Allerdings ist dies kein üblicher Betriebspunk, so dass
Einschränkungen nicht so stark ins Gewicht fallen.

Betrachtung für dynamische Größen im getakteten System


Im vorangegangenen Kapitel wurde das LC-Filter unter der Annahme betrachtet, dass
es sich bei allen Größen im System um reine Sinusgrößen konstanter Frequenz handelt.
Diese Betrachtung eignet sich für eine grundlegende Auslegung der Filter-Komponenten.
Da hier allerdings weder die dynamische Änderung der Drehzahl noch die Stromrippel der
getakteten Endstufen berücksichtigt werden, muss diese Betrachtung für das reale System
erweitert werden.
In diesem Abschnitt soll nun das dynamische Verhalten aufgegriffen werden, um die nötige
Zwischenkreisspannung festzulegen, welche für den dynamischen Betrieb notwendig ist.

Abbildung 22: Worst Case für die Kompensation eines dynamischen Stroms

27
Grundsätzlich muss für das ideale dynamische Verhalten gelten

dic (t) d2 uc (t) d2 (kEM K ω sin (φ(t)))


= CF = CF =
dt dt2 dt2
CF kEM K (α̇ sin(φ(t)) + 3αω cos(φ(t)) − ω 3 sin(φ(t))) , (3.26)
denn nur so kann der gewünschte Gegenspannungsverlauf sichergestellt werden. Da für
die spätere Betrachtung nur der Maximalwert dieser Ableitung relevant ist, lässt sich der
Ausdruck auch vereinfacht darstellen (Herleitung siehe Anhang 7.1).

dic (t)
≈ −CF kEM K ω 3 sin(φ(t)) (3.27)
dt
Aus der Forderung
dic diM diF
− − =0 (3.28)
dt dt dt
ergibt sich

diF dic diM


= − (3.29)
dt dt dt
Setzt man nun die Bauteilgleichungen der beiden Induktivitäten
diF 1 1
= (UF − UEM K ) = (UF − kEM K ω sin (ωt)) (3.30)
dt LF LF

und
diM 1 1
=− (UEM K − UM ) = − (kEM K ω sin (ωt) − UM ) (3.31)
dt LM LM
ein, ergibt sich folgende Formel:
1 1
(UF − kEM K ω sin (ωt)) = (kEM K ω sin (ωt) − UM ) − CF kEM K ω 3 sin(ωt) (3.32)
LF LM

Aus dieser Formel kann nun die erforderliche Stellspannung UF des Prüfumrichters be-
stimmt werden:
LF
UF − kEM K ω sin (ωt) = (kEM K ω sin (ωt) − UM ) − LF CF kEM K ω 3 sin(ωt) (3.33)
LM
LF
UF = (kEM K ω sin (ωt) − UM ) − LF CF kEM K ω 3 sin(ωt) + kEM K ω sin (ωt) (3.34)
LM
LF LF
UF = ( + 1 − LF CF ω 2 )kEM K ω sin (ωt) − UM (3.35)
LM LM
LF LF
UF = ( + 1 − LF CF ω 2 )uEM K (t) − UM (3.36)
LM LM
Diese Stellspannung ist notwendig, um bei einem vorgegebenen LC-Filter problemfrei
dynamisch arbeiten zu können.

28
Da die errechnete Spannung zeitabhängig ist, macht es für eine Auslegung Sinn, nur
den “Worst-Case-Fall” zu betrachten. Dieser tritt genau dann ein, wenn dem Prüfling
U
seine maximale Zwischenkreisspannung (UM = − ZK,M 2
) zur Verfügung steht und auch
die Gegenspannung ihren Maximalwert erreicht:
LF LF UZK,M
UF,max = | + 1 − LF CF ω 2 |ÛEM K,max + (3.37)
LM LM 2

UZK,F
Entsprechend muss wegen UF ≤ 2
für die Zwischenkreisspannung des Emulati-
onssystems die Beziehung
LF LF
UZK,F ≥ 2| + 1 − LF CF ω 2 |ÛEM K,max + UZK,M (3.38)
LM LM
gelten. Die so errechnete Zwischenkreisspannung des Prüfumrichters ist somit mindestens
erforderlich, um auch im Worst-Case-Fall eine durch den Prüfling verursachte Stromände-
rung vollständig kompensieren zu können.

3.2.6 Filterkonfigurationen
Mit den vorangegangenen Überlegungen soll nun die Dimensionierung des Filters be-
stimmt werden. Hierfür dienen die Motordaten aus Tabelle 14 (im Anhang) als Grundlage.
Aus diesen Daten lässt sich mit Hilfe der statischen Betrachtung (Gleichung 3.19 und 3.25)
die maximal zulässige Filterinduktivität LF für eine Standard-Zwischenkreisspannung von
560V berechnen (siehe Tabelle 15 im Anhang). Diese Werte dienen wiederum als Grund-
lage der in Tabelle 3 festlegten Induktivitäten.

Anschließend kann die dynamische Betrachtung verwendet werden, um die mindestens


benötigte Zwischenkreisspannung zu berechnen (Gleichung 3.38). Da die in Tabelle 3 ab-
geschätzten Induktivitäten über den statischen Maximalwerten aus Tabelle 15 liegen, muss
die Zwischenkreisspannung entsprechend größer 560V gewählt werden, um Einschränkun-
gen zu vermeiden (Ergebnisse siehe Tabelle 3).

Wie Tabelle 3 zeigt, wird eine Zwischenkreisspannung von 723.7V benötigt um bei den
gewählten Induktivitäten keine Einschränkungen in der Emulation zu erhalten. Berück-
sichtigt man noch eine gewisse Reserve, so zeigt sich eine Prüfumrichter- Zwischenkreiss-
pannung von 750V als gute Wahl.

Letztendlich lässt sich nun noch die resultierende Restwelligkeit berechnen, welche sich
aus der Zwischenkreisspannung, der Schaltfrequenz und den Induktivitäten ergibt (siehe
Gleichung 3.12).

29
Tabelle 3: Empfehlung für LF bei
CF = 25µF , UZK = 750V und fP W M = 16.66kHz

Iˆr
Motor LF IM −W K IM −n Iˆr In
UZK−W K
[mH] [ARM S ] [ARM S ] [mA] [%] [V ]
SH30551 0.5 75.62 161.85 0.9 0.2 500.1
SH30552 0.5 75.62 161.85 1.9 0.2 519.4
SH30553 0.5 72.30 159.01 2.7 0.2 547.6
SH30701 0.5 119.85 230.38 1.7 0.1 463.3
SH30702 0.5 112.78 225.26 3.6 0.1 517.8
SH30703 0.5 109.15 222.50 5.2 0.2 559.8
SH31001 0.5 96.88 199.19 3.8 0.1 538.0
SH31002 0.5 111.76 241.37 5.3 0.1 589.3
SH31003 0.5 111.76 241.37 7.7 0.2 636.6
SH31004 0.5 143.63 317.18 5.7 0.1 607.9
SH31401 0.5 123.82 261.30 4.3 0.1 559.6
SH31402 0.5 121.69 259.54 9.1 0.1 658.7
SH31403 0.25 219.18 497.95 27.0 0.3 641.0
SH31404 0.25 223.51 501.89 35.5 0.3 678.0
SH32051 0.25 223.51 501.89 23.3 0.2 617.2
SH32052 0.25 307.72 727.09 25.0 0.1 647.7
SH32053 0.25 259.26 676.73 32.1 0.2 723.7
LF : Filterinduktivität, IM −W K : Spitzenstrom Feldschwächbetrieb, IM −n : Spitzenstrom
ˆ
Nennbetrieb, Iˆr : maximaler Stromrippel, IInr : Stromrippel/Nennstrom,
UZK−W K notwendige Zwischenkreisspannung für dynamische Kompensation (vgl.
Gleichung 3.38)

Wie zu erkennen ist, kommt es selbst auch im statischen Worst-Case-Fall zu keiner


Einschränkung des Spitzenstroms. Auch die Restwelligkeiten liegen, im Bezug auf den
Nennstrom der Motoren, im Promille-Bereich. Dieser Wert könnte allerdings noch ver-
bessert werden, wenn man die PWM-Schaltfrequenz von 16.66kHz auf 20kHz erhöht.
Zu den Spitzenwerten in Tabelle 3 muss allerdings beachtet werden, dass sie nur für rein
sinusförmige Spannungen gelten. Im realen Betrieb müssen auch die Stromrippel durch
die PWM mit beachtet werden.

30
3.2.7 Energiefluss
Die Energieflussbetrachtung ist hier für den Fall dargestellt, dass der zu testende Um-
richter generatorisch arbeitet. Ein analoges Diagramm gilt jedoch auch in umgekehrter
Richtung.

Abbildung 23: Energieflussdiagramm

Betrachtet man das Energieflussdiagramm (Abbildung 23) des entstehenden Gesamt-


systems, gibt es drei wesentliche Bestandteile:

1. PM otor ist die vom zu testenden Umrichter bereitgestellte Leistung zum Betrieb des
Motors. Sie lässt sich im Nennbetrieb unter der Bedingung Id = 0 zu PM otor =
3 · UEM K · IM otor berechnen, wobei UEM K und IM otor als Effektiverte eines Stranges
zu verstehen sind.

2. PV erlust ist jener Leistungsanteil, welcher in Form von Abwärme in den Leitungswi-
derständen und den Leistungsschaltern verloren geht. Ziel des Designs sollte es sein,
diesen Anteil so gering wie möglich zu halten.

3. PEmulation ist schließlich die Leistung, welche vom Emulationssystem aufgenommen


werden muss.

Die vom Emulationssystem aufgenommene Leistung wird zunächst in den Kondensatoren


des Zwischenkreises gepuffert. Allerdings können diese nicht beliebig viel Energie spei-
chern, da ihre Spannung mit zunehmender Energie steigt:
s Z
2 t
UC (t) = p(τ )dτ + UC (0) (3.39)
C 0

Grenze ist hierbei die maximal zulässige Zwischenkreisspannung. Um nun ein Überladen
des Zwischenkreises zu verhindern, muss überschüssige Energie aus dem Zwischenkreis ab-
geführt werden. Eine (wenn auch sehr ineffiziente Möglichkeit) wäre es, die überschüssige
Energie mittels eines Bremswiderstands in Wärme umzuwandeln. Besser wäre es, die
Energie in einem Kreislauf zurück an den speisenden Zwischenkreis zu überführen.

31
Allerdings bilden sich bei einer galvanischen Verbindung zwischen den beiden Zwi-
schenkreisen unerwünschte Ausgleichsströme aus und die Bedingung des Nullsystems in
den Motorphasen
iU + iV + iW = 0 (3.40)
ist nicht mehr automatisch gegeben. Deshalb muss die Energie potentialfrei zurückgeführt
werden.
Hierfür eignet sich z.B. ein rückspeisefähiges Netzteil welches über einen Trenntrafo mit
dem Netz verbunden ist. Ein solcher Aufbau ist schematisch in Abbildung 24 dargestellt.
Stromnetz

Gleichrichter Zwischenkreis Umrichter LCL-Netzwerk

AC
DC
Trenntrafo Wechselrichter Zwischenkreis Umrichter
Abbildung 24: Leistungskreis mit Prüfling (orange) und emuliertem Motor (grün)

3.2.7.1 Bidirektionale Zwischenkreisversorgung


Wie bereits zuvor erwähnt, ist es wünschenswert, den Zwischenkreis des Emulationssys-
tems mit Hilfe eines bidirektionalen Netzteils zu versorgen, um sowohl Leistung aufnehmen
als auch abgeben zu können. Zur Auslegung des Netzteils und des Trenntrafos sollte

Nenn-/Spitzenleistung Emulationssystem ≥ Nenn-/Spitzenleistung Netzteil

gelten. Voraussetzung hierfür ist jedoch eine möglichst konstante Leistungsabgabe oder
ein entsprechender Zwischenpuffer.

Die Eigenheit eines symmetrischen Drehstromsystems ist, dass die Summe der Leis-
tungen in den einzelnen Strängen, eine zeitlich konstante Leistung P ergibt. Das bedeutet
für den Nennbetrieb (Strangspannung UEM K und Strangstrom IM otor liegen in Phase zu-
einander), dass das Zwischenkreisnetzteil die Leistung

p(t) = 3 · IM otor · UEM K = P (3.41)

abführen muss. Ein Zwischenpuffer, zum Ausgleich von Schwankungen, wird aufgrund der
zeitlich konstanten Leistung theoretisch nicht benötigt.
Nimmt man jedoch für eine unsymmetrische Belastung den Worst-Case an (einphasiger
Nennbetrieb), ergibt sich daraus eine pulsierende Leistung, welche gepuffert werden muss.
Die folgenden Rechnungen dienen der Bestimmung einer geeigneten Zwischenkreiskapa-
zität.

32
Zunächst soll die auftretende Leistungsschwankung ∆ p(t) berechnet werden, welche in
den Kondensatoren des Zwischenkreises gepuffert werden muss.
Hierbei wird davon ausgegangen, dass die im Mittel zugeführte Leistung (P ) über das
Zwischenkreisnetzteil des Emulationssystems abgeführt wird.

Im Allgemeinen berechnet sich die Leistung wie folgt:

p(t) = iM otor (t) · uEM K (t) , (3.42)

Als Grundvoraussetzung gilt nun (wie oben gefordert), dass der Strangstrom iM otor (t)
und die Strang-Gegenspannung uEM K (t) in Phase zueinander liegen (Nennbetrieb) und
sinusförmig sind. Aus

p(t) = ÛEM K sin(ωt) · IˆM otor sin(ωt) (3.43)

mit
√ √
ÛEM K · IˆM otor = 2IM otor · 2UEM K = 2P (3.44)

folgt also

p(t) = 2P sin2 (ωt) . (3.45)

Berücksichtigt man nun noch die Rückspeisung der mittleren Leistung P , ergibt sich eine
Leistungsschwankung von

∆ p(t) = 2P (sin2 (ωt) − 0.5) , (3.46)

Aus dieser Leistungsschwankung kann nun die Änderung des Energiegehalts in den
Zwischenkreiskondensatoren berechnet werden.
Der allgemeine Zusammenhang zwischen Energie und Leistung lautet
Z
E(t) = p(t)dt + E(0) , (3.47)

damit ergibt sich in unserem speziellen Fall


Z
E(t) = 2P (sin2 (ωt) − 0.5)dt + E(0) (3.48)

t sin(2ωt) t P sin(2ωt)
E(t) = 2P ( − − ) + E(0) = − + E(0) . (3.49)
2 4ω 2 ω 2
Relevant für die Auslegung ist jedoch die Energieschwankung (∆ E = Emax − Emin ) da
diese wiederum eine Änderung der Zwischenkreisspannung UZK verursacht.
Hierzu muss zuerst das Mini- und Maximum der Energie bestimmt werden. Diese liegen
auf den Nullstellen der Ableitung der Energie
dE(t)
= 0 = 2P sin2 (ωt) − 0.5 . (3.50)
dt
33
π π
Daraus ergibt sich tE−max = − 4ω und tE−min = 4ω .
Nun kann daraus die maximale Energieänderung im Kondensator

P sin(− π2 ) P sin( π2 )
∆ E = Emax − Emin = − + E(0) − (− + E(0)) (3.51)
ω 2 ω 2
P sin( π2 ) sin( π2 )
∆ E = ( + ) + E(0) − E(0) (3.52)
ω 2 2
P
∆E = (3.53)
ω
berechnet werden.

Nimmt man den allgemeinen Zusammenhang der Kondensatorspannung UC , der Kon-


densatorkapazität C und der gespeicherten Energie E
2
UC2 = ·E (3.54)
C

oder in unserem seziellen Fall

2 2
UZK = · EZK , (3.55)
C
kann aus der Energieänderung ∆ E auch die Änderung der Zwischenkreisspannung UZK
berechnet werden. Hierfür werden die mittlere Zwischenkreisspannung ŪZK und die mitt-
lere Zwischenkreisenergie ĒZK benötigt.

2 2
ŪZK = · ĒZK (3.56)
C
2
(ŪZK +∆ U )2 = · (ĒZK + ∆ E) (3.57)
C
2
(ŪZK +∆ U )2 = ŪZK2
+ ∆E (3.58)
C
2 2
∆ U + 2ŪZK ·∆ U − ∆E = 0 (3.59)
r C
2 2
∆U = ŪZK + ·∆ E − ŪZK (3.60)
C
Zur Auslegung der Kondensatoren kann Gleichung (3.60) nun entsprechend umge-
U
stellt und der Faktor γ = Ū∆ZK als prozentuale Abweichung der Zwischenkreisspannung
eingeführt werden:
r
2 2
γ ŪZK = ŪZK + ·∆ E − ŪZK (3.61)
C
2 ∆E 1
C= 2 · 2 (3.62)
ŪZK γ + 2γ

Da die Kondensatoren ausreichen dimensioniert werden sollen, um sie auch im Worst-


Case-Fall genügend Puffer zu bieten, muss nun noch die maximal auftretende Ener-
gieänderung ∆ Emax bestimmt werden. Betrachtet man Gleichung (3.53), so hängt die

34
Energieänderung von der Mittleren Leistung P̄ und der elektrischen Winkelgeschwindig-
keit ω ab.
Die Mittlere Leistung eines einphasigen Motors kann unten den oben getroffenen Voraus-
setzungen mit
P = IM otor · UEM K = IM otor · kEM K ω (3.63)
beschrieben werden. Setzt man dies nun in Gleichung (3.53) ein

∆E = IM otor · kEM K , (3.64)

ist die Energieänderung nur von Maschinenparametern abhängig. Die maximale Energie-
schwankung ∆ Emax tritt als folglich bei maximalem Motorstrom IM otor−max auf.

∆ Emax = IM otor−max · kEM K (3.65)

Es ist somit möglich, den Zwischenkreiskondensator so zu wählen, dass er zur jeweiligen


Maschine und deren maximal zulässigen Strangstrom IM otor−max passt. Um den Konden-
sator zu berechnen setzt man Gleichung (3.65) in die Kondensator-Gleichung (3.62) ein:

2IM otor−max kEM K 1


C= 2 · 2 . (3.66)
UZK γ + 2γ
Unter der Annahme die Zwischenkreisspannung betrage UZK = 560V , es werde der
größte Motor emuliert(Imax = 136A, kEM K = 0.189 VRM rad
S
) und die maximal zulässige
Spannungsschwankung betrage 1% (γ = 0.01), ist mindestens eine Zwischenkreiskapa-
zität von C = 8.2mF notwendig. Diese Annahme wird auch vom Ergebnis der Simulation
in Abbildung 25 bestätigt. Der Simulationsaufbau enthält eine IGBT Endstufe mit PWM
und einen Zwischenkreis mit konstanter Leistungsrückspeisung. Moduliert wird dabei die
Gegenspannung eines SH3205 dritter Baulänge. Der eingeprägte Strom ist in Phase mit
der Gegenspannung und entspricht dem Spitzenstrom von 136ARM S

35
20

15
p(t) [kW]
10

30
25
20
E [Ws]

15

10
5
0
6
5
4
UZK [V]

3

2
1
0
0 0.001 0.002 0.003 0.004 0.004 0.005 0.006
t [s]

Abbildung 25: Simulationsergebnis(halbe Periode): für einphasige Belastung mit


P = 10kW , Irms = 136A, kEM K = 0.189 VRM
rad
S
, ω = 388 rad
s
, UZK = 560V und
CZK = 8.2mF

36
3.2.8 Spannungsregelung
Da sich, auf aufgrund des LC-Filters die gewünschte EMK Spannung nicht mehr direkt
einstellen lässt und diese zusätzlich noch vom Strom IM abhängt, wird ein Regler not-
wendig um die gewünschte Spannung UC einzustellen. Dieser Regler hat dabei folgende
Aufgaben:

1. Die Spannung UC so einzuregeln, dass sie möglichst zu jedem Zeitpunkt der gewünsch-
ten EMK Gegenspannung entspricht.

2. Den Einfluss des Strom IM auf die Gegenspannung zu kompensieren.

3. Das Schwingungsverhalten des LC-Filters möglichst gut zu dämpfen.

4. Das System trotz vorhandener Totzeiten zu stabilisieren.

Definitionen:

Abbildung 26: Aufbau des LCL-Filter

Im nachfolgenden Kapitel gelten die folgenden Definitionen:

• Führungsgröße: w ≡ UEM K , entspricht der Gegenspannung aus dem Motormodell

• Regelgröße: y ≡ UC , entspricht der erzeugten Gegenspannung

• Stellgröße: u ≡ UF , entspricht der mittleren PWM Spannung der Endstufe

• Störgröße: z ≡ IM , entspricht dem vom zu testenden Umrichter eingespeisten Strom

• Zustandsgrößen: x1 = IF , x2 = UC (energietragende Größen im System)

3.2.8.1 Modellbildung
Strecke:
Beim LC-Filter handelt es sich aufgrund der beiden Energiespeicher um ein schwin-
gungsfähiges System 2.Ordnung. Daraus lässt sich unter Berücksichtigung des Verlust-
widerstands (RF ) der Induktivität (LF ) folgende Differentialgleichung aufstellen:
1
ÿ = (−RF CF ẏ − y + u + LF ż + RF z) (3.67)
LF CF

37
Nach der Transformation in den Bildbereich ergeben sich daraus die folgenden Übertra-
gungsfunktionen:

Steckenübertragungsfunktion:
y(s) 1
GS (s) = = 2
(3.68)
u(s) LF CF s + RF CF s + 1
Störübertragungsfunktion:
y(s) LF s + RF
GZ (s) = = (3.69)
z(s) LF CF s2 + RF CF s + 1
Auch in Form einer Zustandraumbeschreibung
ẋ = A · x + B · u + E · z (3.70)
T
y =C ·x+D·u (3.71)
lässt sich das LC-Filter darstellen:
   RF
− L1F x1
   1   
ẋ1 − LF L
0
= 1 + F u+ 1 z (3.72)
ẋ2 CF
0 x2 0 CF
 
  x1  
y= 0 1 + 0 u (3.73)
x2
Dabei sind die Zustandsgrößen wie folgt gewählt:
x1 = IF = CF U˙C − IM = CF ẏ − z (3.74)
x2 = U C = y (3.75)
Aus der Streckenübertragungsfunktion q(3.68) lässt sich nun die Eigenkreisfrequenz
ω0 = √RF CF sowie die Dämpfung D = 2 RF CLFF bestimmen.
1 1

Nimmt man nun die in Tabelle 3 vorgeschlagenen Werte für den LC-Filter, mit CF = 25µF
und LF = 0.5mH an, ergibt sich eine Eigenkreisfrequenz des Systems von ω0 = 8944 rad s
(f0 = 1.42kHz).
Kommt nun noch ein typischer Verlustwiderstand von RF ≈ 30mΩ hinzu, so besitzt das
System eine Dämpfung von D = 3.4 · 10−3 .
Bode:oLC−FilteroRF =o30mΩqoCF =o25µFqoLF =o0y5mH
Magnitudeomabs,

2
10

0
10
X:o1y007eH04
Y:o0y02041
−2
10

0
Phaseomdeg,

−45

−90

−135

−180
2 3 4
10 10 10
Frequencyo mHz,

Abbildung 27: Bodediagramm des RLC-Filters

38
Step(Response:(LC−Filter(R =(30mΩ,(C =(25µF,(L =(0.5mH
F F F
2

1.8

1.6

Amplitude 1.4

1.2

0.8

0.6

0.4

0.2

0
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16 0.18
Time((seconds)

Abbildung 28: Sprungantwort des RLC-Filters

Wie sich aus den Abbildungen 27 und 28 bereits gut erkennen lässt, ist vor allem die
geringe Dämpfung des Systems sehr ungünstig, da es zu Überschwingern von bis zu 100%
kommt.

Stellglied:
Bei der Modellierung des idealen Stellglieds ist im Wesentlichen nur zu berücksichtigen,
dass durch die PWM eine Totzeit von bis zu einer Periode TD ≈ fP W1 M entsteht.
Beim realen Stellglied kommen jedoch noch weitere Effekte hinzu, welche in Kapitel 3.2.8.5
genauer besprochen werden.

3.2.8.2 Regler Struktur/Auslegung


Zielvorgaben für die Reglerauslegung:

• volle Dynamik für Sollwerte bis 400 Hz

• Dämpfung der schwingungsfähigen Pole erhöhen


1
• Stabilität auch bei einer Totzeit von TD = fP W M
= 60µs sicherstellen

• Algorithmus ist diskret rechenbar in FPGA und dSPACE

• Phasenverschiebung möglichst gering halten

Kombination der Regelkreisstrukturen


Um diese Zielvorgaben bestmöglich zu erfüllen, kommt eine Kombination der in Kapitel
2.5.1 besprochenen Regler-Strukturen zum Einsatz:

39
Abbildung 29: Kombination aus Vorsteuerung (orange), Störgrößenaufschaltung (rot),
Zustandsregler (blau) und I-Regler (türkis);
grün: Regelstrecke

Vorteile dieser Kombination sind ein nahezu unabhängig einstellbares Führungsverhalten


mittels Vorsteuerung, eine teilweise Kompensation der Störgröße durch gezielte Störgrößen-
aufschaltung sowie die Beseitigung bleibender Regelabweichungen dank Integral-Anteil.

Vorsteuerung / Führungsverhalten:
Bei der hier verwendeten Vorsteuerung handelt es sich um eine dynamische modellgestütz-
te Vorsteuerung. Das gewünschte Führungsverhalten lässt sich über die Matrizen M u , M x
und R einstellen. Zur Auslegung wird zunächst eine ideale Steuerung berechnet, welche
y = w zu allen Zeiten sicherstellt (unter Vernachlässigung von Störungen und Modellun-
sicherheiten). Mit y = w lassen sich für das System die folgenden Gleichungen aufstellen:

u = LF CF ẅ + RF CF ẇ + w (3.76)
x1 = CF ẇ , x2 = w (3.77)

Wären folglich auch die erste und die zeite Ableiitung der Führungsgröße zu allen Zeiten
bekannt, so könnte man eine ideale Steuerung in Matrixschreibweise wie folgt realisieren:
 
  w
uw = M u · w = 1 CF RF LF CF ẇ (3.78)

 
  w
0 CF 0  
xw = M x · w = ẇ (3.79)
1 0 0

40
Die Stellgröße als auch die Zustandsgrößen lassen sich somit einwandfrei vorausbe-
rechnen, sofern die Führungsgröße und deren ersten beiden Ableitungen bekannt sind. In
einem idealen System wäre daher kein Regler notwendig.
Da in der Praxis nur selten alle Ableitungen bekannt sind, beschränkt sich die Vorsteue-
rung häufig nur auf den statischen Anteil.
Betrachtet man jedoch im vorliegenden Fall die Gegenspannung als Führungsgröße des
Emulationssystems und deren Ableitungen

w = −kEM K ω sin(φe (t)) (3.80)


ẇ = −kEM K (α sin(φe (t)) + ω 2 cos(φe (t))) (3.81)
ẅ = −kEM K (α̇ sin(φe (t)) + 3αω cos(φe (t)) − ω 3 sin(φe (t))) , (3.82)

so sind die Größen kEM K (Spannungskonstante), ω(el. Winkelgeschwindigkeit) und die


el. Winkelbeschleunigung α als Größen im Motor-/Mechanikmodell verfügbar. Lediglich
die Berechnung der Änderung der el. Winkelbeschleunigung α̇ stellt ein Problem dar, da
α ∼ IM und somit α̇ ∼ IM ˙ , d.h. α̇ entspricht der Ableitung einer Messgröße.

Um die Vorsteuerung für den Fall, dass α und/oder α̇ nicht bekannt sind, weiter zu
verbessern, kommt nun noch der modellgestützte Teil hinzu.
Die Entwurfsmethodik des Modell Zustandsreglers unterscheidet sich nicht von der des
eigentlichen Zustandsreglers, weshalb die Auslegung von R dort mit behandelt wird (siehe
Kapitel 3.2.8.2).

Abbildung 30 zeigt die Simulationsergebnisse für verschiedene Vorsteuer-Varianten:


(a) Als Sollwert wurde die EMK Spannung (Usoll = kEM K ω sin(ωt)) mit der Spannungs-
konstanten kEM K = 0.1 VRM
rad
S
und
s

rad
α̇ = 107 sin(10 · 2π t)[ ] − 100α (3.83)
s3
also
Z
rad
α= 107 sin(10 · 2π t)[ ] dt − 100ω (3.84)
s3
vorgegeben, um mit einem möglichst dynamischen Signal zu testen.
(b)Bei statischer Vorsteuerung ergibt sich ohne Modell-Regler eine geringere Regelab-
weichung als mit Modell-Regler. Dies liegt allerdings daran, dass sich hier vor allem die
Phasendrehung des Reglers bemerkbar macht. Die Strecke hingegen verursacht lediglich
eine Verstärkung der Amplitude.
(c)Durch zusätzliche Vorsteuerung mit der ersten Ableitung der Sollgröße in Verbindung
mit einem Modell-Regler kann die Regelabweichung bereits auf rund 10% des Sollwerts
reduziert werden.
(d) Bezieht man nun alle im System bekannten Größen gemäß den Formel (3.80), (3.81)
und (3.82) (mit α̇ = 0) ein, reduziert sich der Fehler auf den Promillebereich. Hier ist gut
zu erkennen, dass der Modell-Regler, zumindest für das hier simulierte Testsignal, keinen
gravierenden Vorteil mehr erbringt. Dennoch wird er bei der späteren Implementierung
mit integriert. Zum einen lassen sich Stellsignalbegrenzungen über den Modell-Regler
größtenteils abfangen. Zum anderen könnte die Wirkung für andere Führungsverläufe

41
dienlicher sein. Außerdem ist genügend Rechenleistung im Emulationssystem vorhanden.
(e) Idealfall, wenn auch α̇ bekannt ist.

Sollwert6[V]
400
6a)
a)

−400
Regelabweichung,6bei6statischer6Aufschaltung6[V]
100
b)
ohne6Regler
0 mit6Regler

−100 6
Regelabweichung,6mit6erster6Ableitung6[V]
50
c)
6c)

−50
Regelabweichung,6mit6zweiter6Ableitung,6ohne
6 6 66[V]
0.01
6d)
d)

−0.01
x610
−6 Regelabweichung,6mit6zweiter6Ableitung,6vollständig6[V]
2
6e)
e)

−2 6
0 0.02 0.04 0.06 0.08 0.1 0.12
t[s]
Abbildung 30: Vergleich von verschiedenen Vorsteuervarianten;
Die Reglereinstellungen können Tabelle 4 auf Seite 48 entnommen werden.

42
Störgrößenaufschaltung:
Besitzt das System eine messbare Störgröße, so kann man dem Einfluss dieser Störung
durch geeignete Aufschaltung entgegen wirken. Der Ansatz ist ähnlich dem der Vorsteue-
rung. Als Grundforderung für die ideale Aufschaltung gilt: y = w = 0 und x = xZ für alle
Störgrößenverläufe z. Damit ergeben sich die folgenden Systemgleichungen:

u = −LF ż − RF z (3.85)
x1 = −z (3.86)
x2 = 0 (3.87)

Eine ideale Störgrößenaufschaltung lautet somit in Matrixschreibweise


 
  z
uz = N u · z = −RF −LF (3.88)

  
−1 0 z
xz = N x · z = (3.89)
0 0 ż

Auch hier gilt: Eine volle dynamische Kompensation ist nicht möglich, da es sich bei
z = IM um eine Messgröße handelt, deren Ableitung nicht bekannt ist. Allerdings ist eine
Kompensation des statischen Anteils problemlos möglich.

Die oben gezeigte Annahme deckt sich auch mit den in [7] auf Seite 369 vorgestellten
Berechnungen, zur statischen Kompensation:
   −1  
N xS A B E
=− (3.90)
N uS C 0 0
 RF −1   
− LF − L1F L1F

  0 −1
N xS
= −  C1F 0 0   C1F  =  0  (3.91)
N uS
0 1 0 0 −RF

Vergleicht man nun die Störunterdrückung eines Regelkreises mit verschiedenen Stör-
größenaufschaltungen (siehe Abbildung 31), so lässt sich bereits durch eine statische Auf-
schaltung eine erhebliche Verbesserung des Regelverhaltens erzielen. Es zeigt sich auch,
dass bei der Störunterdrückung ein Regler mit zusätzlichem I-Anteil deutlich besser wirkt
als ein reiner P-Regler.

43
StörgrößeA[A]
Aa)
a)
10

−10

Regelabweichung,AohneAAufschaltungA[V]A
b)
100 PARegler
PIARegler
0

−100

Regelabweichung,AmitAstatischerAAufschaltungA[V]
50
Ac)
c)

−50
Regelabweichung,AmitAdynamischerAAufschaltungA[V]
d)
Ad)
0.2
0.1
0
−0.1
−0.2

0 0.02 0.04 0.06 0.08 0.1 0.12


t[s]

Abbildung 31: Vergleich verschiedener Störgrößenaufschaltungen;


Die Reglereinstellungen können Tabelle 4 auf Seite 48 entnommen werden.

Streckenerweiterung um I-Anteil:
Erweitert man den Zustandsregler um einen Integrator, so erhöht sich die Anzahl der
Zustandsgrößen um 1.
Damit wird in diesem Fall die neue Zustandsgröße x3 eingeführt. Da am Eingang des
Integrierers die negative zurückgeführte Ausgangsgröße anliegt, gilt für w = 0:
x˙3 = −y (3.92)
Setzt man nun Gleichung (3.73) ein, ergibt sich
 
  x1  
x˙3 = − 0 1 − 0 u (3.93)
x2
Somit gilt für das erweiterte System:
   RF
− LF − L1F
   1   
ẋ1 0 x1 LF
0
ẋ2  =  1
CF
0 0   x2 + 0 u + C1F  z
    (3.94)
ẋ3 0 −1 0 x3 0 0

44
Für dieses erweiterte System kann nun ein Zustandsregler entworfen werden. Der
zusätzliche Integrator ermöglicht es nun, auch bleibende Regelabweichungen zuverlässig
auszugleichen. Wie in der späteren Auslegung des Reglers (siehe Tabelle 4) zu erkennen
ist, fällt die Rückführung der Kondensatorspannung vergleichsweise gering aus, was wie-
derum ohne I-Anteil eine sehr hohe Regelabweichung bei äußeren Störungen zur Folge hat.
Dies ist ein weiterer wichtiger Grund zum Einsatz eines PI-Zustandsreglers. Zieht man
den Vergleich zwischen beiden Reglern (Abbildung 32), wird die Notwendigkeit nochmals
besonders deutlich.

Stör−SprungantwortdohnedAufschaltung
14
PdRegler
12 PIdRegler

10

−2

Stör-SprungantwortdmitdstatischerdAufschaltung
4

−1

−2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
−3
Timed(seconds) xd10

Abbildung 32: Vergleich der Sprungantwort auf den Einheitssprung der Störgröße z
(0A → 1A) mit und ohne zusätzlichen Integrator sowie jeweils mit und ohne
Störgrößenaufschaltung.

Analogie zum PID Regler:


Bei dem vorhandenen System lässt sich aus der Tatsache, dass die Zustandsgröße x1 der
Ableitung der Ausgangsgröße und die Zustandsgröße x2 der Ausgangsgröße entspricht,
eine Analogie zum PID-Regler ziehen. Dabei entspricht die Rückführung von x1 dem D-
Anteil, die Rückführung von x2 dem P-Anteil und die Rückführung des Integrieres dem
I-Anteil.

45
Nimmt man den Rückführvektor
“D − Anteil00
   
r1
R = r2  =  “P − Anteil00  (3.95)
r3 −“I − Anteil00

und setzt dessen Komponenten in die Übertragungsfunktion des PID-Reglers ein, so erhält
man
1
GR (s) = r2 − r3 + r1 s . (3.96)
s
In Kombination mit der Streckenübertragungsfunktion (3.68) ergibt sich die Übertra-
gungsfunktion des geschlossenen Regelkreises zu
r1 CF s2 + r2 s − r3
Gyw = (3.97)
LF CF s3 + (RF + r1 )CF s2 + (r2 + 1)s − r3

Dieser Zusammenhang ermöglicht es, beispielsweise den Zustandsregler nach Standard-


Entwurfsverfahren für PID-Regler zu entwerfen. Auch die aus dem Zustandsraum be-
kannte Polvorgabe ist möglich. Wird der Nenner durch die Lage der Pole p1 , p2 und p3
vorgegeben, d.h.
(s − p1 )(s − p2 )(s − p3 ) = s3 + (−p1 − p2 − p3 )s2 + (p1 p2 + p1 p3 + p2 p3 )s + p1 p2 p3 (3.98)
gefordert, können durch Koeffizientenvergleich die einzelnen Rückführfaktoren bestimmt
werden:
r1 = (−p1 − p2 − p3 )LF − RF (3.99)
r2 = (p1 p2 + p1 p3 + p2 p3 )LF CF − 1 (3.100)
r3 = (p1 p2 p3 )LF CF (3.101)

Zustandsregler:
Eine typische Entwurfsmethodik bei Zustandsreglern ist der Entwurf mittels Polvorgabe.
Diese soll auch hier verwendet werden. Betrachtet man den geschlossenen Regelkreis
ẋ = A · x + B · (u − K P I · x) (3.102)
ẋ = (A − B K P I ) · x + B · u (3.103)
so ergibt sich die Systemmatrix des geschlossenen Regelkreises:
ARK = A − B K P I (3.104)
Diese Matrix legt die Eigenschaften des Regelkreises fest. Durch entsprechende Wahl von
K P I kann die Lage der Pole beeinflusst werden.
Zur Berechnung von K P I dient der MATLAB-Befehl place(A,B,p), wobei p ein Spalten-
vektor ist, welcher die gewünschten Regelkreis-Pole enthält.
Für den PI-Zustandsregler muss der berechnete Rückführvektor anschließend in Zustands-
regler und Integralanteil zerlegt werden:
 
K
KP I = (3.105)
kI

46
Alternativ können beim vorliegenden System die Rückführ-Koeffizienten auch, wie zuvor
beschrieben (Gleichung (3.100) – (3.101)), durch die Analogie zum PID-Regler hergeleitet
werden:

k1 = (−p1 − p2 − p3 )LF − RF (3.106)


k2 = (p1 p2 + p1 p3 + p2 p3 )LF CF − 1 (3.107)
kI = (p1 p2 p3 )LF CF (3.108)

Bei der Wahl der Pole muss beachtet werden, dass der Zustandsregler langsam genug
ist, um auch mit den Totzeiten der Strecke und den Messverzögerungen genügend Stabi-
litätsreserve zu besitzen; aber auch schnell genug, um die Phasendrehung möglichst gering
zu halten. Als gute Wahl erweist sich, p1 und p2 als konjungiert-komplexes Polpaar mit
1
|p1 | = |p2 | = 5000
s

und

|<{p1 }| = |<{p2 }| = |={p1 }| = |={p2 }|


5000 1
= √
2 s
zu wählen. Betrachtet man als Analogie ein PT2 -Glied,
√ so entspräche dies einer Bandbreite
rad 1
von ω0 = 5000 s und einer Dämpfung von D = 2 2. Beim Pol p3 , der am direktesten
auf den Integral-Anteil wirkt, ist die etwas dynamischere Festlegung

p3 = −15000

günstig.
Da beim Modell-Regler weder Störungen noch Modellunsicherheiten zu berücksichtigen
sind, kann hier für die Berechnung von R die schnellere konjungiert-komplexe Polvorgabe

|p1 | = |p2 | = 15000


1 1
|<{p1 }| = |<{p2 }| = √ |={p1 }| = √ |={p2 }|
3 3

getroffen werden. Diese gewählten Vorgaben und die resultierenden Rückführvektoren


sind in Tabelle 4 zusammen gefasst.

47
Pol Lage Dämpfung Grenzfrequenz
[ 1s ] D ω0 [ rad
s
]
P1 −3535 + j3535 0.707 5000
P2 = P1* −3535 − j3535 0.707 5000
P3 -15000 – –
 
Zustandsregler: K = 10.94 0.64 , kI = −4688
P1 −7500 + j12990 0.5 15000
P2 = P1* −7500 − j12990 0.5 15000
 
Modellregler: R = 7.4 1.8125

Tabelle 4: Grundauslegung der Regler

Für die Ergebnisse in Tabelle 4 wurden die folgenden Streckenparameter angenommen:

CF = 25µF, LF = 500µH, RF = 100mΩ

3.2.8.3 Aufteilung FPGA/dSPACE:

Abbildung 33: Vergleich zwischen FPGA und dSPACE

Bei der Umsetzung des Reglers an der realen Hardware sind zwei wesentliche Faktoren
entscheidend: zum einen die Geschwindigkeit und zum anderen die Genauigkeit, mit der
gerechnet werden kann.
Vergleicht man die zur Verfügung stehenden Systeme, bietet das dSPACE System eine
sehr hohe Genauigkeit mit 64bit-Fließkommazahlen. Ein weiterer Vorteil liegt in der Pro-
grammierung mit Simulink, welche dank Blockschaltbildern deutlich komfortabler ist als
eine textbasierte Programmierung. Der Nachteil des Systems liegt allerdings in der ver-
gleichsweise hohen Zykluszeit von ca. 20µs.
Das FPGA hingegen bietet sehr schnelle Rechenzeiten bei vergleichsweise hohem Pro-
grammieraufwand.
Auf Grund der genannten Vor- und Nachteile, bietet sich bei der Umsetzung eine Auftei-
lung der Regelung an. Da sich das dSPACE-System besser eignet, um komplexe Regler-
architekturen umzusetzen, soll der Großteil des Reglers dort gerechnet werden. Da jedoch
die Stromrückführung einen erheblichen Teil zur Dämpfung der Eigenschwingung beiträgt

48
und auch die Störgrößenaufschaltung beinhaltet, ist es sinnvoll, diese möglichst schnell zu
rechnen. So kann schneller auf den aktuellen Strom reagiert werden.
Damit ergibt sich folgende Aufteilung der Reglerimplementierung:

dSPACE FPGA

Sollwert- Modellgestützte Strom-


Vorhersage berechnung Vorsteuernug rück-
Tv +Ableitung führung
+Stör-
Spannungs- größen-
rückführung auf-
+Integrator schaltung

Abbildung 34: Regler-Aufteilung zwischen FPGA und dSPACE


zur Sollwertberechnung + Ableitung siehe “Vorsteuerung / Führungsverhalten” in
Kapitel 3.2.8.2

3.2.8.4 Timing

a.)

b.)

c.)

d.)

Abbildung 35: Laufzeitbetrachtung der Mess- und Regelgrößen im realen System unter
Berücksichtigung der Regleraufteilung in dSPACE und FPGA

49
Abbildung 35 zeigt den zeitlichen Verlauf der Regelung von der Erfassung der Messwerte
bis zur tatsächlichen Spannung am Kondensator.

a.) Für den Fall, dass der Regler nur aus einer idealen Vorsteuerung besteht, ergibt
sich der folgende zeitliche Ablauf:
Zum Zeitpunkt t0 wir der Strom IM erfasst. Von der Erfassung durch den AD-Wandler bis
zur Übermittlung an das FPGA vergeht die Zeit tmess . Der nachgeschaltete Messwertfilter
erzeugt eine weitere Verzögerung tF IR . Nun steht der gefilterte Messwert bereit, um daraus
im entsprechenden Motormodell den neuen Sollwert sowie die Vorsteuerung zu berechnen.
Für diese Berechnung wird die Zeit tdSP ACE benötigt. Bis nun der vorgesteuerte Wert am
Filterkondensator ankommt, vergeht noch die Verarbeitungszeit des FPGA (tF P GA ) und
die Verzögerung, welche durch die PWM entsteht (tP W M ). Der Spannungswert, welcher
zu dem zum Zeitpunt t0 gemessenen Strom gehört, kommt um die Zeit

∆t = tmess + tF IR + tdSP ACE + tF P GA + tP W M (3.109)

zu spät am Kondensator an.

b.) Um diesen Effekt auszugleichen, ist es notwendig, den Sollwert entsprechend um


die Zeit ∆ tpred vorherzusagen. Dies ist bei der vorliegenden Sollwerterzeugung besonders
einfach. Geht man davon aus, dass IM und damit auch die Winkelbeschleunigung α in
kurzen Zeitabständen nahezu konstant sind, ergibt sich:

Uref −pred (t) = Uref (t +∆ tpred ) = ke−ω ω(t +∆ tpred ) sin(φe (t +∆ tpred )) (3.110)

mit

ω(t +∆ tpred ) = α ·∆ tpred + ω(t) (3.111)


1
φ(t +∆ tpred ) = α ∆ t2pred + ω(t)∆ tpred + φe (t) (3.112)
2
Damit ist es möglich, die zeitliche Verzögerung der Verarbeitungskette näherungsweise
auszugleichen und sicherzustellen, dass die für den Zeitpunkt t0 vorausberechnete Span-
nung auch zum Zeitpunkt t0 am Kondensator anliegt.

c.) Betrachte man nun neben der Vorsteuerung auch den Regler, so muss hier beachtet
werden, dass beim Soll-Ist-Vergleich der Zustandsgrößen keine Phasenverschiebung auf-
tritt, also beide Signale gleichzeitig anliegen. Die Problematik besteht nun darin, dass die
in der Vorsteuerung berechneten Referenzwerte, durch die Vorhersage, vor den eigentli-
chen Messwerten zur Verfügung stehen. Da die realen Messwerte erst zum Zeitpunkt t0
erfasst werden können. Deshalb entsteht eine zeitliche Differenz zwischen dem Vorherge-
sagten Sollwert (b.)) und dem später gemessenen Istwert (c.), d.)). Diese Differenz muss
ausgeglichen werden, indem die Sollwerte für den Soll-Ist-Vergleich entsprechend verzögert
werden. Für die Spannungsregelung, welche im dSPACE gerechnet wird, bedeutet das eine
Zeitdifferenz von ∆ tU ref zwischen der vorhergesagten Vorsteuerung und dem gemessenen
Ist-Wert. Der Sollwert der vorhergesagten Vorsteuerung muss also um ∆ tU ref verzögert
werden,um eine Phasenverschiebung zu vermeiden.

∆ tU ref =∆ tpred + (tmess−U + tF IR−U ) − (tmess−IM + tF IR−IM ) (3.113)

50
d.) Ähnlich verhält es sich bei der Stromrückführung. Da diese jedoch im FPGA
gerechnet wird, unterscheidet sich die Zeitdifferenz von der der Spannungsregelung. Da
die Strommessung um die Zeit tdSP ACE aktueller ist.

∆ tIref =∆ tpred + (tmess−IF + tF IR−IF ) − (tmess−IM + tF IR−IM ) − tdSP ACE (3.114)

Es ist also notwendig, die aus der Vorsteuerung berechneten Zustandsgrößen um die
entsprechenden Zeiten zu verzögern, um Differenzen in der Phasenlage zu vermeiden.

3.2.8.5 Verzerrung des Stellsignals durch der IGBT-Nichtlinearitäten


Zu Beginn des Kapitels wurde die Annahme getroffen, es handle sich bei der Endstufe
um ein ideales Stellglied. Da es in der Realität leider erhebliche Abweichungen von dieser
idealen Annahme gibt, soll hier noch einmal auf die Problematik der IGBT-Totzeiten und
weitere Nichtlinearitäten eingegangen werden. Da eine ausführliche Betrachtung an die-
ser Stelle den Rahmen sprengen würde, soll hier nur kurz auf die Thematik eingegangen
werden. Eine ausführliche Beschreibung sowie eine Möglichkeit zur Kompensation findet
sich z.B. in [8].

Die grundlegende Problematik bei einer PWM-gesteuerten Endstufe ist, dass zwischen
dem Ausschalten eines Transistors und dem Einschalten des komplementären Transistors
eine gewisse Zeit gewartet werden muss, um einen Brückenschluss zu vermeiden. Diese
Wartezeit wird auch Totzeit genannt.
Da während dieser Zeit keiner der beiden Transistoren leitet, wird der Strom nun durch
eine der Freilaufdioden aufrecht erhalten. Bei einem positiven Ausgangsstrom leitet die
untere Diode, bei einem negativen Ausgangsstrom die obere. Dies wirkt sich ähnlich aus,
als würde während dieser Totzeit der entsprechende Transistor leiten. Bei einem null-
symmetrischen Strom stellt dies kein Problem dar, da während einer Totzeit die untere
und während der anderen Totzeit die obere Diode leitet. Es tritt somit keine Veränderung
des Pulsmusters ein.
Sollte der Strom allerdings währenden der gesamten PWM-Periode die gleiche Polarität
aufweisen, leitet immer dieselbe Diode. Somit ist einer der beiden Transistoren virtuell
länger eingeschaltet als gewollt. Betrachtet man die mittlere PWM Spannung
1 UZK UZK
Ū = ( t1 − t2 ) (3.115)
TP W M 2 2

mit t1 = Einschaltzeit des High–Side–IGBT und t2 = Einschaltzeit des Low–Side–IGBT.


Berücksichtigt man den Einfluss der Totzeiten, ergibt sich die resultierende Spannung zu

1 UZK UZK ttot


Ūtot = ( (t1 + ttot ) − (t2 − ttot )) = Ū + UZK (3.116)
TP W M 2 2 TP W M

Die resultierende Spannungsabweichung breträgt somit

ttot
∆U = Ū − Ūtot = −UZK sign(I) . (3.117)
TP W M

51
20

15
a.) b.)
U [V] = UOut − UPWM | I [A]

10

−5
c.) d.)
−10

−15

−20
−10 −5 0 5 10
− IM [A]

Abbildung 36: Messergebnis der IGBT-Nichtlinearität zwischen gewünschter und


gemessener Ausgangsspannung in Abhängigkeit des Ausgangsstroms am LC-Filter;
gemessen (rot), ideal (grün);
Ausgangs-Stromrippel-Band (blau);
Band des Stroms während der Totzeit (hellblau)

Abbildung 36 zeigt die Messung der Nichtlinearität im realen System. Dabei wird die
Spannungsdifferenz zwischen der idealen PWM Spannung und der tatsächlichen Spannung
am Ausgang des LC-Filters betrachtet. Der Strom, welcher auf der x-Achse aufgetragen
ist, stellt hierbei den mittleren Laststrom am LC-Filter dar. Der Ausgangsstrom des IGBT
selbst schwingt symmetrisch um diesen Laststrom (innerhalb der blau gestrichelten Lini-
en).
Bis zum Zeitpunkt a.) ist der Strom immer negativ. Daraus folgt die konstante Abwei-
chung gemäß Formel 3.117. Gleiches gilt mit umgekehrter Strompolarität ab dem Zeit-
punkt d.).
Zwischen b.) und c.) hingegen schwingt der Strom um die x-Achse mit wechselnder
Polarität; hier beträgt die Spannungsabweichung ca. 0V.
Die Bereiche a.)–b.) und c.)–d.) sind die Übergangsbereiche, in denen der Strom während
der Totzeit bereits zu Null wird. Es fließt zeitweise kein Strom und somit leiten auch keine
Dioden. Es entsteht ein nahezu linearer Übergang.

Kompensation: Um diesen unschönen Effekt auszugleichen, muss nun in Abhängig-


keit des Ausgangsstroms eine entsprechende Korrekturspannung aufgeschaltet werden.
Hierzu könnte entweder die inverse der Messung (rot) in Form einer Look–Up–Table
(LUT) verwendet werden oder die idealisierte Kennlinie (grün). Kritisch wird es jedoch,
wenn sich die Kompensation durch Parameterabweichungen verschiebt, denn dann kann
es zu einer erheblichen Überkompensation kommen. Aus diesem Grund ist in der momen-
tanen Implementierung noch keine Kompensation vorgesehen.

52
3.3 Motor-/ Mechanikmodell

Drehmomentmodell Mechanikmodell
J_mech

0.01
U
d id
V
M p 1 1
W
s s
q iq p1
phi_elecg[rad] alpha omega phi
p
transformg Drehmomenten
Fr
p UVW->d/q1 bildung
Reibung

EMK-Erzeugung 0 kEMK
U d
U_d=0 kEMK

V q

Product
W phi_elecg[rad] p

transformg p2
d/q->UVW

Abbildung 37: Aufbau der modellierten Synchronmaschine

Beim Motor- /Mechanikmodell handelt es sich um den Kern der Software der Emulati-
onssystems. Hier wird aus den Messwerten in Verbindung mit allen wesentlichen Maschi-
nenparametern das Verhalten des Emulationssystems bestimmt. Hierbei lässt sich noch
einmal eine Unterteilung treffen:
Bei der Modellierung des Drehmoments und der Berechnung der EMK-Spannung handelt
es sich um Blöcke, welche sich für die einzelnen Maschinen nicht unterscheiden. Lediglich
die Maschinenparameter (Ld , Lq , R, kEM K , p, Jrotor ) müssen aktualisiert werden.
Das Mechanikmodell hingegen ist der Bereich des Emulationssystems, in dem das Ver-
halten der Last beliebig beschrieben werden kann. Hier wird mittels des berechneten
Drehmoments die Rotorlage sowie die Drehgeschwindigkeit ermittelt.
Deshalb ist es sinnvoll, das Modell später so aufzubauen, dass eine Trennung zwischen
der Maschine und der Last existiert, so kann für verschiedene Kinematiken einfach nur
der mechanische Teil des Systems angepasst werden.

3.4 Geber-Emulation
3.4.1 Interpolation
Zur Emulation des Gebers muss in erster Linie das SinCos-Positionssignal nachgebildet
werden, d.h. es muss ein Sinus- und ein Cosinus-Signal erzeugt werden, welches im Fall
eines zu simulierenden Gebers vom Typ SKS36 die 128–fache Frequenz der Motordreh-
U
zahl aufweist. Bei einer maximalen Drehzahl von 8000 min muss also eine Frequenz von
17kHz erzeugt werden. Dies entspricht wiederum einer Periodendauer von gerade einmal

53
58.8µs. Geht man nun davon aus, dass die Berechnung eines neuen Werts im dSPACE
System bereits 20µs in Anspruch nimmt, würde der Sinus gerade einmal mit 3 Stützstellen
dargestellt.
1
ideal
dSPACE
0.5
interpoliert
Amplitude

−0.5

−1
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
φ/ 2 π
Abbildung 38: Vergleich der verschiedenen Sinus-Approximationen

Da diese zeitliche Auflösung zu gering ist, muss zwischen den einzelnen Aktualisie-
rungen aus dem dSPACE interpoliert werden. Abbildung 38 verdeutlicht den Unterschied
zwischen der Approximation mit dSPACE Werten und der Interpolation mit 850kHz was
bei einer Sinusfrequenz von 17kHz noch 50 Stützstellen pro Periode bedeutet.

3.4.2 Timing
Auch bei der Berechnung der Gebersignale kommt es zu einer zeitlichen Verzögerung. Sie
besteht aus der Zeit, die benötigt wird, den aktuellen Strom zu messen, das Modell zu
berechnen und das passende SinCos-Signal auszugeben.

Abbildung 39: Laufzeitdiagramm, von der Strommessung bis zur Ausgabe des
zugehörigen Gebersignals

Deshalb muss während der Berechnung bereits eine Vorhersage des zukünftigen Wer-
tes, mit ∆ tpred erfolgen, um eine Phasenverschiebung zu vermeiden.
∆ tpred = tmess + tF IR + tdSP ACE + tF P GA (3.118)
Das Vorgehen ist hier analog zur Vorhersage der Regler-Vorsteuerung (vgl. Kapitel 3.2.8.4
auf Seite 49).

54
4 Realisierung

4.1 Übersicht über die Systemkomponenten


In diesem Kapitel wird nun genauer auf die Umsetzung des Umrichter-Prüfsystems ein-
gegangen. Dabei soll vor allem die Systemstruktur und die verwendete Hardware genauer
betrachtet werden.
Wie in Abbildung 40 gezeigt lässt sich das System hierbei in drei wesentliche Ebenen
unterteilen:

• Bedienebene

• Steuerung/Regelung

• Leistungsteil

Bei der Bedienebene handelt es sich um Anwendungssoftware, welche es ermöglicht, so-


wohl das Verhalten des Prüfsystems als auch des zu prüfenden Systems zu beeinflussen.
Hier lassen sich wichtige Parameter einstellen oder auch das gesamte Motor- /Mechanik-
modell ändern.
Die Steuerung/Regelung sorgt dafür, dass die eingestellten Parameter eingehalten werden
und das gewünschte Verhalten sichergestellt wird.
Im Leistungsteil wird die Verbindung zum zu prüfenden System hergestellt.

Die nachfolgenden Kapitel sind so angeordnet, dass der Laufindex des Kapitels im-
mer der in Abbildung 40 zugeordneten Nummer entspricht. Ausnahme sind die Ziffern
10, 11 und 12 welche zu einem Kapitel zusammengefasst sind. Einige schon vorhandene
Komponenten sind in [9] beschrieben.

55
Systemübersicht:

DUT Virtueller Motor

12 1 2

Bedienebene
11 3

Stererung/Regelung
5

10 4

7 6

Leistungsteil
9 8
AC
DC

Abbildung 40: Systemübersicht Umrichter-Prüfsystem

1. Simulink: 6. SKiiP-2-IGBT-Endstufe:
Echtzeitprogramm-Generierung, Motor-/ Leistungsschalter: 200A, 1200V;
Mechanikmodell Schaltfrequenz: 16kHz

2. dSPACE ControlDesk: 7. LCL-Filter:


Bedienung und Überwachung des Sys- Nachbildung der Ständerinduktivität,
tems, Parametrierung des Modells im Reduktion von Stromrippeln
Betrieb
8. Messwerterfassung:
3. dSPACE-System: Messen und Aufbereiten der Zustands-
Modellberechnung in Echtzeit größen im Koppelnetzwerk
(Zykluszeit 20µs)
9. Netzteil/Rückspeisung:
4. FPGA-Board: DC-Versorgung der Endstufe
Hardwarenahe Steuerung/Regelung
10. Device Under Test:
5. Drehgeber-Emulation: Zu prüfendes Umrichtersystem; hier:
Positionsfeedback, ein System von Schneider Electric mit
elektronisches Motortypenschild Anwendersoftware, Motion Control-
ler und dem Servoregler

56
4.1.1 Simulink
Bei Simulink [10] handelt es sich um ein Programm aus dem Hause Mathworks, das es
erlaubt, Simulationsmodelle mit Hilfe von Blockschaltbildern zu erstellen. Des Weiteren
bietet es die Möglichkeit, aus diesen Blockschaltbildern Programme für Echtzeitrechner,
wie z.B. dem hier verwendeten dSPACE-System, zu generieren. Damit übernimmt das
Simulink-Modell als Echtzeitprogramm eine entscheidende Rolle im Prüfsystem, denn es
beinhaltet zum einen das Modell des Motors und zum anderen einen wesentlichen Teil der
Regelung.

Host4Service4e3 DS1401BASE RTI4Data ECU


4
slow_Signals Interrupt Setup

Data4Capture DS1401BASE_HWINT ECU_SETUP

functionv# Display_Bus_in

Error_Status Control_Bus_out Control_BUS_in FPGA_Data_slow FPGA_in Error_out Error_Status

Display_Data_FPGA
Model_control Rate4Transition Rate4Transition2
Virtual_Motor Error_Status

Abbildung 41: Simulink-Programm mit zyklischer Abarbeitung (weiß) und


interrupt-gesteuerter Modellberechnung (rot)

Das Programm unterteilt sich dabei in zwei Tasks:


1. Die zyklische Abarbeitung der normalen Ein-/Ausgabe zum Bereitstellen der Daten
auf der Bedienebene. Hier ist weder eine hohe Geschwindigkeit notwendig, noch müssen
strenge Echtzeitanforderungen eingehalten werden. Deshalb kann hier auch eine lange Zy-
kluszeit von einer Millisekunde gewählt werden.

2. Eine Interrupttask, welche zyklisch im Abstand von 20µs vom FPGA aufgerufen wird.
Die Methode der Interruptsteuerung bietet gleich zwei Vorteile: Sie stellt eine Synchroni-
sation zwischen den Systemen sicher und ermöglicht es dem FPGA, die Zykluszeit fest-
zulegen.

Host(Service(v4
f<)
fast_Signals
function
Data(Capture
1
FPGA_Data_slow

FPGA_Data
FPGA_Data_Out FPGA_Data_in

Motor_Data_out Model_Data Regulator_Data_out Regulator_Data_in

FPGA->(Dspace Reset
Reset
Model_Data_in

Motor_Model Regulator
Control_BUS_in
1
<Model_Reset>
Control_BUS_in Dspace(->(FPGA

Abbildung 42: Simulink-Interrupttask: FPGA-Datenaustausch(grau), Motor- und


Mechanikberechnung(rot), Reglerberechnung (blau).

Die Interrupttask beinhaltet alle Aufgaben mit hohem Echtzeitanspruch, die in re-
gelmäßigen Abständen neu berechnet werden müssen (Abbildung 42). Die erste Aufga-
be besteht darin, die aktuellen Messwerte vom FPGA zu laden. Mit diesen Messwerten
können im Anschluss das Motormodell und der Regler berechnet werden. Am Ende des

57
Zyklus werden die neu berechneten Daten an das FPGA zurück gegeben.

Das gesamte Programm ist modular aufgebaut und ermöglicht es, dass einzelne Funk-
tionsgruppen durch einfaches Austauschen eines Blocks geändert werden können. Dies
ist vor allem für das Mechanikmodell notwendig, denn es ermöglicht eine sehr einfache
Simulation verschiedene Mechaniken. Legt man hierfür beispielsweise eine Bibliothek an,
können die entsprechenden Blöcke einfach gegeneinander ausgetauscht werden.

4.1.2 dSPACE ControlDesk


Das Programm ControlDesk 5.0 [11] von dSPACE dient als Bediensoftware für den Echt-
zeitrechner MicroAutoBox. Mit ihm kann das zuvor in Simulink erstellte Programm auf
die Echtzeithardware geladen werden.
ControlDesk bietet desweiteren die Möglichkeit, graphische Benutzeroberflächen (GUI)
zu erstellen, um Messwerte zu visualisieren und die Parameter eines Modells zur Laufzeit
direkt zu ändern. So kann im laufenden Betrieb ein Modell beliebig neu parametriert wer-
den. Das macht Tests mit dem Prüfsystem deutlich einfacher, schneller und effizienter.
Wird beispielsweise bei der Modellerstellung darauf geachtet, verschiedene Lastfälle ein-
zubauen, welche über Schalter aktiviert werden können, so kann das gesamte Verhalten
innerhalb weniger Sekunden komplett verändert werden, ohne das Echtzeitprogramm neu
laden zu müssen.

Neben der Bedienung bietet ControlDesk auch die Möglichkeit, Daten aufzuzeichnen
und auszuwerten. Diese Funktion ist vor allem dann hilfreich, wenn man mehrere Mes-
sungen mit unterschiedlichen Parametern vergleichen möchte.

4.1.3 dSPACE-System
Der Echtzeitrechner bildet das Herzstück der Modellberechnung im Prüfsystem. In Echt-
zeit werden hier das eigentliche Motor-/ Mechanikmodell sowie ein Großteil des Reglers ge-
rechnet. Für diesen Zweck kommt ein Prozessor der IBM PowerPC-Familie (Performance
Optimization With Enhanced RISC Performance Chip) zum Einsatz. Die Anbindung zum
Bedien-PC, auf dem die ControlDesk Software läuft, erfolgt über eine Gigabit-Ethernet-
Verbindung. [12]
Der Datenaustausch mit dem FPGA-Board erfolgt über das DS551-ECU-Interface-POD
[13]. Bei diesem Plug-on-Device handelt es sich um eine Zusatzplatine, auf der sich ein
sog. D̈ual Ported RAM befindet.̈ Also ein flüchtiger Speicher, auf den aus zwei unabhängi-
gen Quellen zugegriffen werden kann. Er dient als Puffer zwischen dem FPGA und dem
dSPACE Echtzeitrechner. Das FPGA kann alle relevanten Daten über eine parallele
Schnittstelle auf den Speicher schreiben oder davon lesen, während das dSPACE-System
unabhängig davon über den zweiten Port ebenfalls schreiben und lesen kann. Allerdings
erfolgt die Kommunikation seitens des dSPACE-Systems nur über eine serielle LVDS (Low
Voltage Differential Signal) Schnittstelle, was die Kommunikation deutlich verlangsamt
(ca. 1µs pro Lese- /Sreibvorgang eines 16bit Werts). Deshalb sollte das Datenaufkommen
der Schnittstelle möglichst gering gehalten werden.

58
4.1.4 FPGA-Board mit I/O-Erweiterung
Auf der hardwarenahen Ebene dient das FPGA Controllboard, bestückt mit einem Xilinx
Spartan 3A FPGA, zur Steuerung und Regelung. Hier wird die Schnittstelle zwischen der
Hardware und der Modellberechnung auf dem dSPACE-System geschaffen. Die wesentli-
chen Aufgaben des FPGA sind dabei die Auswertung und Aufbereitung der Messsignale
aus den AD-Wandlern, die PWM Generierung der Endstufe, sowie die Erzeugung der
Encodersignale für das Positionsfeedbacksystem. Aber auch die elementaren Sicherheits-
funktionen wie Überstromabschaltung, Überwachung des zu testenden Umrichters, etc.
werden hier realisiert. Eine genauere Beschreibung der Funktionen erfolgt in Kapitel 4.2.3.

I/O-Erweiterung:
Zur Umsetzung einiger sicherheitsrelevanter Funktionen ist es notwendig, digitale Ein- und
Ausgänge zur Verfügung zu stellen, welche zum Anschluss externer Geräte dienen. Hierfür
kommt eine I/O-Platine als Erweiterung des FPGA-Boards zum Einsatz [14]. Sie stellt je
zwei galvanisch getrennte Ein- und Ausgänge zur Verfügung. Die Spannungsbereiche sind
hierbei an die in der Industrie weit verbreiteten 24V-SPS-Pegel angepasst.
Mit Hilfe dieser I/O-Platine kann nun sowohl der Bereitschaftsstatus des DUT abgefragt,
als auch die Freigabe der Endstufe des DUT erfolgen.
Auch für die Steuerung einer externen Zwischenkreisversorgung sind die digitalen Ein-
und Ausgänge notwendig, um im Fehlerfall einen sicheren Zustand herbei zu führen und
z.B. eine Überladung des Zwischenkreises bei Ausfall der Rückspeisung zu vermeiden.

4.1.5 Drehgeber Emulation

FPGA
SPI D SIN

A COS

AD5663 Tiefpass Pegel- Offset Differenz-


anpassung signal

RS485
SKx36 FPGA RS485

Encoder SN65HVD12 SN65HVD10


Abbildung 43: Emulation des SinCos-Signals und Umsetzung des Parameterkanals der
Hiperface-Schnittstelle

Zur Emulation des Drehgebers muss die Hiperface Schnittstelle von Sick/Stegmann (2.3.3)
nachgebildet werden. Diese besteht aus einem RS-485-Kommunikationskanal in halfdu-
plex Konfiguration zum Austausch von Prozessdaten wie dem Motortypenschild und aus
der SinCos-Schnittstelle, welche die aktuelle Rotorlage ausgibt.
Da die Implementierung des Kommunikationsprotokolls für die RS-485-Schnittstelle ist
sehr aufwändig und kann im Rahmen dieser Arbeit nicht durchgeführt werden. Stattdes-
sen wird ein Standard-Geber verwendet, welcher die Kommunikation übernimmt. Dieser
wird am FPGA-Board angeschlossen, so dass das FPGA alle Typenschilddaten direkt an

59
den Umrichter weiterleiten kann. Zu einem späteren Zeitpunkt können ggf. weitere Funk-
tionalitäten implementiert werden, um diese Daten gezielt zu beeinflussen.
Damit beschränkt sich die Emulation des Drehgebers lediglich auf die Generierung einer
Sinus- und einer Cosinus-Spur. Hierfür kommt ein schneller zweikanaliger Digital-Analog-
Wandler (AD5663) mit serieller SPI-Schnittstelle zum Einsatz. Dieser wird im Abstand
von 2µs mit neuen Positionswerten vom FPGA versorgt. Dabei erfolgt die Ausgabe bei-
der Kanäle immer synchron zueinander. Im Anschluss an den AD-Wandler folgt noch
eine Pegelanpassung sowie die Offsetgenerierung. Die Sinus- und Cosinus-Signale wer-
den schließlich noch in ein differenzielles Signal umgewandelt und an den zu testenden
Umrichter übertragen.

4.1.6 SKiiP 2 IGBT Endstufe


Die IGBT Endstufe dient als leistungselektronisches Stellglied mit dessen Hilfe die Gleich-
spannung im Zwischenkreis entsprechend umgeformt werden kann, um die gewünschte
Ausgangsspannung zu erhalten. Zum Einsatz kommt hier das SKiiP 342GD120-3DUL
Modul der Firma SEMIKRON. Es beinhaltet neben den eigentlichen Leistungsschaltern
auch die Gatetreiber sowie einige integrierte Schutzfunktionen.
Das Modul ist für Zwischenkreisspannungen von bis zu 900V Volt ausgelegt, kann Ströme
bis zu 200 A schalten und erlaubt Schaltfrequenzen bis 20 kHz. [15]

4.1.7 LCL-Filter
Das LCL-Filter dient als Koppelnetzwerk zwischen den Umrichtern und sorgt für eine
Reduktion der gegenseitigen Beeinflussung. Die Auslegung des Netzwerks wurde bereits
in Kapitel 3.2.6 behandelt.
Als Filterkondensatoren kommen Folienkondensatoren aus dem Hause WIMA mit einer
Kapazität von 25µF und einer Spannungsfestigkeit von 1200V zum Einsatz. Diese bieten
eine hohe Strombelastbarkeit und eine deutlich höhere Stromanstiegsgeschwindigkeit als
vergleichbare Elektrolyt Kondensatoren.
Bei den Induktivitäten handelt es sich um Spezialanfertigungen der Firma Schmidbauer
Transformatoren und Gerätebau GmbH. Diese sind mit mehreren Abgriffen ausgestattet,
um die Induktivitätswerte flexibel auswählen zu können.
Technische Details der Komponenten des LCL-Filters sind in Tabelle 5 aufgeführt (siehe
auch [16] und [17]).

Filterinduktivität: Motorinduktivität: Fiterkondensator:


L = 0.1, 0.3, 0.5mH L = 2.5, 3.7, 4.4, 5.9, 7.8mH C = 25µF
550V 30A@ 0...400Hz 3 x 550V 8, 9A@ 0...400Hz Û = 1200VDC
Sättigungsstrom > 100A

Tabelle 5: Daten der LCL-Filter Komponenten

4.1.8 Messwerterfassung
Zur Regelung des Emulationssystems werden die folgenden Messgrößen benötigt:

• 3x Filterstrom

60
• 3x Kondensatorspannung

• 3x Motorphasenstrom

• 1x Zwischenkreisspannung

Die Anzahl der Messgrößen könnte zwar durch den Einsatz eines Beobachters sowie unter
der Voraussetzung eines Nullsystems reduziert werden. Beim vorliegenden Prototypen
werden jedoch alle genannten relevanten Größen gemessen.

4.1.8.1 Strommessung

LA200
I
U
A FPGA
LA200
I D
U AD9224

Strom- Shunt Tiefpass Pegel- Mux


wandler anpassung

Abbildung 44: Übersicht der Strommessung

Das FPGA-Board verfügt über 4 Analog-Digital-Wandler vom Typ AD9224. Diese 12bit-
Parallelwandler sind primär zur Strommessung gedacht und erlauben Samplingraten von
bis zu 40MHz. Da allerdings mehr als 4 Messkanäle benötigt werden, kommen spezielle
Multiplexer-Platinen zum Einsatz, welche das sequenzielle Abtasten von zwei Kanälen
pro Wandler erlauben [18]. Allerdings reduziert sich hierdurch die mögliche Abtastrate
auf 10MHz.
Zur Erfassung des Stroms kommen LEM LA200p Stromwandler zum Einsatz. Sie erlau-
ben einen variablen Messereich von 50...100...200 ARM S (je nach Anzahl der Windungen,
siehe Anhang 7.3). Diese Wandler arbeiten nach dem Prinzip der Flusskompensation. Sie
erzeugen einen sekundären Ausgangsstrom der 1/2000 des Messstroms entspricht.
Dieser Strom wird anschließend auf der Multiplexerplatine in eine Spannung umgewan-
delt, gefiltert und an den Messbereich angepasst.

4.1.8.2 Spannungsmessung

A RS485
FPGA
D
Pegel- AD7401 ADM3485 ADM3485
anpassung

Abbildung 45: Übersicht der Spannungsmessung

61
Im Gegensatz zur Strommessung erfolgt die Spannungsmessung mittels externer AD-
Wandler, welche ihre Daten seriell zum FPGA übertragen. Dazu wird die Messspannung
mittels Spannungsteiler und Operationsverstärker an den Eingangsbereich des Wandlers
angepasst. Der Wandler selbst ist ein Sigma-Delta-Wandler, welcher die gemessene Span-
nung in einen Bitstream moduliert. Dieser Bitstream wird als differentielles Signal an das
FPGA übertragen und anschließend mit Hilfe eines Sinc3 -Filters ausgewertet. [19]

4.1.9 Netzteil/Rückspeisung
Als Zwischenkreispuffer kommt eine Kondensatorbank von SEMIKRON zum Einsatz:
SKBC 3m3-45-3-12. Als Rückspeisung wird eine spannungsgeregelte Gleichstrommaschi-
ne verwendet, welche im Labor für Leistungselektronik der FHWS zur Verfügung steht.
Für den späteren Einsatz ist es jedoch notwendig, ein entsprechendes Netzteil zu finden.
Hierfür kommt beispielsweise ein aktives Netzteil aus dem Sortiment von Bosch-Rexroth
in Frage. Alternativ ist auch eine passive Einspeisung denkbar, sofern sichergestellt ist,
dass überschüssige Energie in einem ausreichend groß dimensionierten Bremsshunt ver-
nichtet werden kann.
In jedem Fall müssen die Einspeisungen des Emulationssystems und des zu testenden
Umrichters potentialgetrennt sein, um mögliche Gleichtaktströme zu vermeiden.

4.1.10 Device Under Test


Unter der Device-Under-Test-Gruppe versteht sich das gesamte zu testende Automati-
sierungssystem. Beispielsweise im betrachteten Fall ein System von Schneider Electric,
bestehend aus einem Laptop mit der Automatisierungssoftware SoMachine Motion, ei-
nem Logic Motion Controller vom Typ LMC 201 sowie einem Lexium 52 Servoregler.
Allerdings lässt sich dieses Automatisierungssystem auch beliebig durch eine andere Kom-
bination ersetzen.

62
4.2 Software Implementierung
4.2.1 Simulink

Host4Service4e3 DS1401BASE RTI4Data ECU


4
slow_Signals Interrupt Setup

Data4Capture DS1401BASE_HWINT ECU_SETUP

functionv# Display_Bus_in

Error_Status Control_Bus_out Control_BUS_in FPGA_Data_slow FPGA_in Error_out Error_Status

Display_Data_FPGA
Model_control Rate4Transition Rate4Transition2
Virtual_Motor Error_Status

Abbildung 46: Grundmodell; zyklische Task mit TS = 1ms (weiß); Interrupttask mit
TS = 20µs (rot)

Wie bereits zuvor erwähnt, besteht das Programm des Echtzeitrechners aus zwei Tasks.

• Eine langsame, zyklisch aufgerufene Task mit einer Zykluszeit von 1ms: Sie dient
dem Austausch von Steuerbefehlen und Fehlermeldungen zwischen Bedienoberfläche
und eigentlichem Motormodell. Die Zykluszeit kann hier entsprechend niedrig gewählt
werden, da sie keine zeitkritischen Aufgaben enthält und die verwendeten Bit-
Operationen für die schnelle Abarbeitung zu aufwändig wären.
Der Block “Error Status” dient zum Sortieren der einzelnen Status und Fehler-Bits
des FPGA. Im Block “Display Data FPGA” sind die wichtigsten Anzeigesignale
herausgeführt. Hier können auch langsame Messungen vorgenommen werden, ohne
die Rechenzeit maßgeblich zu erhöhen.
Eine Übersicht aller Steuer- und Statusbits findet sich in Kapitel 4.2.3.6.

current_gain_const
Current_gain
Status_Bus_to_vector

Control_Bus_out Status_Bus_in <6_bit_vect_out <6Dbit_vectDin int<6Dout


Control_Status_bits

Control_Status_bits Status_bit_vec_to_int <


==D< Model_Reset Control_Bus_out
<Reset>
compare_reset_active

==D] 3
<PWM_Enable> Deadtime_offset
compare_PWM_off DeadtimeD[µs]
< OR
Error_Status
==D< Reset_OR 3
<PWM_Discharge> I_Lim_pos
compare_Discharge_on I_Limit_pos

ECUDInterface
Status ==D] 3
Connection I_Lim_neg
compare_FPGA_Disconnected I_Limit_neg
ECU_TYPE<_ECUIF_STATUS_M<_BL>

Abbildung 47: Model control

Im “Model control” Block (Abbildung 47) werden die Steuerbits für das FPGA so-
wie der Reset des Modells verwaltet.
Die einzelnen Bits aus “Control Status bits” werden hierfür zuerst in einen 16bit
Vektor und anschließend in 16bit Integer-Werte umgewandelt. Die wesentliche Zu-
ordnung der einzelnen Bits auf die entsprechende Postion in “Control Status-Wort”
(siehe Kapitel 4.2.3.6) wird hierbei in “Status Bus to vector” vorgenommen.

63
Neben den einzelnen Steuerbits können auch die Anzahl der Wicklungen im LEM-
Stromsensor sowie die Grenzen der Überstromerkennung eingestellt werden.
Um das Rechenmodell und auch die Regler-Integratoren im Fehlerfall auf einen de-
finierten Zustand zu bringen, ist zusätzlich noch eine Reset-Generierung integriert.
Für den Fall, dass die Endstufenfreigabe nicht mehr gegeben ist, vom Nutzer ein
Reset gefordert wird oder die Verbindung zum FPGA unterbrochen wird, gehen
sämtliche Integratoren des Modells in einen definierten Reset-Zustand über.

Host(Service(v4
f<)
fast_Signals
function
Data(Capture
1
FPGA_Data_slow

FPGA_Data
FPGA_Data_Out FPGA_Data_in

Motor_Data_out Model_Data Regulator_Data_out Regulator_Data_in

FPGA->(Dspace Reset
Reset
Model_Data_in

Motor_Model Regulator
Control_BUS_in
1
<Model_Reset>
Control_BUS_in Dspace(->(FPGA

Abbildung 48: Interrupttask mit FPGA Datenaustausch (grau), Modellberechnung (rot)


und dem Spannungsregler(blau)

• Die Interrupttask wird dabei immer in der folgenden Reihenfolge abgearbeitet: Da-
ten vom FPGA lesen, Motormodell rechnen, Regler rechnen, Daten auf FPGA
schreiben. Dabei werden alle zum Berechnen des Modells und Reglers notwendi-
gen Daten aus dem FPGA abgefragt und entsprechend verarbeitet.

electrical_model mechanical_modell
phi_mech
phi_mechu[rad]
Torqueu[Nm] M_motoru[Nm]u Phi
omega_mech
omega_mechu[rad/s]
alpha_mech Omega mech 1
Reset alpha_mechu[rad/s^2]
electrical_Data_out Alpha
1 I_motoru[A] electrical Motor_Data_out
<I_Motor>
FPGA_Data_in

2
Reset

Abbildung 49: Simulink: Motor/Mechanik Modell

Das Motormodell selbst wird schließlich nochmals in zwei Teilmodelle zerlegt: ein
elektrisches Modell und ein mechanisches Modell. Die Anpassung der unterschied-
lichen Motorentypen erfolgt hierbei nur durch die Parametrierung des elektrischen
Teilsystems. Alle Änderungen der Last können im mechanischen Teil frei vorgenom-
men werden.

64
4.2.2 Reglerumsetzung

dSPACE FPGA

Sollwert- Modellgestützte Strom-


Vorhersage berechnung Vorsteuernug rück-
Tv +Ableitung führung
+Stör-
Spannungs- größen-
rückführung auf-
+Integrator schaltung

Abbildung 50: Schematische Darstellung der Reglerimplementierung


zur Sollwertberechnung + Ableitung siehe “Vorsteuerung / Führungsverhalten” in
Kapitel 3.2.8.2

Die Umsetzung des Reglers beruht vor allem auf den in Kapitel 3.2.8 gewonnenen Er-
kenntnissen.
Aus der Berechnung des Motormodells stehen die aktuelle el. Rotorlage φ, die aktuelle
el. Winkelgeschwindigkeit ω und die aktuelle el. Winkelbeschleunigung α zur Verfügung.
Hieraus lassen sich gemäß Kapitel 3.2.8.4 die zukünftige Position und Winkelgeschwin-
digkeit vorhersagen. In Verbindung mit der Spannungskonstante kemk lässt sich nun der
Sollwert sowie dessen Ableitungen berechnen (siehe Gleichungen (3.80), (3.80) und (3.82)).
Der eigentliche Regler unterteilt sich anschließend in eine modellgestützte Vorsteuerung,
die Spannungsregelung und die Stromregelung. Letztere wird aufgrund des Geschwindig-
keitsvorteils im FPGA gerechnet, was sich wiederum positiv auf die Störgrößenaufschal-
tung auswirkt.

65
4.2.3 FPGA Programm

Überwachung/
Steuerung

DSPACE Messwerte PWM


Schnittstelle Erfassung Generierung

Geber Regler-
Timing
Schnittstelle kern

Abbildung 51: Übersicht FPGA Programm (Top Level Modul)

Das FPGA bildet die Schnittstelle zwischen der Hardware und dem dSPACE-System. Da-
her werden hier alle hardwarenahen Aufgaben übernommen. Dazu gehören die Erfassung
der einzelnen Messwerte, die Bereitstellung der Pulsweitenmodulation, die Überwachung
des Systems sowie die Wahrung der zeitlichen Abläufe. Das Programm ist hierfür in ver-
schiedene Funktionsmodule unterteilt, welche im Folgenden beschrieben werden:

4.2.3.1 Messwerterfassung (ADC Top.vhd)

Reset
Sensor Zero

ADC ADC FIR Messwert


Skalierung Offset
I/O Auswertung Filter

Abbildung 52: Schematischer Aufbau der Messwerterfassung

Der Programmteil für die Messwerterfassung hat die Aufgabe, alle relevanten analogen
Systemgrößen zu erfassen, auszuwerten und aufzubereiten. Diese Größen werden anschlie-
ßend zur Reglung und Überwachung des Systems eingesetzt. Dabei werden die folgenden
Größen gemessen:

• Filterstrom (3-phasig)

• Motorstrom (3-phasig)

66
• Kondensatorspannung (3-phasig)

• Zwischenkreisspannung

• Temperatur der Endstufe

Dabei unterteilt sich die Messwertaufbereitung in die folgenden Abschnitte:

Auswertung
Die Auswerteeinheit stellt die korrekte Ansteuerung der Analog-Digital-Wandler sicher.
Sie versorgt z.B. die 12bit-Parallel-Wandler der Strommessung mit dem entsprechenden
Takt oder gewinnt beim Sigma-Delta-Wandler mit Hilfe eines SINC-3 Filters den Aus-
gangswert zurück. Die von der Auswerteeinheit gewonnenen Werte entsprechen direkten
dem Rohwert der AD-Wandlung.

Signal Wandler Schnittstelle Messbereich Auflösung


I Motor AD9224 parallel ±200A 12 bit
I Filter AD9224 parallel ±200A 12 bit
U EMK AD7401 Σ∆ ±960V 16 bit
U ZK AD7401 Σ∆ ±960V 16 bit

Temperatur AD7887 SPI 30...120 C 12 bit

Tabelle 6: Übersicht der unterschiedlichen AD-Wandler

FIR-Filter (FIR.vhd)
Die Filterstufe besteht aus einem einfachen Mittelwertfilter, d.h. ein FIR-Filter, bei dem
alle Koeffizienten der Vorschrift ki = n1 (mit n = Filterbreite) entsprechen. Es erfüllt gleich
zwei Aufgaben. Zum einen werden Störungen reduziert und zum anderen kann so der Un-
terschied zwischen der Abtastrate des AD-Wandlers (10M Hz) und der Verarbeitungsrate
im dSPACE-System (50kHz) ausgeglichen werden. Dies ist unter anderem notwendig, um
eine Unterabtastung des Signals und das damit mögliche Aliasing zu verhindern. Denn
nach dem Shannonschen Abtasttheorem muss die Abtastrate mindesten doppelt so hoch
gewählt werden wie die Frequenz des schnellsten zu messenden Signals. Letztere ist durch
das externe Filter auf 4MHz begrenzt.

Signal Updaterate Filterbreite [bit] Filterbreite Verzögerung


I Motor 10M Hz 256 25.6µs 12.8µs
I Filter 10M Hz 128 12.8µs 6.4µs
U EMK 78.125kHz 2 25.6µs 12.8µs
U ZK 78.125kHz 512 6.55ms 3.28ms
Temperatur 2.5kHz 512 204.8ms 102.4ms

Tabelle 7: Kenngrößen der FIR-Filter

67
Offset (Sensor Offset.vhs)
In dieser Stufe wird der vorzeichenlose Wert (unsigned) des AD-Wandlers in einen vor-
zeichenbehafteten Wert (signed) umgewandelt, indem ein Offset (Wert für Messignal =
0) abgezogen wird. Dies ist notwendig, da die Messverstärker so aufgebaut sind, dass die
AD-Wandler für das Messsignal 0 den Wert 2n−1 (mit n = Auflösung des Wandlers) ausge-
ben. Alle kleineren Werte sind negativ, alle größeren Werte positiv. Im Laufe des Betriebs
kann sich dieser Offset durch Erwärmung der Bauteile und äußere Einflüsse verschieben.
Deshalb bietet diese Stufe zusätzlich die Möglichkeit, den aktuellen Sensorwert als Offset
zu setzen und damit einen Nullabgleich der Sensoren durchzuführen.

Skalierung (Sensor Scale.vhd)


In der Skalierung werden die Rohwerte des ADCs an das Zielausgabeformat angepasst. Es
findet zum einen eine Anpassung an SI-Einheiten statt und zum anderen werden die Werte
in eine passende Festpunktdarstellung überführt. Der Wertebereich der Festpunktzahlen
entspricht dabei dem Messbereich des jeweiligen Signals. Tabelle 8 zeigt den Überblick
über die gewählten Formate und die zugehörigen Umrechnungsfaktoren.

Signal Faktor Ziel Format Anzeigebereich Auflösung


A mA
I Motor [±200A] 0.1002 digit int 16 7 −256...255.99 7.8125 digit
A mA
I Motor [±100A] 0.0501 digit int 16 7 −256...255.99 7.8125 digit
A mA
I Motor [± 50A] 0.0250 digit int 16 7 −256...255.99 7.8125 digit
A mA
I Filter [± 50A] 0.0250 digit int 16 7 −256...255.99 7.8125 digit
V mV
U EMK [±100V ] 0.00308 digit int 16 5 −1024...1023.97 31.25 digit
V mV
U EMK [±960V ] 0.03125 digit int 16 5 −1024...1023.97 31.25 digit
V mV
U ZK [±960V ] 0.03125 digit int 16 5 −1024...1023.97 31.25 digit
◦C ◦C
Temperatur 0.0244 digit int 16 8 −128...127.996 3.906 digit

Tabelle 8: Übersicht der Skalierungsfaktoren für die verschiedenen Messsignale.

Diese Faktoren müssen in ein 18bit breites Festpunktformat mit 16 Nachkommastellen


umgerechnet und in das FPGA Programm eingetragen werden. Die in Tabelle 8 angegebe-
nen Faktoren entsprechen nur dem rechnerischen Wert, der sich durch die Messverstärker
ergibt. Diese Werte sollten später durch entsprechende Kalibrierung auf die verwendeten
Sensoren angepasst werden.

Mit Hilfe des zusätzlichen Parameters “Current Gain” lässt sich die Anzahl der Wicklun-
gen durch den LEM-Wandler einstellen (siehe Anhang 7.3).

68
4.2.3.2 dSPACE Kommunikation (DSpace IO.vhd)

Reset

Trigger

DSPACE DSPACE_IO
Data_Write Data
Flipflop Data R/W Data_Read

Abbildung 53: Schematischer Aufbau der dSPACE Kommunikation

Bei der Schnittstelle zum dSPACE-System handelt es sich gleichzeitig auch um die Schnitt-
stelle zwischen der Hardware des virtuellen Motors und der Software die das eigentliche
Modell des Motors repräsentiert. Das dSPACE-System liefert hierbei die Sollwerte aus
dem Motormodell und beinhaltet einen Teil des EMK-Spannungsreglers. Der Austausch
der Daten erfolgt dabei über ein sog. dual-ported RAM. Das FPGA legt darin die ak-
tuellen Messwerte ab und löst anschließend einen Interrupt im dSPACE aus. Nach der
Berechnung des Modells schreibt das dSPACE-System die Ergebnisse wiederum in den
DP-RAM, wo sie vom FPGA gelesen werden können. Da dieser Austausch über eine se-
rielle LVDS-Schnittstelle läuft, dauert die Übertragung eines 16bit-Werts ca. 1µs. Somit
muss das Datenaufkommen so gering wie möglich gehalten werden, um die Latenzen klein
zu halten.

High- / Low-Speed-Daten
Wegen der eingeschränkten Übertragungsgeschwindigkeit, werden die Daten in High-
Speed und Low-Speed-Daten unterschieden. Die High-Speed-Daten werden bei jedem Zy-
klus neu übertragen, die Low-Speed-Daten hingegen werden in einem Multiplex Verfahren
nacheinander übertragen. Diese Art von Daten sind Statusinformationen und Messwerte,
die nicht direkt zur Modellrechnung benötigt werden.

Adressbelegung
Die unten angegebenen Adressen entsprechen der Adresse im dSPACE-System. Die Be-
schreibung der Status-Worte findet sich im Unterpunkt 4.2.3.6.

Triggerung
Bei jedem Schreibvorgang wird im dSPACE-System ein Interrupt ausgelöst, welcher die
Abarbeitung des Modells startet. Dieser Interrupt erfolgt zyklisch im Abstand von 20
µs. So ist gewährleistet, dass alle Daten verarbeitet und geschrieben wurden, bevor ein
neuer Interrupt ausgelöst wird. Im aktuellen Fall liegt die Task-Abarbeitungszeit bei ca.
16µs. Sie setzt sich aus der Zeit, welche zur Datenübertragung benötigt wird, und der
Rechenzeit des Modells zusammen.

69
Adresse:(16bit) High Byte Low Byte Datentyp Einheit
0x0080 I Motor U int 16 7 Ampere
0x0081 I Motor V int 16 7 Ampere
0x0082 I Motor W int 16 7 Ampere
0x0083 U EMK U int 16 5 Volt
0x0084 U EMK V int 16 5 Volt
0x0085 U EMK W int 16 5 Volt
0x0086 Sync counter int
0x0200 MUX Data -
0x0201 MUX Pointer int

Tabelle 9: High-Speed-Daten, FPGA ⇒ dSPACE

MUX Pointer High Byte Low Byte Datentyp Einheit


0 I Filter U int 16 7 Ampere
1 I Filter V int 16 7 Ampere
2 I Filter W int 16 7 Ampere
3 U ZK int 16 5 Volt

4 Skiip temp int 16 8 C
5 Error Status bit

Tabelle 10: Low-Speed-Daten, FPGA ⇒ dSPACE

Adresse:(16bit) High Byte Low Byte Datentyp Einheit


0x0480 Phi sincos uint 16 4 rad · 4096

rad 4096
0x0481 Omega sincos int 16 8 µs
· 2π
0x0482 U pilot U int 16 5 Volt
0x0483 U pilot V int 16 5 Volt
0x0484 U pilot W int 16 5 Volt
0x0485 I Filter pilot U int 16 7 Ampere
0x0486 I Filter pilot V int 16 7 Ampere
0x0487 I Filter pilot W int 16 7 Ampere
0x0600 MUX Data -
0x0601 MUX Pointer int

Tabelle 11: High-Speed-Daten, dSPACE ⇒ FPGA

MUX Pointer High Byte Low Byte Datentyp Einheit


0 reg koeff U uint 16 11 -
1 reg koeff V uint 16 11 -
2 reg koeff W uint 16 11 -
3 current gain int 2n
4 Control Status bit

Tabelle 12: Low-Speed-Daten, dSPACE ⇒ FPGA

70
4.2.3.3 Regler (Regulator 3x.vhd)

U_Vorsteuerung

I_Motor U_PWM
R
I_Filter

K
I_Filter_Vorsteuerung

Abbildung 54: Stromrückführung des Zustandsreglers im FPGA

Der wesentliche Anteil des Reglers befindet sich – wie bereits erwähnt – im dSPACE-
System. Im FPGA selbst wird lediglich die Rückführung des Stroms berechnet, da der
Strom einen wesentlichen Einfluss auf die Stabilität des Systems besitzt und eine Be-
rechnung hier nahezu Latenzfrei möglich ist. Damit beschränkt sich der Regler im FPGA
auf zwei Multiplikationen und einige Additionen. Für eine bessere Anpassbarkeit ist der
Rückführfaktor K vom dSPACE-System aus einstellbar. Der Faktor R dient der stati-
schen Störgrößenaufschaltung und muss fest im FPGA-Programm eingestellt werden. Er
entspricht dem Widerstand der Filterinduktivität.

4.2.3.4 PWM Steuerung (inverter stage.vhd)

PWM_Enable

1 0
PWM_Discharge PWM_OUT

max_count
SYNC
U_PMW_IN
Data
U_ZK Flipflop 0.5
max_count

0
cntK=K0

Abbildung 55: Übersicht PWM Generierung

Bei der PWM-Generierung handelt es sich um eine symmetrische PWM-Generierung.


Hierfür wird ein Dreieck-Träger-Signal erzeugt, auf die Zwischenkreisspannung umgerech-
net und anschließend mit dem Sollwert verglichen. Damit wird sichergestellt, dass die

71
gewünschte Ausgangsspannung auch bei schwankender Zwischenkreisspannung erreicht
wird. Das Dreieckssignal wird über einen Zähler (cnt) realisiert, der bis “max cnt” hoch
und wieder zurückzählt. Die eigentliche Funktion sollte wie folgt aussehen:
if(U PWM/U ZK ≥ cnt/max cnt) then PWM out = 1; else PWM out = 0;
Da es für ein FPGA jedoch schwierig ist zu dividieren, wird die Funktion wie folgt umge-
stellt:
if(U PWM · max cnt ≥ U ZK · cnt) then PWM out = 1; else PWM out = 0;.

4.2.3.5 SinCos-Geber Emulation (sin cos out.vhd)

-1
Z
4kb
New_Data
LUT DAC/ SPIeout
SPI
Omega
Data 50kHz
Phi Flipflop update
rate
Abbildung 56: Übersicht Geberemulation, Sinus/Cosinus-Generierung

Der SinCos-Geber erzeugt – wie der Name bereits andeutet – eine Sinus- und eine Cosinus-
Spur. Der im realen Motor eingesetzte Geber von Sick Stegmann (SKS 36) erzeugt dabei
128 Sinusperioden pro Umdrehung. Um diesen Geber zu emulieren, wird ein 2-Kanal-
Digital-Analog-Wandler (DAC) eingesetzt. Dieser wird über eine SPI-Schnittstelle an-
gesteuert und erhält darüber die entsprechenden Spannungsvorgaben. Diese werden im
FPGA mit Hilfe einer LUT (Lookup-Table) aus dem aktuellen Motorwinkel φ berechnet.
Da der DAC alle 2µs aktualisiert wird, die neuen Winkelwerte hingegen nur alle 20µs vom
dSPACE-System zur Verfügung gestellt werden, besitzt das FPGA-Modul zusätzlich einen
Interpolator. Dieser bestimmt aus der aktuellen Drehzahl alle nötigen Zwischenwerte des
Winkels. Liegen neue Daten vor, wird der jeweils aktuelle Winkelwert geladen.

4.2.3.6 Monitoring/Steuerung (Monitor.vhd)


Im Monitor-Modul ist die grundlegende State machine für den virtuellen Motor unterge-
bracht. Sie verwaltet die verschiedenen Betriebszustände:
• Startup Reset:
Alle FPGA Module befinden sich für 0.5 Sekunden im Reset-Zustand
• Startup Setup:
Reset aufgehoben, die Module starten. Dauer: 0.5 Sekunden
• Startup Ready:
Warten auf Verbindung mit dem dSPACE-System.
• Active:
Normaler Betrieb, Steuerung durch dSPACE.
(Nur in diesem Zustand ist eine externe Umrichterfreigabe möglich.)

72
• Error Current:
Es wurde ein Überstrom-Fehler erkannt. PWM wurde deaktiviert.

• Error Voltage:
Es wurde eine Überspannung erkannt. Alle Bottom-IGBT aktiv, um die Filterkon-
densatoren zu entladen.

• Error dSPACE:
Verbindung zum dSPACE wurde unterbrochen. PWM ist inaktiv.

• Error SKIIP:
Fehlermeldung vom SKIIP-Modul erkannt. SKIIP-Modul wurde abgeschaltet.

Gesteuert wird das Ganze durch das “Control Status-Wort”, welches vom dSPACE
Modell bereitgestellt wird:

Control Status
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

Bit 0, Sensor Zero:


Setzt alle Strommessungen und die Spannungsmessung an den Phasen auf 0.
Bit 1, Reset:
Setzt die State-Machine im FPGA auf den Anfangszustand zurück.
Bit 2, IE ext:
Freigabe des externen Umrichters, Inverter-Enable-Relais wird geschlossen.
Bit 3, PWM Enable:
Endstufenfreigabe des virtuellen Motors. Wenn PWM Enable = 0, dann sind alle IGBTs
abgeschaltet.
Bit 4, PWM Discharge:
Entladung der Filterkondensatoren, wenn PWM Discharge = 0, dann werden alle Bottom-
IGBTs durchgeschaltet.
Bit 5, DC Enable:
Freigabe des Netzmoduls zur Versorgung des Prüfsystems.
Bit 6, Reset Error:
Aufheben eines Fehlerzustands und Rückkehr in Active“ Zustand.

Bit 7, Disable Error Detection:
Abschaltung der Fehlerüberwachung (nur für Testzwecke).

Der aktuelle Zustand/Status wird durch das Error Status-Wort an das dSPACE Mo-
dell zurückgemeldet:

73
Error Status
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

Bit 0, PWM Enable:


Status der Endstufenfreigabe: 1 = Endstufe aktiv
Bit 1, PWM Discharge:
Status Kondensator Entladung: 1 = Entladung aktiv
Bit 2, IE ext:
Status der externen Umrichterfreigabe: 1 = externer Umrichter freigegeben
Bit 3, Ready ext:
Status externer Umrichter: 1 = externer Umrichter bereit
Bit 4, Error U:
1 = Es ist ein Fehler in Phase U aufgetreten
Bit 5, Error V:
1 = Es ist ein Fehler in Phase V aufgetreten
Bit 6, Error W:
1 = Es ist ein Fehler in Phase W aufgetreten
Bit 7, Error DC:
1 = Es ist ein Fehler im Zwischenkreis aufgetreten
Bit 8, Error I Filter:
1 = Überstrom im Filter
Bit 9, Error I Motor:
1 = Überstrom am Eingang (Ständerinduktivität)
Bit 10, Error Voltage:
1 = Überspannungsfehler
Bit 11, Error SKIIP:
1 = Fehler im SKIIP Modul
Bit 12, Error dSPACE:
1 = Verbindung zum dSPACE-System war unterbrochen
Bit 13, Error Over Temp:
1 = Übertemperatur der Endstufe
Bit 14, Error ADC OTR:
1 = Stromsignal außerhalb des Messbereichs
Bit 15, Ready DC:
1 = Status Zwischenkreisversorgung: 1 = Netzteil betriebsbereit

4.2.3.7 Ablauf Timing (Sync Timer.vhd)


Beim Timing ist vor allem die Vorhersagbarkeit der Signalverzögerungen wichtig. Um
dies sicherzustellen, wird als Basis-Zykluszeit eine PWM-Periode (60µs) genommen. Diese
wird in drei gleich große Stücke zu je 20µs unterteilt. Zum Beginn dieser 20µs wird jeweils
eine dSPACE-Übertragung gestartet und die Werte der letzen Modellrechnung in den
Geberausgang sowie alle 60µs in die PWM-Generierung übernommen. Damit entsteht eine
definierte Latenz zwischen Modellrechnung und der anschließenden Ausgabe der Signale.

74
5 Messergebnisse
Die in diesem Kapitel beschriebenen Messergebnisse dienen zur Bewertung des in Kapi-
tel 4 vorgestellten Systems. Hierbei wird die Erzeugung der Gegenspannung sowie das
Verhalten als Gesamtsystem betrachtet.

5.1 Gegenspannungserzeugung
Die Gegenspannungserzeugung ist ein grundlegender Bestandteil des Emulationssystems.
Dabei geht es vor allem darum, dass die erzeugte Gegenspannung dem im Motormodell
berechneten Verlauf möglichst gut folgt. Denn jede Abweichung führt zu einer Verschlech-
terung der Emulation.
Zur Erfassung der Messwerte wurde das dSpace-System eingesetzt. Die Abtastrate beträgt
50kHz.
300
USoll
200 UIst

100
Spannung [V]

−100

−200

−300

15

10

5
Strom [A]

−5

−10
IMotor
−15 IFilter

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5


Zeit [ms]

Abbildung 57: Regelverhalten im Betrieb am Prüfling;


emulierter Motor: SH31003P
U
n = 3000 min , M = 11N m

Abbildung 57 zeigt den Betrieb am Prüfling (LXM52). Emuliert wird hierbei ein Mo-
tor vom Typ SH31003P. Der Prüfling fährt dabei im drehzahlgeregelten Betrieb mit einer
U
Solldrehzahl von 3000 min (Nenndrehzahl) und einem Lastmoment von 11N m.
Wie gut zu erkennen ist, folgt die EMK-Spannung sehr gut dem vorgegebenen Wert. Es

75
ist lediglich eine kleine Restwelligkeit vorhanden.
Die Messung zeigt auch sehr gut die Stromregelung des Prüflings. Man erkennt, dass der
in das Emulationssystem eingespeiste Strom (IM otor (rot)) phasengleich mit der erzeugten
Gegenspannung ist und es sich somit um einen reinen q-Strom handelt.

300
USoll
200 UIst

100
Spannung [V]

−100

−200

−300

15

10

5
Strom [A]

−5

−10
IMotor
−15 IFilter

0 0.5 1 1.5 2 2.5 3 3.5


Zeit [ms]

U
Abbildung 58: Regelverhalten bei z = 0A, f = 266Hz (4000 min );
emulierter Motor: SH31003P

Abbildung 58 zeigt den lastfreien Betrieb; d.h. der emulierte Motor dreht sich mit
konstanter Drehzahl, während vom Prüfling kein Strom eingespeist wird. Diese Messung
dient zur Verdeutlichung eines Problems, welches bei höheren Drehzahlen auftritt.
Wie zu erkennen ist, kommt es nach jedem Strom-Nulldurchgang zu einer deutlichen Ab-
weichung zwischen Soll- und Istwert. Dies kommt vor allem durch die in Kapitel 3.2.8.5
beschriebene Nichtlinearität der eingesetzten PWM-Endstufe. Sie macht sich mit steigen-
der Drehzahl stärker bemerkbar, da dem Regler dort weniger Zeit zur Kompensation zur
Verfügung steht. Deshalb sollte für den Betrieb oberhalb der Nenndrehzahl unbedingt
eine geeignete Kompensation erfolgen.

76
5.2 Gesamtsystem
Nachdem, wie im vorherigen Absatz gezeigt, die Gegenspannungserzeugung zuverlässig
funktioniert, muss nun das Verhalten des Gesamtsystems beurteilt werden. Hierzu die-
nen verschiedene Messungen, bei denen ein definiertes Geschwindigkeitsprofil durchlaufen
wird. Die Aufzeichnung erfolgt hierbei im Prüfling mit einer Abtastrate von 1kHz.
4000
Emulationssystem
Drehzahl5[U/min]

2000 Realer5Motor

−2000

−4000

4
Drehmoment5[Nm]

2
0
−2
−4
−6

5
Schleppfehler5[°]

−5

−10
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
Zeit5[s]

Abbildung 59: Vergleich des realen Motors mit dem Emulierten Motor
Motor: SH31003P

Abbildung 59 zeigt den direkten Vergleich des realen Motors mit dem Emulationssys-
tem. Die Parametrierung des Emulationssystems entspricht hierbei der des realen Motors.
Wie man erkennt, stimmen Drehzahl und Schleppfehler sehr gut überein.
Lediglich beim bereitgestellten Drehmoment sind kleine Abweichungen in Form von erhöhter
Welligkeit zu erkennen. Dies ist vorwiegend der nicht ganz glatten EMK-Erzeugung während
der Beschleunigungsphasen geschuldet.
Diese Abweichung ist für den Betrieb jedoch nur unwesentlich.

77
4000

2000

Drehzahlv[U/min]
0

−2000

−4000

10 k =v0.005vNmvs/rad
vis
k =v0.01vNmvs/rad
vis
Drehmomentv[Nm]

5 kvis =v0.02vNmvs/rad
kvis =v0.03vNmvs/rad
0

−5

−10

0 1 2 3 4 5 6 7 8 9
Zeitv[s]

Abbildung 60: Vergleich bei verschiedenen Reibkoeffizienten;


emulierter Motor: SH31003P, Jmech = 0kg cm2

Abbildung 60 zeigt das Emulationsergebnis für verschiedene emulierte Reibmomente


(kvis ). Hierbei lässt sich der Zusammenhang M = kvis · ωm sehr gut erkennen.
4000

2000
Drehzahl*[U/min]

−2000

−4000

15
Jmech =*0
10 Jmech =JRotor
Drehmoment*[Nm]

Jmech =*3*JRotor
5
Jmech =*5*JRotor
0

−5

−10

−15
0 0.5 1 1.5 2
Zeit*[s]

Abbildung 61: Vergleich bei verschiedenen Trägheitsmomenten;


emulierter Motor: SH31003P, kvis = 0.00001 Nrad
ms

Abbildung 61 verdeutlicht den Einfluss des emulierten Trägheitsmoments. Hier gilt


der Zusammenhang M = (Jmech + JRotor ) · dω
dt
.

78
6 Fazit und Ausblick
6.1 Fazit
Am Ende dieser Arbeit steht ein System zur Verfügung, mit dem es möglich ist, das
Verhalten einer elektrischen Maschine nachzubilden. Die Tests haben gezeigt, dass alle
wesentlichen Grundfunktionen erfüllt werden. Durch das flexible und frei parametrierbare
Mechanikmodell lassen sich verschiedene Lastfälle nachbilden und Testszenarien für Um-
richter erstellen.
Auf Basis dieser Arbeit können nun weitere Verbesserungen am System vorgenommen
werden, um den Funktionsumfang zu erweitern und die Bedienung zu erleichtern.

6.2 Grenzen des Systems


Im nächsten Schritt sollten Testszenarien entwickelt werden, um zu überprüfen, ob das
Testsystem auch bis zum gewünschten Grenzbereich zuverlässig funktioniert bzw. um
aktuelle Funktionsgrenzen zu ermitteln und ggf. zu erweitern.

6.3 Bidirektionale Zwischenkreisversorgung


Da bei den bisherigen Versuchen immer die Gleichstromversorgung des Leistungselektro-
niklabors der Hochschule Schweinfurt zum Einsatz kam, ist es für einen autarken Einsatz
des Systems notwendig, eine entsprechende Zwischenkreisversorgung inkl. galvanischer
Trennung zu finden und einzubinden.

6.4 IGBT Linearisierung


Bei den Tests hat sich gezeigt, dass die in Kapitel 3.2.8.5 besprochenen Effekte der IGBT-
Nichtlinearität vor allem bei hohen Drehzahlen zu Problemen bei der Regelung führen
können. Deshalb wäre eine geeignete Kompensation vorteilhaft, um auch hier einen sau-
beren Verlauf der EMK-Spannung zu gewährleisten.

6.5 EMV-Problematik bei Hiperface-Emulation


Mit der emulierten Hiperface-Schnittstelle kommt es zu einer verstärkten Schwankung der
gemessenen Drehzahl. Dies führt bei höheren Drehzahlen zu einem Auslösen der Überdreh-
zahlerkennung. Die Problematik liegt hier vermutlich im Aufbau der Hardware-Schaltung
sowie dem Einfluss elektromagnetischer Störungen. Eine Überarbeitung des Designs könn-
te hier eine Verbesserung bringen.

6.6 Bedienoberfläche
Die aktuelle Bedienoberfläche beinhaltet lediglich Basiselemente zur Steuerung des Um-
richters. Hier wäre es sinnvoll, die Bedienung zu erleichtern, indem die Abläufe zur In-
betriebnahme automatisiert und die einfache Eingabe von Parametersätzen ermöglicht
wird.

79
7 Anhang
7.1 Betrachtung zur Dynamik des Kondensatorstroms
Betrachtet man die Dynamik des Kondensatorstroms

dic (t) d2 uc (t)


= = CF kEM K (α̇ sin(φ(t)) + 3αω cos(φ(t)) − ω 3 sin(φ(t))) (7.1)
dt dt2
hinsichtlich ihres maximalen Werts, muss zunächst die zugehörige Rotorlage des Maxi-
mums bestimmt werden:
d
CF kEM K (α̇ sin(φ) + 3αω cos(φ) − ω 3 sin(φ)) = 0 (7.2)

α̇ cos(φ) − 3αω sin(φ) − ω 3 cos(φ)) = 0 (7.3)
(α̇ − ω 3 ) cos(φ) − 3αω sin(φ) = 0 (7.4)
(α̇ − ω 3 ) cos(φ) = 3αω sin(φ) (7.5)
α̇ − ω 3 sin(φ)
= = tan(φ) (7.6)
3αω cos(φ)
α̇ − ω 3
φmax = arctan( ) (7.7)
3αω
Nun kann dieser Ausdruck unter der Annahme α̇ << ω 3 vereinfacht werden.
ω2
φmax = − arctan( ) (7.8)

Damit ergeben sich für die SH3-Motoren, unter Nenndrehzahl und max. Beschleunigung,
Winkel zwischen 86◦ . . . 89◦ .
Damit ergibt sich für die Amplitude der Stromdynamik:

CF kEM K (α̇ sin(86◦ ) + 3αω cos(86◦ ) − ω 3 sin(86◦ )) =

CF kEM K (α̇ · 0.997 + 3αω · 0.07 − ω 3 · 0.997)) (7.9)


Somit vereinfacht sich die Ausgangsgleichung für φmax ≈ 90◦ und α̇ << ω 3 zu:

dic (t) d2 uc (t)


= 2
≈ −CF kEM K ω 3 sin(φ(t)) (7.10)
dt dt

A
7.2 Vorgehen bei Inbetriebnahme
1. Prüfumrichter einschalten

2. Motor und Mechanik parametrieren

3. Kondensatorentladung aktivieren (alle bottom IGBT an)

4. PWM freigeben

5. Sensoren auf Null setzen

6. PWM Freigabe wegnehmen und Entladung deaktivieren

7. Zu testenden Umrichter anschließen

8. beide Zwischenkreise Laden

9. PWM Freigabe Prüfumrichter

10. PWM Freigabe zu testender Umrichter

11. Motor Kommutierung starten

12. Testbetrieb

13. Testparameter anpassen

B
7.3 Strombelastbakeit
Die Strombelastbarkeit des Emulationssystems hängt von zwei Parametern ab:

1. Die Strombelastbarkeit der Leitungen und Drosseln

2. Der Messbereich der Strommessung

Beim aktuellen Aufbau des Emulationssystems kommen Leitungen mit einem Querschnitt
von 4mm2 zum Einsatz.
Daraus folgt eine Strombelastbarkeit der Leitungen von: Ief f = 32A
Die Strombelastbarkeit der Filterdrossel liegt bei: Ief f = 30A

Die maximale Spitzenstrombelastbarkeit wird maßgeblich durch den Messbereich der


Strommessung festgelegt, da ein Überschreiten des Messbereichs eine Sicherheitsabschal-
tung des Emulationssystems zur Folge hat.
Der Messbereich kann in drei Stufen angepasst werden und wird durch die Anzahl der
Windungen durch den LEM-Wandler festgelegt. Die geänderte Windungszahl kann im
Simulink-Modell über den Parameter Current Gain berücksichtigt werden:

Messbereich Windungen Current gain


ˆ
I[A] n
±50 4 ≥2
±100 2 1
±200 1 0

Tabelle 13: Einstellung der Strommessung

Um für die Emulation großer Motoren die maximale Stombelastbarkeit zu erhöhen, müssen
so wohl die verwendeten Leitungsquerschnitte als auch die Drosseln angepasst werden. Bei
den verwendeten Filterinduktivitäten empfiehlt sich eine Parallelschaltung von jeweils
zwei Drosseln, da sich die benötigte Filterinduktivität für Motoren ≥ SH31403 ohnehin
von 0.5mH auf 0.25mH halbiert.

C
7.4 Wichtige Daten der SH3-Motoren

p Nn In IˆM ke , ph − ph kt Ld , ph − ph Lq , ph − ph R, ph − ph Mmax JM
U VRM S Nm
[ min ] [ARM S ] [ARM S ] [ 1000 U ] [ ARM S ] [mH] [mH] [Ω] [N m] [kgcm2 ]
min

SH30551 3 8000 0.62 2.9 40 0.68 74.30 68.84 41.80 1.5 0.059
SH30552 3 8000 1.10 4.8 40 0.70 36.40 34.28 17.40 2.5 0.096
SH30553 3 8000 1.35 6.5 41 0.70 26.00 23.96 10.40 3.5 0.134
SH30701 3 6000 1.60 5.7 46 0.80 42.60 35.30 10.40 3.5 0.250
SH30702 3 6000 2.60 11.8 48 0.77 21.30 16.70 4.20 7.6 0.410
SH30703 3 6000 3.00 17.0 49 0.78 14.60 11.40 2.70 11.3 0.580
SH31001 4 5000 2.80 12.0 60 0.89 19.00 16.30 3.80 9.6 1.400

D
SH31002 4 4000 3.80 17.1 77 1.21 13.50 12.00 2.40 18.3 2.310
SH31003 4 4000 4.90 28.3 77 1.22 9.40 8.10 1.43 28.3 3.220
SH31004 4 3000 5.30 32.3 103 1.62 13.00 10.70 1.81 40.5 4.220
SH31401 5 3000 6.80 20.8 100 1.43 16.30 14.84 1.41 27.0 7.410
SH31402 5 3000 8.90 44.1 101 1.47 7.70 7.05 0.60 60.1 12.680
SH31403 5 3000 8.70 61.0 105 1.58 5.30 4.84 0.40 90.2 17.940
SH31404 5 3000 11.00 95.6 104 1.57 4.10 3.69 0.28 131.9 23.700
SH32051 5 3000 11.50 87.2 104 1.60 5.90 5.60 0.30 110.0 71.400
SH32052 5 2000 17.80 96.8 161 2.58 5.60 5.20 0.30 220.0 129.000
SH32053 5 2000 20.40 136.1 172 2.76 4.30 4.00 0.20 330.0 190.000

Tabelle 14: Übersicht über die Motordaten gemäß den Datenblättern [4]

Mit: p = Polpaarzahl; Nn = Nenndrehzahl; In = Nennstrom; IˆM = Spitzenstrom; ke , ph − ph = Spannungskonstante Phase-Phase; kt


= Drehmomentkonstante; Ld , ph − ph = Induktivität in d-Richtung, Phase-Phase; Lq , ph − ph = Induktivität in q-Richtung,
Phase-Phase; R, ph − ph = Wicklungswiderstand Phase-Phase; Mmax = Spitzendrehmoment; JM = Rotorträgheitsmoment.
7.5 Ergebnisse der Auslegung nach 3.2.6

ωe−n αe−max fe−n kEM K LM RM UC−n LF −W C LF −n


mVRM S
[ rad
s
] [ rad
s2
] [Hz] [ rad ] [mH] [Ω] [VRM S ] [mH] [mH]
s

SH30551 2513 254237 400 73.5 35.8 20.90 185 3.82 13.89
SH30552 2513 260417 400 73.5 17.7 8.70 185 2.31 8.39
SH30553 2513 261194 400 75.3 12.5 5.20 189 1.45 5.75
SH30701 1885 140000 300 84.5 19.5 5.20 159 4.26 11.79
SH30702 1885 185366 300 88.2 9.5 2.10 166 1.76 5.31
SH30703 1885 194828 300 90.1 6.5 1.35 170 1.11 3.54
SH31001 2094 68571 333 82.7 8.8 1.90 173 1.36 4.43
SH31002 1676 79221 267 106.1 6.4 1.20 178 0.92 3.45
SH31003 1676 87888 267 106.1 4.4 0.72 178 0.56 2.09
SH31004 1257 95972 200 142.0 5.9 0.91 178 0.57 2.29
SH31401 1571 36437 250 110.3 7.8 0.71 173 0.92 3.21
SH31402 1571 47397 250 111.4 3.7 0.30 175 0.41 1.47
SH31403 1571 50279 250 115.8 2.5 0.20 182 0.23 0.94
SH31404 1571 55654 250 114.7 1.9 0.14 180 0.16 0.62
SH32051 1571 15406 250 114.7 2.9 0.15 180 0.17 0.68
SH32052 1047 17054 167 177.5 2.7 0.15 186 0.14 0.74
SH32053 1047 17368 167 189.7 2.1 0.10 199 0.01 0.20
alle Angaben beziehen sich jeweils auf einen Zweig zwischen Klemme und Sternpunkt

Tabelle 15: Berechnung der maximalen Induktivität LF im Nennpunk und im


“Worst-Case Fall” für den Spitzenstrom
Annahmen: IM = IˆM , UZK = 560V , fg ≈ 1.5kHz

E
Literatur
[1] Monti A., D’Arco S., Work Y., Lentini A.: A Virtual Testing Facility for Elevator and
Escalator Systems,
Proc. of IEEE Power Electronics Specialists Conference (PESC), 2007.
DOI: 10.1109/PESC.2007.4342094

[2] http://www.set-powersys.de/technologie.html (Stand Mai 2015)

[3] Lexium LXM62 Betriebsanleitung,


Schneider Electric, 2014.
DocID: EIO0000001350

[4] SH3 Betriebsanleitung V2.00,


Schneider Electric 2012.
Nr: 0198441113986

[5] HIPERFACE -Beschreibung,


SICK—STEGMANN, 2008.
DocID: 8 010 701

[6] Schröder D.: Elektrische Antriebe - Grundlagen.


3.Auflage, Springer Verlag, 2007.
ISBN 978-3-540-72764-4

[7] Föllinger O.: Regelungstechnik.


11. Auflage, VDE Verlag, 2013.
ISBN 978-3-8007-3231-9

[8] Wang H., Pei X., Chen Y., Kang Y., Yue X.: An Adaptive Dead-time Compensation
Method for Sinusoidal PWM-controlled Voltage Source Inverter with Output LC Filter,
Proc. of IEEE Applied Power Electronics Conference and Exposition (APEC), 2011.
DOI: 10.1109/APEC.2011.5744684

[9] Uihlein T.: Prüfumrichter mit Hysteresestromregelung als parametrierbare elektroni-


sche Last für Industrieumrichter,
Masterarbeit Hochschule für angewandte Wissenschaften Würzburg Schweinfurt, 2011.

[10] Broschüre: Simulink Simulation and Model-Based Design,


MathWorks Inc., 2012.

[11] Broschüre: ControlDesk Next Generation,


dSPACE GmbH, 2015.

[12] Broschüre: MicroAutoBox II,


dSPACE GmbH, 2015.

[13] User’s Guide: DS551 ECU Interface POD,


dSPACE GmbH, 2003.
Version 2.4

F
[14] Schaltpläne I/O-Platine
Hochschule für angewandte Wissenschaften Würzburg Schweinfurt, 2015.

[15] Datenblatt: SkiiP 342GD120-3DU,


SEMIKRON GmbH, 2005.

[16] Datenblatt: WIMA GTO MKP,


WIMA Spezialvertrieb elektronischer Bauelemente GmbH & CO.KG.

[17] Angebot Schmidbauer Transformatoren und Gerätebau GmbH vom 02.04.2015

[18] Schaltpläne Strommessung


Hochschule für angewandte Wissenschaften Würzburg Schweinfurt, 2015.

[19] Schaltpläne Spannungsmessung


Hochschule für angewandte Wissenschaften Würzburg Schweinfurt, 2015.

Alle frei verfügbaren Quellen können auf der Daten CD im Verzeichnis Quellen gefunden
werden. Benennung entsprechen der obigen Nummerierung.

G
CD mit Daten

Das könnte Ihnen auch gefallen