Sie sind auf Seite 1von 873

Ekbert Hering

Klaus Bressler
Jürgen Gutekunst Hrsg.

Elektronik für
Ingenieure und
Naturwissenschaftler
7. Auflage
Elektronik für Ingenieure und
Naturwissenschaftler
Ekbert Hering  Klaus Bressler 
Jürgen Gutekunst
(Hrsg.)

Elektronik für
Ingenieure und
Naturwissenschaftler
7., aktualisierte und verbesserte Auflage

Unter Mitarbeit von: Manfred Crygon,


Julian Endres, Rainer Höhnle,
Joachim Kempkes, Rolf Martin,
Harald Rudolph, Jürgen Schüle
Herausgeber
Ekbert Hering Jürgen Gutekunst
Hochschule für angewandte Nürtingen, Deutschland
Wissenschaften Aalen
Aalen, Deutschland

Klaus Bressler
Ditzingen, Deutschland

ISBN 978-3-662-54213-2 ISBN 978-3-662-54214-9 (eBook)


DOI 10.1007/978-3-662-54214-9

Die Deutsche Nationalbibliothek verzeichnet diese Publikation in der Deutschen Nationalbiblio-


grafie; detaillierte bibliografische Daten sind im Internet über http://dnb.d-nb.de abrufbar.

Springer Vieweg
© Springer-Verlag GmbH Deutschland 1998, 2001, 2005, 2014, 2017
Das Werk einschließlich aller seiner Teile ist urheberrechtlich geschützt. Jede Verwertung, die
nicht ausdrücklich vom Urheberrechtsgesetz zugelassen ist, bedarf der vorherigen Zustimmung
des Verlags. Das gilt insbesondere für Vervielfältigungen, Bearbeitungen, Übersetzungen, Mi-
kroverfilmungen und die Einspeicherung und Verarbeitung in elektronischen Systemen.
Die Wiedergabe von Gebrauchsnamen, Handelsnamen, Warenbezeichnungen usw. in diesem
Werk berechtigt auch ohne besondere Kennzeichnung nicht zu der Annahme, dass solche Namen
im Sinne der Warenzeichen- und Markenschutz-Gesetzgebung als frei zu betrachten wären und
daher von jedermann benutzt werden dürften.
Der Verlag, die Autoren und die Herausgeber gehen davon aus, dass die Angaben und Informa-
tionen in diesem Werk zum Zeitpunkt der Veröffentlichung vollständig und korrekt sind. Weder
der Verlag noch die Autoren oder die Herausgeber übernehmen, ausdrücklich oder implizit, Ge-
währ für den Inhalt des Werkes, etwaige Fehler oder Äußerungen. Der Verlag bleibt im Hinblick
auf geografische Zuordnungen und Gebietsbezeichnungen in veröffentlichten Karten und Insti-
tutionsadressen neutral.

Gedruckt auf säurefreiem und chlorfrei gebleichtem Papier.

Springer Vieweg ist Teil von Springer Nature


Die eingetragene Gesellschaft ist Springer-Verlag GmbH Deutschland
Die Anschrift der Gesellschaft ist: Heidelberger Platz 3, 14197 Berlin, Germany
Vorwort zur siebten, aktualisierten
und verbesserten Auflage

In nunmehr über 20 Jahren hat sich dieses Werk einen Spitzenplatz als Lehr-
buch an Universitäten und Hochschulen der angewandten Wissenschaften
und als Nachschlagewerk für den Praktiker erobert. Sein überzeugendes di-
daktisches Konzept, die klaren Strukturen, die lehrreichen Übungsaufgaben
und die aktuellen, praxisnahen Beispiele sind die Ursache. Bereits drei Jahre
nach der letzten, sechsten Auflage hatten wir die Chance, das erfolgreiche,
völlig überarbeitete Werk an wenigen Stellen zu aktualisieren und zu korri-
gieren.
Die Aktualisierungen betreffen folgende Kapitel:
Prof. Dr. Jürgen Schüle von der Hochschule Aalen ergänzte Kap. 1
(Grundlagen der Elektrotechnik) um die Simulation elektronischer Schaltun-
gen mit SPICE (Abschn. 1.5.5).
Neu eingeführt wurden in Kap. 15 (Schnittstellen, Bussysteme und
Netze) die Abschnitte über die USB-Schnittstelle (Abschn. 15.3.4), die
Bluetooth-Schnittstelle (Abschn. 15.3.5), den IO-Link (Abschn. 15.3.6) und
die Echtzeit-Internet-Protokolle (Abschn. 15.6.4).
Das Kap. 16 (Speicherprogrammierbare Steuerungen) wurde um die für
den Praxiseinsatz wichtigen Kleinsteuerungen (Abschn. 16.5) ergänzt.
Die erfolgreichen Merkmale des Buches: Seine Strukturiertheit, seine vie-
len Beispiele und Übungsaufgaben sowie seine Praxisnähe, kombiniert mit
dem erforderlichen theoretischen Wissen, wurden beibehalten und halfen,
dieses Profil zu schärfen.
Die zahlreichen Hinweise der Studierenden, der Praktiker und der Kol-
legen haben dieses Buch wesentlich verbessert. Dieser fachkundigen Le-
serschaft haben wir, die Autoren und der Springer-Verlag, sehr zu danken.
Stellvertretend hierfür gebührt unser besonderer Dank für die intensive Mit-
arbeit Herrn Prof. Dr. H. Graf von der Fachhochschule München und Herrn
Dr.-Ing. R. Süße von der Technischen Universität Ilmenau. Besonderer Dank
gilt dem Springer-Verlag, insbesondere Frau Birgit Kollmar-Thoni und Frau
Eva Hestermann-Beyerle. Sie haben es mustergültig verstanden, zusammen
mit den Herausgebern, den Autoren und den Herstellern dieses komplexe und
in Text und Bild anspruchsvolle Werk so professionell zu begleiten, dass es
uns immer motiviert hat, in aller gebotener Kürze noch klarer, strukturierter
und praxisorientierter für unsere Leser zu schreiben.
Wir hoffen, dass für unsere Leser die neue, aktualisierte und verbesser-
te Auflage eine noch größere Hilfe bei der Erlernung und der Anwendung
des Wissens in Elektrotechnik und Elektronik sein wird. In der Zukunft wird
V
VI Vorwort zur siebten, aktualisierten und verbesserten Auflage

dieses Wissen im Zuge der Digitalisierung der Ingenieurbereiche von unent-


behrlichem Nutzen sein. Es würde uns sehr freuen, wenn die Leser uns auch
weiterhin mit Verbesserungsvorschlägen und konstruktiver Kritik unterstüt-
zen würden.

Heubach, Ditzingen, Nürtingen Ekbert Hering


Frühjahr 2017 Klaus Bressler
Jürgen Gutekunst
Inhaltsverzeichnis

1 Grundlagen der Elektrotechnik . . . . . . . . . . . . . . . . . . . 1


Ekbert Hering und Rolf Martin
1.1 Physikalische Größen und Einheiten . . . . . . . . . . . . . 1
1.2 Grundbegriffe . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2.1 Ladung . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2.2 Elektrischer Strom . . . . . . . . . . . . . . . . . . . 3
1.2.3 Elektrische Spannung . . . . . . . . . . . . . . . . . 4
1.2.4 Widerstand und Leitwert . . . . . . . . . . . . . . . 5
1.2.5 Elektrische Arbeit und elektrische Leistung . . . 6
1.2.6 Ohm’sches Gesetz . . . . . . . . . . . . . . . . . . . 8
1.2.7 Richtungssinn . . . . . . . . . . . . . . . . . . . . . 9
1.2.8 Bildzeichen . . . . . . . . . . . . . . . . . . . . . . . 9
1.3 Elektrische Netze – Kirchhoff’sche Regeln . . . . . . . . . 9
1.3.1 Knotenregel (1. Kirchhoff’sches Gesetz) . . . . . 9
1.3.2 Maschenregel (2. Kirchhoff’sches Gesetz) . . . . 11
1.3.3 Anwendung der Kirchhoff’schen Gesetze . . . . 12
1.4 Grafische Verfahren zur Ermittlung von Strömen
und Spannungen . . . . . . . . . . . . . . . . . . . . . . . . . 18
1.4.1 Reihenschaltung mit linearem Widerstand
und einem Kaltleiter (PTC) . . . . . . . . . . . . . 18
1.4.2 Reihenschaltung mit linearem Widerstand
und zwei nichtlinearen Bauelementen (Z-Dioden) 19
1.4.3 Schaltungskombination aus linearem Wider-
stand, Kaltleiter und Heißleiter . . . . . . . . . . . 20
1.5 Maschen- und Knotenanalyse . . . . . . . . . . . . . . . . . 22
1.5.1 Ersatzspannungs- und Ersatzstromquelle . . . . . 22
1.5.2 Lineare Überlagerung (Superpositionsprinzip
nach HELMHOLTZ) . . . . . . . . . . . . . . . . . 24
1.5.3 Berechnung elektrischer Netzwerke . . . . . . . . 25
1.5.4 Brückenschaltungen . . . . . . . . . . . . . . . . . . 32
1.5.5 Simulation elektronischer Schaltungen . . . . . . 34
1.6 Grundlagen der Wechselstromlehre . . . . . . . . . . . . . 39
1.6.1 Grundlagen komplexer Rechnung . . . . . . . . . 39
1.6.2 Kenngrößen . . . . . . . . . . . . . . . . . . . . . . . 44
1.6.3 Komplexe Rechnung im Wechselstromkreis . . . 46
1.6.4 Nicht sinusförmige Wechselgrößen . . . . . . . . 56
1.6.5 Dämpfung und Verstärkung . . . . . . . . . . . . . 59
VII
VIII Inhaltsverzeichnis

1.6.6 Shannon’sches Abtasttheorem . . . . . . . . . . . 61


1.6.7 Übung . . . . . . . . . . . . . . . . . . . . . . . . . . 63
1.7 Bezeichnung und Messung elektrischer Größen . . . . . . 63
1.7.1 Bezeichnung elektrischer Größen . . . . . . . . . 63
1.7.2 Messung elektrischer Größen . . . . . . . . . . . . 64
1.8 Grundlagen der Halbleiterphysik . . . . . . . . . . . . . . . 65
1.8.1 Materialien . . . . . . . . . . . . . . . . . . . . . . . 65
1.8.2 Energiebänder . . . . . . . . . . . . . . . . . . . . . 65
1.8.3 Ladungsträgerkonzentration . . . . . . . . . . . . . 69
1.8.4 Beweglichkeit . . . . . . . . . . . . . . . . . . . . . 75
1.8.5 Leitfähigkeit . . . . . . . . . . . . . . . . . . . . . . 76
1.8.6 Ausgleichsvorgänge . . . . . . . . . . . . . . . . . . 77
1.8.7 pn-Übergang . . . . . . . . . . . . . . . . . . . . . . 80
1.8.8 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . 85
1.9 Herstellung kompletter Schaltungen . . . . . . . . . . . . . 87
1.9.1 Leiterplatten . . . . . . . . . . . . . . . . . . . . . . 87
1.9.2 Streifenleiter . . . . . . . . . . . . . . . . . . . . . . 91
1.9.3 SMT (Surface Mounted Technology) . . . . . . . 91
1.9.4 Dickschicht-Technologie . . . . . . . . . . . . . . . 93
1.9.5 Dünnschicht-Technologie . . . . . . . . . . . . . . 93
1.9.6 Trägermaterial mit eingebetteten Bauteilen . . . 94
1.10 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 94

2 Passive Bauelemente . . . . . . . . . . . . . . . . . . . . . . . . . . 95
Ekbert Hering und Klaus Bressler
2.1 Elektronische Bauelemente . . . . . . . . . . . . . . . . . . 95
2.1.1 Übersicht . . . . . . . . . . . . . . . . . . . . . . . . 95
2.1.2 Anforderungen und Anwendungsklassen . . . . . 95
2.1.3 Zuverlässigkeit . . . . . . . . . . . . . . . . . . . . . 96
2.1.4 Normreihen . . . . . . . . . . . . . . . . . . . . . . . 101
2.1.5 Klassifikation von diskreten Halbleiter-Bauele-
menten . . . . . . . . . . . . . . . . . . . . . . . . . . 102
2.1.6 Datenblätter . . . . . . . . . . . . . . . . . . . . . . . 102
2.2 Widerstände . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
2.2.1 Übersicht über die Widerstände . . . . . . . . . . . 103
2.2.2 Lineare Festwiderstände . . . . . . . . . . . . . . . 104
2.2.3 Nichtlineare Widerstände . . . . . . . . . . . . . . 110
2.2.4 Einstellbare Widerstände
(Potenziometer und Trimmer) . . . . . . . . . . . . 117
2.2.5 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . 118
2.3 Kondensatoren . . . . . . . . . . . . . . . . . . . . . . . . . . 118
2.3.1 Übersicht über die Kondensatoren . . . . . . . . . 122
2.3.2 Kondensatoren mit dünnen Folien
als Dielektrikum . . . . . . . . . . . . . . . . . . . . 123
2.3.3 Elektrolyt-Kondensatoren . . . . . . . . . . . . . . 128
2.3.4 Keramik-Kondensatoren . . . . . . . . . . . . . . . 131
2.3.5 Einstellbare Kondensatoren . . . . . . . . . . . . . 135
2.4 Induktivitäten . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
Inhaltsverzeichnis IX

2.4.1 Kerneigenschaften . . . . . . . . . . . . . . . . . . . 136


2.4.2 Wicklungseigenschaften . . . . . . . . . . . . . . . 143
2.5 Dioden ................. . . . . . . . . . . . . . . 146
2.5.1 Schaltdioden . . . . . . . . . . . . . . . . . . . . . . 147
2.5.2 Schottky-Dioden . . . . . . . . . . . . . . . . . . . . 150
2.5.3 Gleichrichterdioden . . . . . . . . . . . . . . . . . . 152
2.5.4 Schottky-Leistungsdioden . . . . . . . . . . . . . . 157
2.5.5 Z-Dioden . . . . . . . . . . . . . . . . . . . . . . . . 157
2.5.6 Diac Triggerdioden . . . . . . . . . . . . . . . . . . 159
2.5.7 Fotodioden . . . . . . . . . . . . . . . . . . . . . . . 159
2.5.8 Kapazitätsdioden . . . . . . . . . . . . . . . . . . . 161
2.5.9 Pin-Dioden . . . . . . . . . . . . . . . . . . . . . . . 162
2.5.10 Step-Recovery-Dioden . . . . . . . . . . . . . . . . 165
2.5.11 Tunneldioden . . . . . . . . . . . . . . . . . . . . . . 165
2.5.12 Backwarddioden . . . . . . . . . . . . . . . . . . . . 166

3 Transistoren . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
Klaus Bressler und Harald Rudolph
3.1 Transistoren . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
3.1.1 Arten von Transistoren und deren Aufbau . . . . 167
3.1.2 Beschaltung und Funktion des Transistors . . . . 169
3.1.3 Wichtige Kennwerte von Transistoren . . . . . . . 170
3.1.4 Weitere Kennwerte . . . . . . . . . . . . . . . . . . 176
3.1.5 Transistor-Grenzwerte . . . . . . . . . . . . . . . . 177
3.1.6 Typenschlüssel für Halbleiter . . . . . . . . . . . . 181
3.1.7 Transistordatenblatt . . . . . . . . . . . . . . . . . . 183
3.2 Analoge Grundschaltungen mit bipolaren Transistoren . . 183
3.2.1 Emitterschaltung . . . . . . . . . . . . . . . . . . . . 183
3.2.2 Kollektorschaltung . . . . . . . . . . . . . . . . . . 193
3.2.3 Basisschaltung . . . . . . . . . . . . . . . . . . . . . 195
3.2.4 Stromquelle . . . . . . . . . . . . . . . . . . . . . . . 197
3.2.5 Stromspiegel . . . . . . . . . . . . . . . . . . . . . . 198
3.2.6 Differenzverstärker . . . . . . . . . . . . . . . . . . 199
3.2.7 Darlingtonschaltung . . . . . . . . . . . . . . . . . . 204
3.2.8 Verstärker für höhere Frequenzen . . . . . . . . . 205
3.2.9 Kaskodeschaltung . . . . . . . . . . . . . . . . . . . 207
3.3 Feldeffekttransistoren . . . . . . . . . . . . . . . . . . . . . . 208
3.3.1 Sperrschicht-Feldeffekttransistoren (JFET) . . . 209
3.3.2 MOS-Feldeffekttransistoren . . . . . . . . . . . . . 211
3.3.3 Weitere Kennwerte der Feldeffekttransistoren . . 214
3.3.4 Grenzwerte der Feldeffekttransistoren . . . . . . . 216
3.4 Schaltungstechnik mit Feldeffekttransistoren . . . . . . . . 217
3.4.1 Übergang vom bipolaren Transistor
zum Feldeffekttransistor . . . . . . . . . . . . . . . 217
3.4.2 Grundschaltungen der Feldeffekttransistoren . . 218
3.4.3 Stabilisierung des Arbeitspunktes
und der Verstärkung durch Gegenkopplung . . . 219
3.4.4 Wirkung der Gegenkopplung . . . . . . . . . . . . 220
X Inhaltsverzeichnis

3.4.5 Differenzverstärker mit Feldeffekttransistoren . . 221


3.4.6 Steuerbare Spannungsteiler
mit Feldeffekttransistoren . . . . . . . . . . . . . . 222
3.4.7 Feldeffekttransistoren als Schalter
für analoge Signale . . . . . . . . . . . . . . . . . . 222
3.4.8 Dual-Gate-MOSFET (Doppelgate-MOSFET) . . 223
3.4.9 MOSFET-Leistungstransistoren für Schalter . . . 223
3.4.10 MOSFET-Leistungstransistoren
für analoge Verstärker . . . . . . . . . . . . . . . . 229
3.5 Lineare und nichtlineare Verstärker . . . . . . . . . . . . . . 231
3.5.1 Wichtige Eigenschaften linearer Verstärker . . . 231
3.5.2 Herleitung der Oberschwingungen
und der Mischprodukte . . . . . . . . . . . . . . . . 231
3.5.3 Messverfahren zur Beurteilung von Verstärkern . 232
3.5.4 Nichtlineare Verstärker . . . . . . . . . . . . . . . . 233
3.5.5 Aufbau linearer Verstärker in der Praxis . . . . . 233
3.5.6 Schaltungstechnische Besonderheiten
gegengekoppelter Verstärker . . . . . . . . . . . . 235
3.5.7 Vorsichtsmaßnahmen beim Umgang
mit Halbleitern . . . . . . . . . . . . . . . . . . . . . 236
3.5.8 Rechnergestützte Schaltungsberechnung . . . . . 237
3.6 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
3.7 Quarzoszillatoren . . . . . . . . . . . . . . . . . . . . . . . . . 238
3.7.1 Grundlagen . . . . . . . . . . . . . . . . . . . . . . . 238
3.7.2 Frequenzstabilität und Frequenzgenauigkeit . . . 240
3.7.3 Kurzzeitstabilität, Phasenrauschen und Jitter . . . 241
3.7.4 Phasenrauschen . . . . . . . . . . . . . . . . . . . . 242
3.7.5 Phasenjitter . . . . . . . . . . . . . . . . . . . . . . . 243
3.7.6 Langzeitstabilität . . . . . . . . . . . . . . . . . . . 244
3.7.7 Last- und Betriebsspannungsabhängigkeit
der Frequenz . . . . . . . . . . . . . . . . . . . . . . 245
3.7.8 Frequenzgenauigkeit . . . . . . . . . . . . . . . . . 245
3.7.9 XOs . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
3.7.10 Temperaturabhängigkeit der Frequenz . . . . . . 246
3.7.11 VCXO . . . . . . . . . . . . . . . . . . . . . . . . . . 247
3.7.12 Hochfrequente VCXOs . . . . . . . . . . . . . . . . 248
3.7.13 (VC)TCXOs . . . . . . . . . . . . . . . . . . . . . . 249
3.7.14 (VC)OCXOs . . . . . . . . . . . . . . . . . . . . . . 251
3.7.15 Auswahlkriterien . . . . . . . . . . . . . . . . . . . 253
3.7.16 Kostenparameter von Quarzoszillatoren . . . . . 254
3.7.17 Vor- und Nachteile von Ausgangssignalformen . 254
3.7.18 Anpassung der Schnittstelle zum Verbraucher . . 255
3.7.19 Ausführungsformen von Quarzoszillatoren . . . 256
3.8 Weiterführende Literatur zu Abschn. 3.1 bis Abschn. 3.5 257
3.8.1 Normen . . . . . . . . . . . . . . . . . . . . . . . . . 258
3.8.2 Weiterführende Literatur zu Abschn. 3.7 . . . . . 258
3.8.3 Web-Seiten . . . . . . . . . . . . . . . . . . . . . . . 258
Inhaltsverzeichnis XI

4 Hochfrequenz-Verstärker . . . . . . . . . . . . . . . . . . . . . . . 259
Jürgen Langner
4.1 Anpassung und Reflexion . . . . . . . . . . . . . . . . . . . 259
4.2 Transport der Hochfrequenz auf Leitungen . . . . . . . . . 260
4.3 Wellenwiderstand einer Hochfrequenzleitung . . . . . . . 262
4.4 Eingangs- und Ausgangswiderstände
von HF-Transistoren . . . . . . . . . . . . . . . . . . . . . . . 263
4.4.1 S-Parameter . . . . . . . . . . . . . . . . . . . . . . . 264
4.4.2 Definition der S-Parameter . . . . . . . . . . . . . 264
4.4.3 Messung der S-Parameter . . . . . . . . . . . . . . 265
4.5 Rauschparameter . . . . . . . . . . . . . . . . . . . . . . . . . 266
4.5.1 Rauschfaktor . . . . . . . . . . . . . . . . . . . . . . 267
4.5.2 Rauschen bei mehrstufigen Verstärkern . . . . . . 268
4.6 Darstellung komplexer Größen . . . . . . . . . . . . . . . . 268
4.7 Anwendung des Smith-Diagramms . . . . . . . . . . . . . . 270
4.7.1 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . 274
4.8 Aufbau von HF-Schaltungen aus fertigen HF-Bauteilen . 274
4.8.1 Verstärker . . . . . . . . . . . . . . . . . . . . . . . . 275
4.8.2 Leistungsteiler (engl.: Power Divider) . . . . . . . 276
4.8.3 Richtkoppler – (engl.: Directional Couplers) . . . 276
4.8.4 90ı -Hybrid-Koppler – (engl.: 90ı Hybrid
Coupler) . . . . . . . . . . . . . . . . . . . . . . . . . 277
4.8.5 Zirkulator – (engl.: Circulator) . . . . . . . . . . . 277
4.8.6 Tiefpassfilter – (engl.: Low-Pass Filter)
und Hochpassfilter (engl.: High Pass Filter) . . . 278
4.8.7 Bandpassfilter – (engl.: Band-Pass Filter) . . . . 278
4.8.8 Mischer – (engl.: Mixer) . . . . . . . . . . . . . . . 279
4.8.9 Demodulator – Detektor (engl.: Demodulator) . 280
4.8.10 Beispiel einer HF-Schaltung
aus fertigen Komponenten . . . . . . . . . . . . . . 280
4.8.11 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . 281
4.9 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 282
4.9.1 Web-Seiten . . . . . . . . . . . . . . . . . . . . . . . 282

5 Bauelemente der Leistungselektronik . . . . . . . . . . . . . . . 283


Jürgen Gutekunst
5.1 Passive Bauelemente . . . . . . . . . . . . . . . . . . . . . . 283
5.1.1 Induktivitäten . . . . . . . . . . . . . . . . . . . . . . 284
5.1.2 Stromtransformatoren . . . . . . . . . . . . . . . . 287
5.1.3 Kondensatoren . . . . . . . . . . . . . . . . . . . . . 289
5.1.4 Hochleistungswiderstände . . . . . . . . . . . . . . 294
5.1.5 Hochleistungsdioden . . . . . . . . . . . . . . . . . 296
5.1.6 Schutzelemente . . . . . . . . . . . . . . . . . . . . 296
5.2 Aktive Bauelemente . . . . . . . . . . . . . . . . . . . . . . . 297
5.2.1 Power MOS-FET . . . . . . . . . . . . . . . . . . . 298
5.2.2 Darlingtonschaltung . . . . . . . . . . . . . . . . . . 298
5.2.3 IGBT . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
5.2.4 Smart Power ICs . . . . . . . . . . . . . . . . . . . . 300
XII Inhaltsverzeichnis

5.2.5 SCR (Silicon Controlled Rectifier), Thyristor . . 301


5.2.6 GTO . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
5.2.7 Triac . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
5.2.8 Sicherheitsbeschaltung von Leistungshalbleitern 304
5.2.9 Spannungssteuerung eines Thyristors . . . . . . . 305
5.3 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 306

6 Optoelektronik . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
Rolf Martin
6.1 Einleitung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
6.2 Radiometrische und fotometrische Größen . . . . . . . . . 308
6.2.1 Radiometrische Größen . . . . . . . . . . . . . . . 309
6.2.2 Fotometrische Größen . . . . . . . . . . . . . . . . 310
6.3 Halbleiter-Sender . . . . . . . . . . . . . . . . . . . . . . . . . 312
6.3.1 Strahlungsemission aus Halbleitern . . . . . . . . 312
6.3.2 Lumineszenzdioden . . . . . . . . . . . . . . . . . . 313
6.3.3 Halbleiterlaser . . . . . . . . . . . . . . . . . . . . . 322
6.4 Displays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329
6.4.1 Anthropotechnische Gesichtspunkte . . . . . . . . 329
6.4.2 Displaytypen . . . . . . . . . . . . . . . . . . . . . . 330
6.4.3 Analoganzeigen . . . . . . . . . . . . . . . . . . . . 336
6.4.4 Numerische Anzeigen . . . . . . . . . . . . . . . . 336
6.4.5 Alphanumerische Anzeigen . . . . . . . . . . . . . 339
6.5 Halbleiter-Detektoren . . . . . . . . . . . . . . . . . . . . . . 340
6.5.1 Strahlungsabsorption in Halbleitern . . . . . . . . 340
6.5.2 Gütekriterien von Detektoren . . . . . . . . . . . . 341
6.5.3 Fotowiderstand . . . . . . . . . . . . . . . . . . . . . 342
6.5.4 Fotodiode . . . . . . . . . . . . . . . . . . . . . . . . 345
6.5.5 Solarzelle . . . . . . . . . . . . . . . . . . . . . . . . 355
6.5.6 Wirkungsweise . . . . . . . . . . . . . . . . . . . . . 355
6.5.7 Wirkungsgrad . . . . . . . . . . . . . . . . . . . . . 357
6.5.8 Fototransistor . . . . . . . . . . . . . . . . . . . . . . 360
6.5.9 Fotothyristor . . . . . . . . . . . . . . . . . . . . . . 362
6.5.10 Bildsensoren . . . . . . . . . . . . . . . . . . . . . . 363
6.6 Optokoppler . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367
6.7 Lichtwellenleiter . . . . . . . . . . . . . . . . . . . . . . . . . 371
6.8 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377
6.9 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 379

7 Sensoren . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381
Ekbert Hering
7.1 Grundlagen . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381
7.1.1 Definition und Einteilung . . . . . . . . . . . . . . 381
7.1.2 Wirtschaftliche und technische Bedeutung . . . . 381
7.2 Sensoren für die wichtigsten Messgrößen . . . . . . . . . . 386
7.2.1 Weg- und Positions-Sensoren . . . . . . . . . . . . 386
7.2.2 Kraft- und Druck-Sensoren . . . . . . . . . . . . . 391
7.2.3 Temperatur-Sensoren . . . . . . . . . . . . . . . . . 392
Inhaltsverzeichnis XIII

7.3 Werkstoffe und Technologien . . . . . . . . . . . . . . . . . 394


7.3.1 Siliciumtechnik . . . . . . . . . . . . . . . . . . . . 394
7.3.2 Dünnschichttechnik . . . . . . . . . . . . . . . . . . 397
7.3.3 Dickschichttechnik . . . . . . . . . . . . . . . . . . 398
7.3.4 Faseroptische Sensoren . . . . . . . . . . . . . . . . 399
7.3.5 Chemische Sensoren . . . . . . . . . . . . . . . . . 400
7.4 Bevorzugte Einsatzgebiete . . . . . . . . . . . . . . . . . . . 402
7.5 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 404

8 Analoge integrierte Schaltungen . . . . . . . . . . . . . . . . . . . 405


Klaus Bressler und Rolf Martin
8.1 Herstellung und Technologie . . . . . . . . . . . . . . . . . 405
8.2 Operationsverstärker . . . . . . . . . . . . . . . . . . . . . . . 406
8.2.1 Idealer und realer Operationsverstärker . . . . . . 406
8.2.2 Schaltungstechnischer Aufbau . . . . . . . . . . . 407
8.2.3 Beispiel eines Standardverstärkers . . . . . . . . . 412
8.2.4 Operationsverstärker für höhere Anforderungen 415
8.2.5 Stabilitätsbetrachtung . . . . . . . . . . . . . . . . . 416
8.2.6 Verstärker mit sehr kleiner Offsetspannung
(Zero Drift) . . . . . . . . . . . . . . . . . . . . . . . 420
8.2.7 Verstärker für eine Versorgungsspannung . . . . 421
8.2.8 Rail to Rail Verstärker . . . . . . . . . . . . . . . . 422
8.2.9 Sehr schnelle Operationsverstärker . . . . . . . . 423
8.2.10 Verschiedene Operationsverstärker
mit besonderen Eigenschaften . . . . . . . . . . . 425
8.3 Operationsverstärker mit statischer Beschaltung . . . . . . 425
8.3.1 Invertierender Spannungsverstärker . . . . . . . . 428
8.3.2 Nicht invertierender Spannungsverstärker . . . . 429
8.3.3 Subtrahierverstärker . . . . . . . . . . . . . . . . . . 430
8.3.4 Schmitt-Trigger . . . . . . . . . . . . . . . . . . . . 432
8.3.5 Nichtlinearer Verstärker . . . . . . . . . . . . . . . 433
8.3.6 Addierender Verstärker, invertierend . . . . . . . 435
8.3.7 Konstantstromquellen . . . . . . . . . . . . . . . . . 436
8.3.8 Idealer Einweggleichrichter . . . . . . . . . . . . . 437
8.3.9 Zweiweggleichrichter ohne gemeinsames
Potenzial . . . . . . . . . . . . . . . . . . . . . . . . 438
8.3.10 Zweiweggleichrichter
mit gemeinsamem Potenzial . . . . . . . . . . . . . 438
8.3.11 Spitzenwertgleichrichter . . . . . . . . . . . . . . . 439
8.3.12 Logarithmierschaltung . . . . . . . . . . . . . . . . 439
8.3.13 Delogarithmierschaltung (Exponentialverstärker) 441
8.4 Operationsverstärker mit dynamischer Beschaltung . . . . 442
8.4.1 Integrator . . . . . . . . . . . . . . . . . . . . . . . . 443
8.4.2 Differenzierer . . . . . . . . . . . . . . . . . . . . . 447
8.4.3 Filterschaltungen . . . . . . . . . . . . . . . . . . . 448
8.5 Weitere wichtige integrierte Analogschaltungen . . . . . . 458
8.5.1 Komparatoren . . . . . . . . . . . . . . . . . . . . . 458
8.5.2 Spannungsregler . . . . . . . . . . . . . . . . . . . . 459
XIV Inhaltsverzeichnis

8.5.3 Bandabstands-Referenzelement
(Bandgap Voltage Reference) . . . . . . . . . . . . 461
8.6 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465
8.7 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 466

9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digi-


tale Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
Klaus Bressler und Julian Endres
9.1 Digital-Analog-Wandler (DA-Wandler) . . . . . . . . . . . 467
9.1.1 R-2R-Leiternetzwerk . . . . . . . . . . . . . . . . . 468
9.1.2 Multiplizierender DA-Wandler . . . . . . . . . . . 469
9.1.3 Vier-Quadranten multiplizierender DA-Wandler 470
9.1.4 Parallel-DA-Wandler . . . . . . . . . . . . . . . . . 471
9.1.5 Datenwandler mit mikroprozessorkompatibler
Schnittstelle . . . . . . . . . . . . . . . . . . . . . . . 472
9.1.6 Unerwünschte Spitzen beim Weiterzählen
des digitalen Eingangswertes . . . . . . . . . . . . 473
9.1.7 Fehler bei der Datenumsetzung . . . . . . . . . . . 475
9.1.8 Delta-Sigma DA-Wandler . . . . . . . . . . . . . . 476
9.2 Analog-Digital-Wandler (AD-Wandler) . . . . . . . . . . . 477
9.2.1 Integrierende Analog-Digital-Wandler . . . . . . 477
9.2.2 Analog-Digital-Wandler nach dem Prinzip
der sukzessiven Approximation . . . . . . . . . . . 481
9.2.3 Abtast- und Halteschaltung (Sample and Hold) . 483
9.2.4 Parallel-Analog-Digital-Wandler . . . . . . . . . . 484
9.2.5 Analog-Digital-Wandler
nach dem Delta-Sigma-Verfahren . . . . . . . . . 486
9.3 Digitale Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . 490
9.3.1 Grundlagen und Eigenschaften
zeitdiskreter LTI-Systeme . . . . . . . . . . . . . . 490
9.3.2 Infinite Impulse Response-Filter (IIR-Filter) . . . 493
9.3.3 Finite Impulse Response (FIR)-Filter . . . . . . . 495
9.3.4 Implementierung digitaler Filter . . . . . . . . . . 498
9.4 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 502

10 Elektronische Regler . . . . . . . . . . . . . . . . . . . . . . . . . . 503


Joachim Kempkes
10.1 Steuerung und Regelung . . . . . . . . . . . . . . . . . . . . 503
10.2 Grundlagen . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504
10.2.1 Aufbau des Regelkreises . . . . . . . . . . . . . . . 505
10.2.2 Regelstrecke . . . . . . . . . . . . . . . . . . . . . . 505
10.2.3 Offener und geschlossener Regelkreis . . . . . . . 512
10.2.4 Stabilität . . . . . . . . . . . . . . . . . . . . . . . . . 514
10.3 Reglerentwurf . . . . . . . . . . . . . . . . . . . . . . . . . . . 515
10.3.1 Reglertypen . . . . . . . . . . . . . . . . . . . . . . . 516
10.3.2 Kaskadenregelung . . . . . . . . . . . . . . . . . . . 519
10.3.3 Optimierung und Simulation . . . . . . . . . . . . 523
10.3.4 Empirische Einstellregeln . . . . . . . . . . . . . . 525
Inhaltsverzeichnis XV

10.4 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527


10.5 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 527

11 Grundlagen der digitalen Schaltungstechnik . . . . . . . . . . 529


Jürgen Gutekunst
11.1 Zahlensysteme . . . . . . . . . . . . . . . . . . . . . . . . . . 529
11.1.1 Duales Zahlensystem . . . . . . . . . . . . . . . . . 530
11.1.2 Hexadezimales Zahlensystem . . . . . . . . . . . . 531
11.1.3 BCD-Zahlensystem . . . . . . . . . . . . . . . . . . 534
11.1.4 Erweiterungen des binären Zahlensystems . . . . 535
11.2 Kodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539
11.2.1 Gray-Kode . . . . . . . . . . . . . . . . . . . . . . . 540
11.2.2 Fernschreibe-Kode . . . . . . . . . . . . . . . . . . 541
11.2.3 ASCII-Kode . . . . . . . . . . . . . . . . . . . . . . 543
11.2.4 Redundante Kodes . . . . . . . . . . . . . . . . . . 546
11.2.5 Fehlererkennende Kodes . . . . . . . . . . . . . . . 546
11.2.6 Fehlerkorrigierende Kodes . . . . . . . . . . . . . . 548
11.3 Grundlagen der Boole’schen Algebra . . . . . . . . . . . . 551
11.3.1 Binäre Verknüpfungen . . . . . . . . . . . . . . . . 551
11.3.2 Gesetze von Boole und De Morgan . . . . . . . . 554
11.3.3 Entwicklung einer Schaltung
mit Hilfe der Boole’schen Algebra . . . . . . . . . 557
11.4 Minimierung nach Karnaugh-Veitch . . . . . . . . . . . . . 559
11.4.1 Grundlagen . . . . . . . . . . . . . . . . . . . . . . . 559
11.4.2 Karnaugh-Veitch-Diagramm
für drei Eingangsvariable . . . . . . . . . . . . . . 562
11.4.3 Karnaugh-Veitch-Diagramm
für vier Eingangsvariable . . . . . . . . . . . . . . 563
11.4.4 Karnaugh-Veitch-Diagramm
für fünf Eingangsvariable . . . . . . . . . . . . . . 564
11.4.5 Karnaugh-Veitch-Diagramm
für sechs und mehr Eingangsvariable . . . . . . . 566
11.4.6 Beispiele zur Karnaugh-Veitch-Minimierung . . 568
11.5 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570
11.6 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 573

12 Digitale Bauelemente . . . . . . . . . . . . . . . . . . . . . . . . . . 575


Jürgen Gutekunst
12.1 Logikfamilien . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
12.1.1 TTL . . . . . . . . . . . . . . . . . . . . . . . . . . . 579
12.1.2 FAST . . . . . . . . . . . . . . . . . . . . . . . . . . . 582
12.1.3 CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . 583
12.1.4 High-Speed-CMOS . . . . . . . . . . . . . . . . . . 587
12.1.5 ECL . . . . . . . . . . . . . . . . . . . . . . . . . . . 591
12.1.6 Schaltzeichen und Gehäuseformen . . . . . . . . . 593
12.2 Flip Flops und Zähler . . . . . . . . . . . . . . . . . . . . . . 595
12.2.1 Flip Flops . . . . . . . . . . . . . . . . . . . . . . . . 595
12.2.2 Zähler . . . . . . . . . . . . . . . . . . . . . . . . . . 598
XVI Inhaltsverzeichnis

12.3 Speicherbauteile und Speicheraufbau . . . . . . . . . . . . 600


12.3.1 Flüchtige Speicher . . . . . . . . . . . . . . . . . . . 601
12.3.2 Nicht flüchtige Speicher . . . . . . . . . . . . . . . 603
12.3.3 Sonderformen von Speicherbauteilen . . . . . . . 605
12.3.4 Aufbau großer Speichersysteme . . . . . . . . . . 607
12.4 Mikrorechner . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
12.4.1 Mikroprozessoren . . . . . . . . . . . . . . . . . . . 610
12.4.2 Mikro Controller . . . . . . . . . . . . . . . . . . . . 612
12.4.3 RISC-Computer . . . . . . . . . . . . . . . . . . . . 614
12.4.4 Transputer . . . . . . . . . . . . . . . . . . . . . . . . 615
12.5 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
12.6 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 617

13 Entwicklung digitaler Schaltungen . . . . . . . . . . . . . . . . . 619


Jürgen Gutekunst
13.1 Entwicklungsphasen . . . . . . . . . . . . . . . . . . . . . . . 619
13.2 Pulsfahrplan . . . . . . . . . . . . . . . . . . . . . . . . . . . . 625
13.3 Leitungen für digitale Signale . . . . . . . . . . . . . . . . . 628
13.3.1 Bandbegrenzung digitaler Signale . . . . . . . . . 628
13.3.2 Reflexionen . . . . . . . . . . . . . . . . . . . . . . . 630
13.4 Störfreier Entwurf digitaler Schaltungen
(Glitch-Free-Design) . . . . . . . . . . . . . . . . . . . . . . 642
13.5 Phase Locked Loop . . . . . . . . . . . . . . . . . . . . . . . 645
13.5.1 Grundlagen . . . . . . . . . . . . . . . . . . . . . . . 645
13.5.2 Digitaler PLL . . . . . . . . . . . . . . . . . . . . . . 648
13.5.3 Tiefpass 1. Ordnung . . . . . . . . . . . . . . . . . . 655
13.6 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656
13.7 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 658

14 ASIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 659
Jürgen Gutekunst
14.1 Übersicht . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 661
14.1.1 Digitale ASIC-Familien . . . . . . . . . . . . . . . 661
14.1.2 Analoge ASIC . . . . . . . . . . . . . . . . . . . . . 662
14.2 Programmierbare logische Bauteile (PLD) . . . . . . . . . 665
14.2.1 Aufbau des PAL (Programmable Array Logic) . 666
14.2.2 Realisierung einer Schaltung . . . . . . . . . . . . 671
14.2.3 Testen von PLD-Bauteilen . . . . . . . . . . . . . . 672
14.3 Digitale Gate-Arrays . . . . . . . . . . . . . . . . . . . . . . 675
14.3.1 Kanal-Gate-Array . . . . . . . . . . . . . . . . . . . 675
14.3.2 Kanallose Gate-Arrays (Sea of Gates) . . . . . . . 676
14.3.3 Programmierbare Gate-Arrays . . . . . . . . . . . 677
14.4 Standard-Zellen-ASIC . . . . . . . . . . . . . . . . . . . . . 681
14.4.1 Aufbau der Standard-Zellen-ASIC . . . . . . . . . 683
14.4.2 Elektronenstrahl-Direkt-Schreibverfahren . . . . 684
14.4.3 Standardisierte Kundenschaltkreise
(Application Specific Standard Products, ASSP) 685
14.5 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 686
Inhaltsverzeichnis XVII

15 Schnittstellen, Bussysteme und Netze . . . . . . . . . . . . . . . 687


Jürgen Gutekunst
15.1 Grundbegriffe der Datenübertragung . . . . . . . . . . . . . 688
15.1.1 Verbindung, Betrieb und Übertragung . . . . . . . 688
15.1.2 Grundlagen zur seriellen Datenübertragung . . . 690
15.1.3 Grundlagen zur parallele Datenübertragung . . . 692
15.1.4 Topologien . . . . . . . . . . . . . . . . . . . . . . . 693
15.2 Parallele Schnittstellen . . . . . . . . . . . . . . . . . . . . . 694
15.2.1 IEC-Bus . . . . . . . . . . . . . . . . . . . . . . . . . 694
15.2.2 SCSI-Bus . . . . . . . . . . . . . . . . . . . . . . . . 696
15.3 Serielle Schnittstellen . . . . . . . . . . . . . . . . . . . . . . 699
15.3.1 TIA-232-F, V.24-Schnittstelle . . . . . . . . . . . . 699
15.3.2 I2 C -Schnittstelle . . . . . . . . . . . . . . . . . . . 705
15.3.3 SPI-Schnittstelle . . . . . . . . . . . . . . . . . . . . 707
15.3.4 USB . . . . . . . . . . . . . . . . . . . . . . . . . . . 708
15.3.5 Bluetooth . . . . . . . . . . . . . . . . . . . . . . . . 710
15.3.6 IO-Link . . . . . . . . . . . . . . . . . . . . . . . . . 712
15.4 Bussysteme . . . . . . . . . . . . . . . . . . . . . . . . . . . . 716
15.4.1 Struktur und Arbitrierungsverfahren . . . . . . . . 716
15.4.2 Profibus . . . . . . . . . . . . . . . . . . . . . . . . . 718
15.4.3 CAN-Bus . . . . . . . . . . . . . . . . . . . . . . . . 720
15.5 Netze . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
15.5.1 Einführung . . . . . . . . . . . . . . . . . . . . . . . 725
15.5.2 Das OSI-Modell . . . . . . . . . . . . . . . . . . . . 726
15.5.3 Zugriffsverfahren bei Netzen . . . . . . . . . . . . 730
15.6 Ethernet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 731
15.6.1 Einführung . . . . . . . . . . . . . . . . . . . . . . . 733
15.6.2 Ethernet Hub und Ethernet Switch . . . . . . . . . 736
15.6.3 TCP=IP . . . . . . . . . . . . . . . . . . . . . . . . . 737
15.6.4 Echtzeit Ethernet-Protokolle . . . . . . . . . . . . 738
15.7 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 743
15.7.1 Organisationen im Web: . . . . . . . . . . . . . . . 744

16 Speicherprogrammierbare Steuerungen . . . . . . . . . . . . . 745


Rainer Hönle
16.1 Einführung . . . . . . . . . . . . . . . . . . . . . . . . . . . . 745
16.2 Aufbau und Funktionsweise einer SPS . . . . . . . . . . . 751
16.3 Befehle einer SPS . . . . . . . . . . . . . . . . . . . . . . . . 754
16.3.1 Basisfunktionen . . . . . . . . . . . . . . . . . . . . 754
16.3.2 Digitalfunktionen . . . . . . . . . . . . . . . . . . . 764
16.3.3 Sprungoperationen . . . . . . . . . . . . . . . . . . 772
16.3.4 Programmfluss-Steuerung . . . . . . . . . . . . . . 775
16.4 Programmierung einer SPS . . . . . . . . . . . . . . . . . . 776
16.5 Kleinsteuerungen . . . . . . . . . . . . . . . . . . . . . . . . . 789
16.6 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 791
16.7 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 792
16.8 Marken und Warenzeichen . . . . . . . . . . . . . . . . . . . 792
XVIII Inhaltsverzeichnis

17 Spannungsversorgungen . . . . . . . . . . . . . . . . . . . . . . . . 793
Jürgen Gutekunst
17.1 Trafonetzgeräte . . . . . . . . . . . . . . . . . . . . . . . . . . 793
17.1.1 Potenzialtrennung . . . . . . . . . . . . . . . . . . . 794
17.1.2 Transformator . . . . . . . . . . . . . . . . . . . . . 794
17.1.3 Transformator-Netzteil . . . . . . . . . . . . . . . . 796
17.1.4 Gleichrichter-Schaltungen . . . . . . . . . . . . . . 796
17.1.5 Lineare Regler . . . . . . . . . . . . . . . . . . . . . 800
17.2 Spannungswandler . . . . . . . . . . . . . . . . . . . . . . . . 804
17.2.1 Prinzip der getakteten Stromversorgung . . . . . 805
17.2.2 Durchflusswandler . . . . . . . . . . . . . . . . . . 807
17.2.3 Sperrwandler oder Inverswandler . . . . . . . . . 815
17.3 Unterbrechungsfreie Stromversorgungen (USV) . . . . . . 816
17.3.1 Aufbau der USV . . . . . . . . . . . . . . . . . . . . 816
17.3.2 Störunterdrückung durch die USV . . . . . . . . . 819
17.4 Entstörtechnik und Netzfilter . . . . . . . . . . . . . . . . . 820
17.4.1 Entstörkondensatoren . . . . . . . . . . . . . . . . . 820
17.4.2 Netzfilter . . . . . . . . . . . . . . . . . . . . . . . . 821
17.4.3 Dreiphasen Netzfilter . . . . . . . . . . . . . . . . . 821
17.5 Zur Übung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 823
17.6 Weiterführende Literatur . . . . . . . . . . . . . . . . . . . . 823

18 Lösungen der Übungsaufgaben . . . . . . . . . . . . . . . . . . . 825


Ekbert Hering, Klaus Bressler, Rolf Martin, Jürgen Gutekunst
und Rainer Hönle
18.1 Grundlagen der Elektrotechnik . . . . . . . . . . . . . . . . 825
18.2 Passive Bauelemente . . . . . . . . . . . . . . . . . . . . . . 827
18.3 Aktive Bauelemente . . . . . . . . . . . . . . . . . . . . . . . 827
18.4 Hochfrequenz (HF)-Verstärker . . . . . . . . . . . . . . . . 827
18.5 Bauelemente der Leistungselektronik . . . . . . . . . . . . 828
18.6 Optoelektronik . . . . . . . . . . . . . . . . . . . . . . . . . . 828
18.7 Sensoren . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 829
18.8 Analoge integrierte Schaltungen . . . . . . . . . . . . . . . 829
18.9 Digital-Analog- und Analog-Digital-Wandler . . . . . . . 830
18.10 Elektronische Regler . . . . . . . . . . . . . . . . . . . . . . 830
18.11 Grundlagen der digitalen Schaltungstechnik . . . . . . . . 832
18.12 Digitale Bauelemente . . . . . . . . . . . . . . . . . . . . . . 836
18.13 Entwicklung digitaler Schaltungen . . . . . . . . . . . . . . 836
18.14 ASIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 840
18.15 Schnittstellen, Bussysteme und Netze . . . . . . . . . . . . 840
18.16 Speicherprogrammierbare Steuerungen . . . . . . . . . . . 840
18.17 Spannungsversorgung . . . . . . . . . . . . . . . . . . . . . . 842

Sachverzeichnis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 843
Abkürzungsverzeichnis

ADC Analog-to-Digital Converter, AD-Wandler


ADEV Allan Standard Deviation, Stadardabweichung bei Quarzos-
zillatoren
ADLCP Advanced Data Link Control Protocol, Leitungsprotokoll als
bitorientiertes Übertragungsverfahren (ANSI)
ANSI American National Standard Institute, amerikanische Nor-
menstelle
APD Avalanche Photodiode, Fotolawinendiode
AQL Acceptance Quality Level, Herstellgrenzqualität
ASCII American Standard Code for Information Interchange, Ko-
dierungssystem für Zeichen
ASIC Application Specific Integrated Circuit, kundenspezifisches
Bauteil
ASSP Application Specific Standard Product, kundenspezifisches
Standard-Bauteil
ATMR Asynchronous Transfer Mode Ring, Doppelring
AT-Schnitt Bestimmter Schnittwinkel zum Quarzkristall
AWL Anweisungsliste in einer speicherprogrammierten Steuerung
(SPS)
BCD Binary Coded Decimal System; binär kodiertes Dezimalsys-
tem
BGA Ball-Grid-Array
BH-Schleife Zusammenhang der Induktion B und der Feldstärke H in ei-
nem magnetisch aktiven Material
BP Bandpass
BSC Binar Synchronous Control, Leitungsprotokoll als bitorien-
tiertes Übertragungsverfahren (IBM)
CA Collision Avoidance, kollosionsbehaftetes Kommunikati-
onsverfahren, das Kollisionen vermeidet
CAD Computer-Aided Design, rechnerunterstütze Konstruktion
CAE Computer Aided Engineering, rechnerunterstützte Inge-
nieurleistung
CAN Controller Area Network, bitserieller Bus zur Nachrichten-
übertragung
CAP Computer Aided Planning, rechnergestützte Planung von
Zeit, Kosten, Personal während der Produktentwicklung

XIX
XX Abkürzungsverzeichnis

CAQ Computer Aided Quality Assurance, rechnerunterstützte


Qualitätssicherung
CCD Charge-Coupled Device, ladungsgekoppeltes Bauelement
CCITT Comité Consultatif International Téléphonique et Télé-
graphique, internationaler beratender Ausschuss für den
Telegrafen- und Telefondienst
CENELEC Comité Européen de Normalisation Electrotechnique, euro-
päisches Normensystem für Elektrotechnik
CIM Computer Integrated Manufacturing, rechnerunterstützte
Produktion
CIP Common Industry Protocol, Zugriffsverfahren bei industri-
ellen Anwendungen
CISC Complex Instruction Set Computer, Rechner mit komplexem
Befehlinhalt
CLB Configurable Logic Block, konfigurierbarer Logikblock
CMMR Common Mode Rejection Ratio, Gleichtaktunterdrückung
CMOS Complementary Metal-Oxide-Semiconductor, integrierte
Schaltung mit p-Kanal- und n-Kanal-Transistoren
CPU Central Processing Unit, Zentraleinheit eines Rechners
CRC Cyclic Redundancy Check, zyklischer Redundanz-Check
(Prüfsummenbildung)
CSMA/CA Carrier Sense Multiple Access/Collision Avoidance, Kom-
munikationsverfahren, das Daten überschreiben kann, ohne
die Daten anderer Kommunikationsteilnehmer zu zerstören
CVD Chemical Vapour Deposition, chemische Oberflächenbe-
schichtung
DAC Digital-to-Analog Converter, DA-Wandler
DDC Digital-to-Digital Converter, digitaler Umsetzer beim Delta-
Sigma DA-Wandler
DDS Direct Digital Synthesizer, direkte Frequenzerzeugung durch
Berechnung vieler Einzelwerte des Signalverlaufs
DECT Digital Enhanced Cordless Telecommunication, Standard
für schnurlose Telefone
DIAC Diode for Alternating Current, Triggerdiode für beide Halb-
wellen
DIL Dual-In-Line
DIN Deutsche Industrie-Norm
DMA Direct Memory Access, direkter Speicherzugriff
DO Diode Outline, Diodengehäuse mit axialen Anschlüssen
DOCXO Double Oven Controlled Crystal Oscillator, Quarzoszillator
in zwei Thermostaten ineinander
DP Dezentrale Peripherie
DTL Dioden-Transistor-Logik
ECL Emitter Coupled Logic
EDA Electronic Design Automation, computerunterstütztes
Leiterplatten-Layout
EEPLD Electrically Erasable Programable Logic Device, elektrisch
löschbarer, programmierbarer ASIC
Abkürzungsverzeichnis XXI

EEPROM Electrically Erasable Programable Read Only Memory, elek-


trisch löschbarer Datenspeicher
EMI Electro Magnetic Interference, deutsch: EMV
EMV Elektromagnetische Verträglichkeit, engl.: EMI
EN Europa-Norm
EPLD Erasable Programmable Logic Device, löschbarer, program-
mierbarer ASIC
EPROM Erasable Programmable Read Only Memory, löschbarer,
programmierbarer Nur-Lese-Speicher
ESD Electro Static Discharge, Entladung einer elektrostatischen
Aufladung
ESL Equvalent Series Inductor, äquivalente Serieninduktivität
ESR Equivalent Series Resistor, äquivalenter, ohmscher Serien-
widerstand in einem Kondensator
ETD Economic Transformer Design, wirtschaftliche Transforma-
tor-Konstruktion
FAST Fairchild Advance Schottky Transistor-Transistor-Logik
FDDI Fiber Distributed Data Interface,Token Ring mit Glasfaser
FET Feldeffekttransistor
FF Flip Flop, bistabile Kippstufe
FPAL Field-Programmable Array Logic, zweidimensional (matrix-
artig) programmierbares Bauteil
FPGA Field-Programmable Gate Array
FPGA Field Programmable Gate-Array, vom Anwender program-
mierbares Gate-Array
FR4 Leiterplattenmaterial glasfaserverstärktes Epoxy für erhöhte
Anforderungen
FSM Foreward Surge Maximum, größter Wert im Durchlassbe-
reich
FUP Funktionsplan in einer speicherprogrammierten Steuerung
(SPS)
GAL General Array Logic, programmierbares Bauteil über eine
ODER (OR)-Matrix
GAN Global Area Network, weltweites, interkontinentales Netz-
werk
GIPS Giga Instructions Per Second, eine Billion Befehle pro Se-
kunde
GPS Global Position System, Satellitensystem zur Ortsbestim-
mung
GTO Gate Off Thyristor, Abschalt-Thyristor
HBE High Byte Enable, Steuerbit zur Ausgabe des hochwertigen
Bytes
HCMOS High-Speed Complementary MOS, schnelle Digitalschal-
tungen
HCT High Speed Complementary HCMOS TTL-kompatibel
HDLC High Level Data Link Protocol, Leitungsprotokoll als bitori-
entiertes Übertragungsverfahren (ISO)
HF Hochfrequenz
XXII Abkürzungsverzeichnis

HFFXtal High Frequency Fundamental Crystal, Quarz, der mit sehr


hoher Grundfrequenz schwingt
HiRel High Reliability, hoch zuverlässige Bauteile, beispielsweise
für Satelliten
HP Hochpass
IC Integrated Circuit
IEC International Electrotechnical Commission, Internationales
Normungsgremium für Elektrotechnik
IF Intermediate Frequency, Zwischenfrequenz, meist nach dem
Mischen
IGBT Insulated-Gate Bipolar Transistor, Bipolarer Leistungstran-
sistor mit kleinem FET als Treiber
IGFET Insulated-Gate FET, FET mit hochwertiger Gateisolierung,
unabhängig von der Polarität
IP Internet Protocol, Adressierung und Identifikation eines Pro-
duktes in einem Netzwerk
JEDEC Joint Electron Device Engineering Control, JEDEC Solid
State Technology Association
JFET Junction FET, Sperrschicht FET, Gateisolierung über einen
gesperrten pn-Übergang
KOP Kontaktplan in einer speicherprogrammierten Steuerung
(SPS)
LAN Local Area Network, Netzwerk innerhalb eines Gebäudes,
eines Büros oder anderer Funktionsbereiche
LBE Low Byte Enable, Steuerbit zur Ausgabe des niederwertigen
Bytes
LCA Logic Cell Array, frei konfigurierbares, programmierbares
und löschbares Bauteil
LCD Liquid Crystal Display, Flüssigkristallanzeige
LC-Oszillator Oszillator mit einem Schwingkreis aus L und C als frequenz-
bestimmendes Element
LDAC Load DA Converter
LDO Low Dropout Regulator, Spannungsregler mit kleinem Span-
nungsabfall
LDR Light Dependent Resistor, Fotowiderstand, Fotoleiter
LED Light-Emitting Diode, Leuchtdiode, Lumineszenzdiode
LLC Logic Link Control, Strukturierung der Daten in der Siche-
rungsschicht des OSI-Modells
LNA Low Noise Amplifier, rauscharmer Verstärker
LNB Low Noise Block, rauscharmer Verstärker mit weiteren
Schaltungsteilen
LO Local Oscillator, lokaler Oszillator, meistens zum Mischen
LSB Least Significant Bit, niederwertigstes Bit in einem Daten-
wort
LSI Large Scale Integration, große Integrationsdichte von digita-
len Bauelementen
LSTTL Low Power Schottky Transistor-Transistor-Logik
LVDS Low-Voltage Differential Signalling
Abkürzungsverzeichnis XXIII

LVHCMOS Low-Voltage High-Speed Complementary MOS, kleine Pe-


gel, hohe Taktrate
LVPECL Low-Voltage Positive Referenced Emitter Coupled Logic
LWL Lichtwellenleiter
MAC Medium Access Control, Zugriff auf das Übertragungsmedi-
um in der Sicherungsschicht des OSI-Modells
mho SI-fremde, in den USA gebräuchliche Maßeinheit des Leit-
werts, SI-Einheit: Siemens (S)
MIPS Million Instructions Per Second, eine Million Befehle pro
Sekunde
MOS Metal Oxide Semiconductor
MOSFET Metal-Oxide Semiconductor Field-Effect Transistor, Feldef-
fekttransistor mit isolierter Steuerelektrode (Gate)
MSB Most Significant Bit, höchstwertiges Bit in einem Datenwort
MSI Medium Scale Integration, mittlere Integrationsdichte von
digitalen Bauelementen
MTBF Mean Time Between Failure, mittlere Ausfallzeit (Ausfall-
rate)
NCDT Non Contacting Displacement Transducer, berührungsloser
Abstandsmesser
NEP Noise-Equivalent Power, rauschäquivalente Leistung
NF Niederfrequenz, engl.: AF, Audio frequency
NF Noise Figure, Rauschfaktor in dB
npn Schichtaufbau eines bipolaren Transistors (negativ-positiv-
negativ)
NTC Negative Temperature Coefficient, Widerstand mit negati-
vem Temperaturkoeffizienten K
OCXO Oven-Controlled Crystal Oscillator, Quarzoszillator im
Thermostat
OLED Organic Light-Emitting Diode, organische Lichtdiode
OMB Oberflächen Montiertes Bauelement
OPV Operationsverstärker
OSI Open Systems Interconnection Reference Model, Modell mit
7 Schichten zur Übertragung von Informationen
OSR Oversampling Ratio, Überabtastrate bezogen auf den Min-
destwert des Abtasttheorems
OUI Organizationally Unique Identifier, Herstellerkennung
PAL Programmable Array Logic, programmierbares Bauteil über
eine UND (AND)-Matrix
PC Personal Computer
PCB Printed Circuit Board, gedruckte Leiterplatte
PECL Positive Referenced Emitter Coupled Logic
PGA Pin Grid Array
pin positive-intrinsic-negative, Schichtaufbau einer Diode
PLCC Plastic Leaded Chip Career
PLD Programmable Logic Device, programmierbares Bauteil
PLL Phase-Locked Loop, phasenstarr gekoppelter Regelkreis
PM Pot Module, topfförmiger Mantelkern für Ferrite
XXIV Abkürzungsverzeichnis

pnp Schichtaufbau eines bipolaren Transistors


ppb Parts per Billion, 1 aus 109
ppm Parts per Million, 1 aus 106
PROM Programmable Read-Only Memory
PTAT Proportional to Absolute Temperature, Mess-Spannung, die
der absoluten Temperatur streng proportional ist
PTC Positive Temperature Coefficient, Widerstand mit positivem
Temperaturkoeffizienten
PTH Plated Through Hole, Durchkontaktierung von Leiterplatten
PVD Physical Vapour Deposition, physikalische Oberflächenbe-
schichtung
PWM Pulse Width Modulation, Pulsbreiten-Modulation
QPSX/DQDB Queue Packed Synchronous Exchande/Distributed Queue
Dual Bus, Doppelbus nach ISO 880216
RAM Random Access Memory, Speicher mit wahlfreiem Zugriff
RF Radio Frequency, Hochfrequenz
RFI Radio Frequency Interference, Störstrahlung im Hochfre-
quenz-Bereich
RISC Reduced Instruction Set Computer, Rechner mit reduziertem
Befehlinhalt
RL Return Loss, Rückflussdämpfung, Hochfrequenz
RM Rectangular Module, rechteckiger Mantelkern für Ferrite
RMS Root Mean Square, quadratischer Mittelwert, hier Effektiv-
wert
ROHS Row Of Hazardous Substances, Liste gefährlicher Stoffe, in
der EU seit 2006 verboten. ROHS konform heißt, das Bauteil
enthält keine gefährlichen Stoffe
ROM Read Only Memory, nur lesbarer Speicher
RRM Repetetive Reverse Maximum, größter regelmäßig zulässi-
ger Wert im Sperrbereich
RTL Resistor-Transistor-Logik
S/N Signal-to-Noise Ratio, Signal-Rausch-Verhältnis
SCH Sample and Hold, Abtast- und Halteschaltung
SAP Service Access Point, definierte Schnittstellen zur Daten-
übergabe
SAR Successive Approximation Register, mittelschnelles AD-
Wandler Verfahren
SC-Schnitt Stress Compensated (Quarz Schnitt), bestimmter Schnitt-
winkel zur Kristallausrichtung
SDLC Synchronous Data Link Control, Leitungsprotokoll als bit-
orientiertes Übertragungsverfahren (IBM)
SI Système International d´Unités, internationales Einheiten-
system
SIM Single Inline Module, hybride Speicher
SMD Surface-Mounted Device, Oberflächen montiertes Bauele-
ment, OMB
SMT Surface Mounted Technology, Technologie zur Oberflächen-
montage von Bauteilen
Abkürzungsverzeichnis XXV

SNR Signal-to-Noise Ratio, Signal-Rausch-Verhältnis


SO8 Small Outline, 8 Anschlüsse, Bezeichnung für Halbleiterge-
häuse
SOAR Safe Operating Area, sicherer Arbeitsbereich eines Transis-
tors
SOD Small Outline Diode, Diodengehäuse zur Oberflächen-
Montage
SOT Small Outline Transistor, Transistorgehäuse zur Oberflächen-
Montage
S-Parameter Scattering Parameter, Streuparameter in der Hochfrequenz
SPI Serial Peripheral Interface, schnelle serielle Datenschnitt-
stelle
SPS Speicher Progammierbare Steuerung
SR Slew Rate, größte Änderungsgeschwindigkeit einer Span-
nung in V/s
SRAM Static Random Access Memory, statischer, wahlfreier Spei-
cher
SRC Silicon Controlled Rectifier, Thyristor
SSB Single Side Band, Einseitenband (-modulation)
SSI Small Scale Integration, geringe Integrationsdichte von digi-
talen Bauelementen
STTL Schottky Transistor-Transistor-Logik
TCP/IP Transmission Control Protocol/Internet Protocol, Standard-
protokoll für die Ethernetkommunikation
TCXO Temperature Compensated Crystal Oscillator, temperatur-
kompensierter Quarzoszillator
THT Through Hole Technology, Durchstecktechnik für bedrahte-
te Bauelemente
TIA Telecommunication Industry Association, amerikanisches
Norminstitution des Telekommunikationsverbandes
TK Temperatur-Koeffizient
TO Transistor single Outline, Gehäuse für Leistungstransistoren
und Dioden
TO220 Transistorgehäuse mit Kühlfläche und drei Anschlüssen,
Kunststoffumhüllung, sehr verbreitet
TP Tiefpass
TTL Transistor-Transistor-Logik
UART Universal Asychronous Receiver Tranmitter, Profibusproto-
koll für serielle Schnittstellen
UMTS Universal Mobile Telecommunications System, Mobilfunk
mit hoher Datenübertragungsrate
USV Unterbrechungsfreie Strom-Versorgung
VBD Vorzeichen-Betrags-Darstellung
VCO Voltage Controlled Oscillator, spannungsgesteuerter Oszilla-
tor
VCSEL Vertical-Cavity Surface-Emitting Laser
VCXO Voltage-Controlled Crystal Oscillator, spannungsgesteuerter
Quarzoszillator
XXVI Abkürzungsverzeichnis

VDE Verband Deutscher Elektrotechniker


VDI Verein Deutscher Ingenieure
VDR Voltage Dependent Resistor, spannungsabhängiger Wider-
stand, nichtlinear
VKE Verknüpfungsergebnis in einer speicherprogrammierten
Steuerung (SPS)
VLSI Very Large Scale Integration, sehr große Integrationsdichte
von digitalen Bauelementen
VSWR Voltage Standing Wave Ratio, Stehwellenverhältnis
WAN Wide Area Network, landesweites oder gebietsweites Netz-
werk
XO Crystal Oscillator, Oszillator mit einem Schwingquarz als
frequenzbestimmendes Element
Z-Diode Zenerdiode
Grundlagen der Elektrotechnik
1
Ekbert Hering und Rolf Martin

Dieser Abschnitt enthält die elektrotechnischen Für die Schreibweise von Formelzeichen in
Grundlagen für die nachfolgenden Abschnitte. diesem Buch gelten folgende Vereinbarungen:
Zunächst sind die physikalischen Größen, ihre  Vektoren sind fett gedruckt,
Formelzeichen und Einheiten zusammengestellt, z. B. E: Elektrische Feldstärke.
die Grundbegriffe erläutert sowie deren Rich-  Komplexe Größen sind unterstrichen,
tungssinn (Pfeilung) festgelegt. Im Anschluss z. B. Z: Komplexer Widerstand.
daran werden die Kirchhoff’schen Gesetze in ei- Augenblickswerte sind klein geschrieben,
nem Stromkreis hergeleitet und Netzwerke mit z. B. i: Augenblickswert eines Wechselstroms.
der Maschen- und Knotenanalyse untersucht so- Alle anderen Größen sind groß geschrieben. Des-
halb gilt insbesondere:
wie grafische Verfahren zur Ermittlung der Werte
für Spannung und Strom bei nichtlinearen Kenn-  Wechselgrößen und Gleichgrößen sind groß
linien vorgestellt. Der nächste Abschnitt erläu- geschrieben,
tert die Grundlagen der Wechselstromlehre; es z. B. U: Gleichspannung bzw. Effektivwert ei-
folgt eine Zusammenstellung der Messgeräte zur ner Wechselspannung.
 Amplituden oder Scheitelwerte sind mit dem
elektrischen Messung elektrischer und nichtelek-
trischer Größen. Schließlich werden die Grund- Zeichen O versehen,
lagen der Halbleiterphysik wiedergegeben und z. B. û: Scheitelwert einer sinusförmigen
Hinweise zur Herstellung von Halbleiterbauele- Wechselspannung.
menten gegeben.  arithmetische Mittelwerte haben einen waag-
rechten Strich über dem Zeichen,
z. B. Ī, Ū: arithmetischer Mittelwert des
1.1 Physikalische Größen Stroms oder der Spannung.
und Einheiten Dezimale Vielfache oder Teile davon sind durch
die in Tab. 1.2 zusammengestellten Vorsätze ge-
Die wichtigsten physikalischen Größen, ihre kennzeichnet.
Symbole und ihre Einheiten sind in Tab. 1.1 in
alphabetischer Reihenfolge zusammengestellt.
1.2 Grundbegriffe

1.2.1 Ladung
E. Hering ()
E-Mail: ekbert.hering@hs-aalen.de Die grundlegende Eigenschaft, auf der alle Ge-
R. Martin setze und technischen Anwendungen der Elek-
E-Mail: rolf.martin@hs-esslingen.de trotechnik beruhen, ist das Vorhandensein einer
© Springer-Verlag GmbH Deutschland 2017 1
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_1
2 E. Hering und R. Martin

Tab. 1.1 Physikalische Größen und Einheiten in der Elektrotechnik


Symbol physikalische Größe Einheit
A Fläche m2
B Magnetische Flussdichte, Induktion T D Wb=m2 D Vs=m2
B Blindleitwert B D X=.R2 C X 2 / S
c0 Vakuumlichtgeschwindigkeit c0 D 2;9979  108 m=s; c02 D 1=0 "0 m=s
C Elektrische Kapazität F D A s=V
D Elektrische Flussdichte (elektrische Verschiebungsdichte) D D "E C=m2
e Elementarladung e D 1;60217733  1019 C C
E Elektrische Feldstärke E D F=Q (Q: Probeladung) V=m D N=C
f Frequenz f D 1=T Hz
F Kraft N
G Wirkleitwert G D R=.R2 C X 2 / S D 1=
h Planck’sches Wirkungsquantum h D 6;6260755  1034 J s Js
H Magnetische Feldstärke H D B= A=m D N=Wb
i Elektrische Stromstärke i D dQ=dt A
R
S Stromdichte S D E D E=; IA D S dA A=m2
A
J Magnetische Polarisation J D B  0 H T D Wb=m2 D V s=m2
L Induktivität H D Wb=A D V s=A
P Leistung W D V A D J=s
Q Elektrische Ladung C D As
R Ohm’scher Widerstand R D U=I 
r Differenzieller Widerstand r D U=I 
t Zeit s
T Periodendauer T D 1=f s
T Temperatur K
R2
U Elektrische Spannung U12 D E ds V
1
v Geschwindigkeit m=s
W Arbeit, Energie J D VAs D Ws
X Blindwiderstand (Reaktanz) X D ImfZg 
p
Y Scheinleitwert Y D G 2 C B 2 1/
Y Komplexer Leitwert (Admittanz) Y D G C j B S
p
Z Scheinwiderstand Z D R2 C X 2 
Z Komplexer Widerstand (Impedanz) Z D R C j X 
ı
ı Verlustwinkel
" Permittivität " D "0 "r F=m D C=.V m/
"0 Elektrische Feldkonstante "0 D 8;854  1012 .A s/=.Vm/ (A s)=(V m)
"r Permittivitätszahl "r D "="0
 Wirkungsgrad
ı
# Temperatur C
 Permeabilität  D 0 r H=m
0 Magnetische Feldkonstante 0 D 1;257  106 H=m H=m D Vs=.Am/
r Permeabilitätszahl
 Spezifischer elektrischer Widerstand  mm2 =m oder  m
 Elektrische Leitfähigkeit  D 1= 1=. m/ D S=m
˚ Magnetischer Fluss Wb D V s
ı
' Phasenverschiebungswinkel
' Potenzial im Punkt P V
! Kreisfrequenz ! D 2 f 1=s
1 Grundlagen der Elektrotechnik 3

Tab. 1.2 Bezeichnung der dezimalen Vielfachen und Tei- I Gebunden an Materie Die Ladung ist nicht
le von Maßeinheiten für sich allein vorhanden, sondern an Materie ge-
Zehnerpotenz Vorsilbe Kurzzeichen Beispiel bunden. Die Materie setzt sich – vereinfachend
1018 Exa E EV formuliert – aus einzelnen Atomen zusammen,
1015 Peta P PV die aus einem Atomkern und einer Elektronen-
1012 Tera T TV hülle bestehen. Im Atomkern (Durchmesser etwa
109 Giga G GHz
1014 m) befinden sich Protonen (Träger der po-
106 Mega M M
sitiven Elementarladung) sowie Neutronen (keine
103 Kilo k k
102 Hekto h hl
Ladung) und in der Atomhülle (Durchmesser von
101 Deka da daA 1010 m) kreisen die Elektronen (Träger der ne-
101 Dezi d dB gativen Elementarladung). Die Massen der Elek-
102 Zenti c cm tronen sind etwa 1840-mal kleiner als die der
103 Milli m mA Protonen oder Neutronen.
106 Mikro  µA
109 Nano n nA
Ein Atom ist normalerweise nach außen hin
1012 Piko p pF
elektrisch neutral, d. h., es besitzt gleich viele po-
1015 Femto f fF
sitive Ladungen (Protonen) wie negative (Elek-
1018 Atto a am
tronen). Ein elektrisch geladenes Atom oder ein
Atomverbund wird Ion genannt. Ein positives Ion
wird Kation (z. B. Fehlen eines Elektrons), ein
elektrischen Ladung Q, die in der Einheit Cou- negatives wird Anion genannt (z. B. Anlagerung
lomb (C) oder Amperesekunden (As) gemessen eines Elektrons).
wird. Sie hat folgende wichtige Eigenschaften: Eine besondere Atombindung ist die metalli-
sche Bindung. In Metallen sind die Elektronen
sehr leicht verschiebbar. Deshalb fließen hier ne-
I Elementarladung e Die Ladung Q ist quan-
gative Ladungen. Die Eigenschaften von Halblei-
tisiert, d. h., es gibt eine kleinste elektrische La-
tern werden in Abschn. 1.8 erläutert.
dungseinheit, die Elementarladung e. Sie ist eine
Naturkonstante und hat den Wert

e D 1;6021765651019 As : (1.1) 1.2.2 Elektrischer Strom

Dies bedeutet, dass jede elektrische Ladung Durch die Bewegung von Ladungsträgern ent-
ein Vielfaches der Elementarladung ist. Die La- steht ein Strom I , der in Ampere (A) gemessen
dungseinheit von 1 C entspricht deshalb etwa wird. Das Ampere gehört zu den sieben Basis-
6;24  1018 Elektronen (negative Ladungsträger). größen der Physik und ist folgendermaßen fest-
gelegt:
Eine Stromstärke I ist 1 Ampere, wenn die
I Positive und negative Ladungen Ladungen durch zwei im Abstand von 1 Meter befindli-
können entweder positiv (C) oder negativ () chen geradlinigen, parallelen Leiter (Durchmes-
sein. Da gleichnamige Ladungen (C und C ser null) fließende Stromstärke je Meter Leiter-
bzw.  und ) sich abstoßen und ungleichnamige länge die Kraft 2  107 Newton hervorruft.
(C und  bzw.  und C) sich anziehen, können Tabelle 1.3 zeigt die sieben Basisgrößen der
Kräfte zwischen Ladungen und somit Bewegung Physik.
von Ladungsträgern erklärt werden. Ferner ist der Da der elektrische Strom I die Ladungsmenge
Zustand der Ladungsneutralität definiert; denn er dQ beschreibt, die im Zeitintervall dt fließt, gilt
liegt vor, wenn gleich viele positive wie negative
Ladungsträger vorhanden sind. I D dQ=dt : (1.2)
4 E. Hering und R. Martin

Tab. 1.3 Basisgrößen, Basiseinheiten und Definitionen im SI-Maßsystem


Basisgröße Basiseinheit Symbol Definition Relative
Unsicher-
heit
Zeit Sekunde s 1 Sekunde ist das 9.192.631.770-fache der Periodendauer der 1014
dem Übergang zwischen den beiden Hyperfeinstrukturniveaus
des Grundzustands von Atomen des Nuklids 133 Cs entspre-
chenden Strahlung.
Länge Meter m 1 Meter ist die Länge der Strecke, die Licht im Vakuum wäh- 1014
rend der Dauer von 1/299.792.458 Sekunden durchläuft.
Masse Kilogramm kg 1 Kilogramm ist die Masse des internationalen Kilogrammpro- 109
totyps.
elektrische Ampere A 1 Ampere ist die Stärke eines zeitlich unveränderlichen 106
Stromstärke Stroms, der, durch zwei im Vakuum parallel im Abstand von
1 Meter voneinander angeordnete, geradlinige, unendlich
lange Leiter von vernachlässigbar kleinem kreisförmigem
Querschnitt fließend, zwischen diesen Leitern je 1 Meter Lei-
terlänge die Kraft 2  107 Newton hervorruft.
Temperatur Kelvin K 1 Kelvin ist der 273,16te Teil der thermodynamischen Tempe- 106
ratur des Tripelpunktes des Wassers.
Lichtstärke Candela cd 1 Candela ist die Lichtstärke in einer bestimmten Richtung 5  103
einer Strahlungsquelle, die monochromatische Strahlung der
Frequenz 540 THz aussendet und deren Strahlstärke in dieser
Richtung 1=683 W/sr beträgt.
Stoffmenge Mol mol 1 Mol ist die Stoffmenge eines Systems, das aus ebenso viel 106
Einzelteilchen besteht, wie Atome in 12=1000 Kilogramm des
Kohlenstoffnuklids 12 C enthalten sind.

Aus dieser Gleichung kann für zeitabhängige 1.2.3 Elektrische Spannung


Ströme I.t/ die zwischen den Zeiten t1 und t2 ge-
flossene Ladungsmenge berechnet werden: Die elektrische Spannung U ist die Ursache
des Ladungstransports, d. h. des Stromflusses. Da
Zt2 aber Ladungen nur fließen werden, wenn unter-
Q D I.t/dt : (1.3) schiedlich viele positive und negative Ladungs-
t1 träger vorhanden sind, müssen diese im neutralen
Zustand getrennt werden. Deshalb ist die Span-
Ist der Strom zeitlich konstant, dann fließen pro nung U ein Maß für die Ladungstrennungsar-
Zeit immer gleich viele Ladungsträger, und die beit W je Ladung Q, und es gilt:
obigen Gleichungen vereinfachen sich zu
U D W=Q : (1.7)

I D Q=t (1.4) Die Spannung hat die Einheit Volt (V).


Da aber die elektrische Spannung U die Ursa-
und che für die Kraft F ist, die im elektrischen Feld
QDIt: (1.5) der Feldstärke E wirkt, gilt auch
Z2
Wird die abgeflossene Ladung dQ pro Zeit dt
U12 D E ds : (1.8)
und Fläche A bestimmt, so errechnet sich die
Stromdichte S zu 1

Damit ist die Spannung U12 zwischen den Punk-


S D I =A : (1.6) ten 1 und 2 definiert als das Linienintegral über
1 Grundlagen der Elektrotechnik 5

Abb. 1.1 Zusammenhang zwischen Potenzial und Span-


nung Abb. 1.2 Pfeile und Vorzeichen für Strom und Spannung

die elektrische Feldstärke E entlang des Wegele- Da die positiven Ladungen von Orten höhe-
mentes ds. ren Potenzials zu solchen niedrigeren Potenzials
Die elektrische Feldstärke E ist ihrerseits be- fließen, ist die Spannung positiv, wenn ' größer
2
stimmt durch die Kraft F, die auf eine Probela- als ' ist.
1
dung Q einwirkt: In der Zusammenfassung dieser Herleitungen
bedeutet dies: Sind positive und negative La-
E D F =Q : (1.9) dungen getrennt worden (die dazu notwendige
Energie W pro Ladung ist die zugehörige Span-
Wird Gl. 1.9 in Gl. 1.8 eingesetzt, so ergibt sich nung U ), dann gibt es einen positiven Pol (positi-
ver Ladungsüberschuss) und einen negativen Pol
Z2 (negativer Ladungsüberschuss). Zwischen diesen
U12 D .F ds/=Q : Polen herrscht eine Spannung U . Werden diese
Pole miteinander verbunden, so findet ein La-
1
dungstransport und damit ein Stromfluss statt.
Die Ladungsunterschiede gleichen sich aus. Für
Da der Ausdruck Fds der Arbeit dW entspricht,
die Richtung des Stromflusses wurde festgelegt:
die für die Ladungstrennung aufgewandt werden
Der Strom fließt beim Verbraucher vom
muss, ergibt sich dU12 D dW=Q.
Plus- zum Minus-Pol, bei Spannungsquellen vom
Abbildung 1.1 zeigt die elektrischen Feldlini-
Minus- zum Plus-Pol.
en zwischen zwei Polen (gestrichelte Linien) und
Abbildung 1.2 zeigt die Pfeile und die Vor-
die zugehörigen Äquipotenziallinien (durchgezo-
zeichenregelung für den Strom und die Span-
gene Linien). Es ist zu sehen, dass die Spannung
nung.
zwischen dem Punkt P1 und O das Potenzial '1
des Punktes P1 ist und die Spannung zwischen P2
und O das Potenzial '2 des Punktes P2 , so dass
die Spannung U12 zwischen den Punkten P1 und 1.2.4 Widerstand und Leitwert
P2 als Potenzialdifferenz zwischen diesen beiden
Punkten interpretiert werden kann, und es gilt: Der elektrische Widerstand R ist ein Maß für
die Hemmung des Ladungstransports (z. B. durch
U12 D ' D '1  '2 : (1.10) Fehler im Kristallaufbau oder durch Wärmebe-
6 E. Hering und R. Martin

wegung der Atomgitter) und ist folgendermaßen temperaturabhängig. Beim metallischen Leiter
definiert: gelten näherungsweise folgende Beziehungen:
Der elektrische Widerstand R beträgt 1 Ohm,
wenn zwischen zwei Punkten eines Leiters bei ei- R.#/  R20 .1 C ˛.#  20 ı C// ;
(1.15)
ner Spannung von 1 Volt ein Strom von genau .#/  20 .1 C ˛.#  20 ı C// :
1 Ampere fließt.
Die Einheit ist 1 V=A D 1  (G. S. O HM, R20 bzw. 20 ist der Widerstand bzw. der spe-
1789 bis 1854). Durch den von K. V. K LITZING zifische elektrische Widerstand bei # D 20 ı C,
wobei # die Temperatur in ı C und ˛ der Tem-
(geb. 1943) entdeckten Quanten-Hall-Effekt lässt
peraturkoeffizient des spezifischen elektrischen
sich das Ohm unabhängig von der Geometrie
Widerstandes (bei 20 ı C) ist.
und den Werkstoffeigenschaften allein durch Na-
Der Temperaturkoeffizient ˛ gibt an, welche
turkonstanten bestimmen (Genauigkeit 108 ).
relative Widerstandsänderung R=R der Leiter
Es gilt nämlich h=e 2 D 25:812;8 . (h ist das
bei einer Änderung um T D 1 K erfährt:
Planck’sche Wirkungsquantum h D 6;62606957
1034 J s, und e ist die Elementarladung e D R 
1;602176565 As. Der Kehrwert des elektrischen ˛D D : (1.16)
R T  T
Widerstandes R ist der Leitwert G:
Tabelle 1.4 gibt den spezifischen elektrischen Wi-
G D 1=R : (1.11) derstand , die elektrische Leitfähigkeit  und
den Temperaturkoeffizienten ˛ ausgewählter Lei-
Die Einheit ist Siemens S (1 ) oder im eng- terwerkstoffe an.
lischsprachigen Raum mho (Ohm „rückwärts“).
Die in Datenblättern zu findende Einheit mmho I Hinweis: Nichtmetallische Werkstoffe und
bedeutet daher mS oder 103 S. Flüssigkeiten besitzen andere Temperaturab-
Der elektrische Widerstand R eines metalli- hängigkeiten der spezifischen elektrischen
schen Leiters der Länge l und dem Querschnitt A Widerstände (Abschn. 2.2).
ist
l
RD : (1.12)
A 1.2.5 Elektrische Arbeit
Die Proportionalitätskonstante  ist der spezifi- und elektrische Leistung
sche elektrische Widerstand , für den gilt:
Um eine Ladung Q von einem Punkt P1 zu ei-
RA nem Punkt P2 zu bewegen, zwischen denen die
D : (1.13)
l Spannung U liegt, ist eine elektrische Arbeit W
erforderlich. Sie beträgt
Die gebräuchlichste Einheit ist ( mm2 =m), die
den spezifischen elektrischen Widerstand eines W D QU : (1.17)
Leiters mit 1 mm2 Querschnitt und 1 m Länge an-
gibt. Ist der Strom I.t/ und die Spannung U.t/ von der
Der Kehrwert des spezifischen elektrischen Zeit abhängig,Rso gilt unter Berücksichtigung der
Widerstandes ist die elektrische Leitfähigkeit : Gl. 1.4: Q D I.t/dt und damit für die elektri-
sche Arbeit W :
1 l
D D : (1.14) Zt2
 RA W D u.t/ i.t/ dt : (1.18)
Die Einheit ist .Sm/=mm2 . t1

Elektrischer Widerstand und spezifischer elek- Für den Spezialfall des Gleichstroms ergibt sich
trischer Widerstand (und damit auch der Leit-
wert und die elektrische Leitfähigkeit) sind W DUIt: (1.19)
1 Grundlagen der Elektrotechnik 7

Tab. 1.4 Spezifischer Werkstoff Spezifischer elektri- Spezifische elektri- Temperatur-


elektrischer Widerstand, scher Widerstand  sche Leitfähigkeit in koeffizient ˛ in
elektrische Leitfähigkeit in 102 ( mm2 )=m (Sm)=mm2 104 K1
und Temperaturkoeffizient Aluminium 2,65 37,7 42,9
ausgewählter Leiterwerk-
AlMgSi 3 32 36
stoffe (bei 0 ı C)
Al-Bronze 13 8 32
.Cu90 Al10 /
Blei 19 5,3 42
Bronze 18 5,6 5
CrAl 205 137 0,7 0,5
(Heizleiterlegierung)
CrAl 305 144 0,7 0,1
(Heizleiterlegierung)
Dynamoblech 13 8 45
Eisen 8,9 11,2 65
Gold 2,04 49 40
Graphit 800 0,13 –2
Grauguss 80 1,2 19
Indium 8,4 11,9 49
Iridium 5,3 18 39
Konstantan 50 29 0,1
Kupfer 1,56 64,1 43
Magnesium 4,6 22 38
Manganin 43 2,3 0,1
Messing 7 14,3 13
Monel 42 2,8 2
Neusilber 30 3,3 32
Ni60 Cr15 Fe 110 1 1,3
Nickel 6,84 14,6 68
Nickelin 43 2,32
Palladium 10 10 38
Platin 10 10,2 39,2
Platin-Iridium 32 3,1
Platin-Rhodium 20 5
Quecksilber 95 1 1
Silber 1,51 66,2 41
Stahl 13 7 45
(0,1 % C; 0,5 % Mn)
Stahl 18 5,5 45
(0,25 % C; 0,3 % Si)
Tantal 16 6,2 35
Wismut 120 0,8 45
Wolfram 4,9 20,4 48
Zink 5,5 18,2 42
Zinn 10,4 9,6 46
8 E. Hering und R. Martin

Die Einheit ist V A s oder W s. In der Praxis


wird häufig mit der Einheit kW h gerechnet. Es
gilt

1 kW h D 3;6  106 W s
.1 kW h D 860 kcal I 1 W s D 0; 239 cal/ :

Da als Leistung P die Arbeit pro Zeit definiert


wird, gilt:
dW Abb. 1.3 U –I -Kennlinien linearer ohmscher Widerstän-
P .t/ D : (1.20) de R als Steigung der Geraden U D R I
dt
Daraus lässt sich auch die Energie nach folgender
Gleichung berechnen:

Zt2
W D P .t/ dt : (1.21)
t1

Für einen zeitlich konstanten Strom (Gleich-


strom) ergibt sich mit Gl. 1.20

P D W=t D U I : (1.22)

Die Leistung P wird in 1 W D 1 J=s gemessen.


In vielen technischen Anwendungen wird
elektrische Energie in andere Energieformen
(z. B. Wärme) verwandelt. Das Verhältnis von
Nutzen in Form von abgegebener Leistung Pab
(bzw. Arbeit Wab ) zum Aufwand in Form von zu- Abb. 1.4 Nichtlineare U –I -Kennlinien
geführter Leistung Pzu (bzw. Arbeit Wzu ) ist der
Wirkungsgrad :

Pab Wab Kreuzen wird der Widerstand R errechnet, z. B.


D D : (1.23) 25  D 200 V=8 A).
Pzu Wzu
Viele elektronische Bauelemente zeigen einen
nichtlinearen Zusammenhang zwischen Strom I
1.2.6 Ohm’sches Gesetz und Spannung U . Typische Beispiele sind in
Abb. 1.4 zusammengestellt (Abschn. 2 und Ab-
In einem metallischen Leiter nimmt der Strom I schn. 3).
proportional der angelegten Spannung U zu, so Das Ohm’sche Gesetz U D R I stellt als
dass das Ohm’sche Gesetz gilt: Kennlinie eine Gerade dar, wobei der Widerstand
die konstante Steigung ist (Abb. 1.3). Sind die
U D R I D I =G : (1.24) Kennlinien, wie Abb. 1.4 zeigt, keine Geraden,
so ist auch der Widerstand nicht konstant.
Wie Abb. 1.3 zeigt, ist die U–I-Kennlinie des In diesen Fällen wird der differenzielle Wi-
Ohm’schen Gesetzes (bei konstanter Tempera- derstand r D U=I bestimmt, der für jeden
tur) eine Gerade, deren Steigung der elektrische Punkt der Kennlinie unterschiedlich sein kann
Widerstand ist (an den eingezeichneten roten (Abb. 1.5).
1 Grundlagen der Elektrotechnik 9

1.3 Elektrische Netze – Kirchhoff’sche


Regeln

Werden verschiedene elektrische Bauteile, bei-


spielsweise Spannungsquellen und Widerstände
netzförmig miteinander verbunden, dann entsteht
ein elektrisches Netz, wie Abb. 1.7 zeigt.
Dieses Netzwerk ist aus Knoten und Maschen
aufgebaut. Ein Knoten ist ein Punkt, von dem
aus sich die Ströme I verzweigen, und eine Ma-
Abb. 1.5 Nichtlineare Kennlinie und differenzieller Wi- sche beschreibt einen möglichen geschlossenen
derstand
Umlauf innerhalb des Netzwerkes. Die Kirch-
hoff’schen Regeln (G. K IRCHHOFF, 1824 bis
1887) beschreiben das Verhalten in den Knoten
1.2.7 Richtungssinn (Knotenregel) und in den Maschen (Maschen-
regel).
Die Regeln für die Vorzeichen und Richtun-
gen des elektrischen Stroms I und der elektri-
schen Spannung U sind Übereinkünfte und in 1.3.1 Knotenregel
DIN EN 60375 (Richtungssinn und Vorzeichen (1. Kirchhoff’sches Gesetz)
in der Elektrotechnik) genormt. Bereits in Ab-
schn. 1.2.3 wurde in Abb. 1.2 gezeigt, dass in Da in einem Stromknoten keine Ladung entste-
positiver Richtung die positiven Ladungsträger hen oder verschwinden kann (Gesetz der La-
laufen (vom Plus- zum Minus-Pol). Der Bezugs- dungserhaltung), müssen alle einem Stromkno-
pfeil für den Strom I wird in die Stromleitung ten zugeführten Ladungen (C) gleich den abflie-
gezeichnet. Das bedeutet für den Strompfeil, dass ßenden Ladungen (–) sein. Dies bedeutet für die
bei positivem Strom I der Minuspol an die Pfeil- Ströme in einem Knoten:
spitze anzulegen ist (Abb. 1.2). Alle Gesetze Die vorzeichenbehaftete Summe aller Ströme
für die passiven Zweipole (z. B. das Ohm’sche eines Stromknotens ist null:
Gesetz) gelten nur, wenn die Spannung U und X m
der Strom I die gleiche Richtung aufweisen; im Ii D 0 : (1.25)
anderen Fall muss das entsprechende negative i D1
Vorzeichen berücksichtigt werden. Bei Indizie- Hierbei werden die dem Knoten zufließenden
rung wird dringend empfohlen, dass der Pfeil Ströme positiv und die abfließenden negativ ein-
vom Index 1 auf Index 2 zeigt. Doppelpfeile müs- gesetzt, wie Abb. 1.8 zeigt.
sen unter allen Umständen vermieden werden, da Nach Abb. 1.8 gilt:
in solchen Fällen das Vorzeichen der Spannung
(z. B. bei der Anwendung der Maschenregel, Ab- I1 C I2  I3  I4  I5  I6 D 0 : (1.26)
schn. 1.3.2) unbestimmt ist.
Beispiel 1.3-1
Im Knoten nach Abb. 1.8 wurden folgende
1.2.8 Bildzeichen Ströme gemessen: I1 D 2 A, I2 D 3 A,
I3 D 5 A, I4 D 1 A, I5 D 2 A. Wie groß
Die Bildzeichen der verschiedenen Teile eines ist der Strom I6 ?
Stromkreises und die Symbole für die unter- Lösung:
schiedlichen Bauelemente der Elektronik sind in Nach Gl. 1.27 gilt für I6 :
DIN EN 60617 genormt und in Abb. 1.6 zusam-
mengestellt. I6 D I1 C I2  I3  I4  I5 :
10 E. Hering und R. Martin

Abb. 1.6 Bildzeichen der Elektrotechnik nach DIN 40100


1 Grundlagen der Elektrotechnik 11

Abb. 1.9 Maschenregel


Abb. 1.7 Elektrisches Netzwerk (Beispiel)

tung) durch die Masche beliebig ist (DIN 5489).


Die in Zählrichtung zeigenden Spannungen wer-
den positiv, die gegen die Zählrichtung verlaufen-
den Spannungen sind negativ einzusetzen.

Beispiel 1.3-2
Für Abb. 1.9 soll der Strom I bestimmt wer-
den, der durch die Masche fließt.
Abb. 1.8 Knotenregel
Lösung:
Nach der Maschenregel gilt:
Mit den Werten ergibt sich I6 D 2 A C
.3 A/  .5 A/  1 A  .2 A/ D 5 A . UR1  U2 C UR2 C U3 C UR4 C UR3  U1 D 0 :

Für die Spannungen (UR ), die an den Wider-


1.3.2 Maschenregel ständen abfallen, gilt das Ohm’sche Gesetz
(2. Kirchhoff’sches Gesetz) UR D R I , so dass geschrieben werden kann

Nach dem Energieerhaltungssatz muss beim R1 I  U2 C R2 I C U3 C R4 I C R3 I  U1 D 0 :


Transport einer elektrischen Ladung in einem ge-
schlossenen Stromkreis (Masche) die zugeführte Nach Umformen und Ausklammern des
und die abgeführte elektrische Arbeit gleich groß Stroms I erhält man
sein. Für die elektrische Spannung U als Maß da-
für gilt: I .R1 C R2 C R4 C R3 / D U2  U3 C U1
Die vorzeichenbehaftete Summe aller Span-
nungen eines Stromkreises (Masche) ist null, oder
U2  U3 C U1
Xm I D :
Ui D 0 : (1.27) R1 C R2 C R4 C R3
i D1
Anmerkung: Für dieses Beispiel kann auch,
Die Richtung des Maschendurchlaufs kann be- ohne die Maschenregel anzuwenden, nach
liebig gewählt werden. Abbildung 1.9 zeigt ein dem Ohm’schen Gesetz gerechnet werden:
Beispiel für die Maschenregel.
Es ist wichtig, nochmals darauf hinzuweisen, I D †U=†R
dass der gewählte Umlaufsinn (bzw. Zählrich- D .U1 C U2  U3 / = .R1 C R2 C R3 C R4 / :
12 E. Hering und R. Martin

Abb. 1.11 Parallelschaltung und Gesamtwiderstand


Abb. 1.10 Reihenschaltung und Gesamtwiderstand

Bei einer Reihenschaltung verhalten sich die Teil-


1.3.3 Anwendung der Kirchhoff’schen spannungen wie die Teilwiderstände.
Gesetze
1.3.3.2 Parallelschaltung
1.3.3.1 Reihenschaltung von Widerständen
von Widerständen Die Parallelschaltung von Widerständen zeigt
Abbildung 1.10 zeigt die Reihenschaltung von n Abb. 1.11. Es ist erkennbar, dass bei einer Paral-
Widerständen R1 bis Rn . Da keine Knoten vor- lelschaltung die Spannung U konstant bleibt, d. h.
handen sind, kann keine Aufteilung des Stroms I an allen Bauteilen liegt dieselbe Spannung U0 .
erfolgen. Das bedeutet, dass bei einer Reihen- Das vorliegende Netzwerk hat zwei Knoten
schaltung der Strom I konstant bleibt, d. h. alle und n Maschen. Es gilt die Knotenregel
Bauteile von demselben Strom I durchflossen I D I1 C I2 C I3 C : : : C In (1.30a)
werden.
Nach der Maschenregel gilt: und die Maschenregel

UR1 C UR2 C UR3 C : : : C URn  U0 D 0 ; U0 D I1 R1 ;


U0 D U2 R2 ;
und unter Berücksichtigung des Ohm’schen Ge-
setzes ergibt sich U0 D I3 R3 ; (1.30b)
:::
U0 D I R1 C I R2 C I R3 C : : : C I Rn ; U0 D In Rn :
U0 D I.R1 C R2 C R3 C : : : C Rn /:
Werden die aus der Maschenregel berechneten
Wird für R1 CR2 CR3 C: : :CRn D Rges gesetzt, Ströme I1 bis In in die Knotenregel (Gl. 1.30a)
so ist U0 D I Rges . Das bedeutet: eingesetzt, so ist
X
m U0 U0 U0 U0
Rges D R1 C R2 C R3 C : : : C Rn D Ri : I D C C C::: ;
R1 R2 R3 Rn
i D1  
1 1 1 1
(1.28) I D U0 C C C:::
In einer Reihenschaltung ist der Gesamtwider- R1 R2 R3 Rn
stand die Summe der Teilwiderstände. 1
D U0 :
Da alle Widerstände vom gleichen Strom Rges
durchflossen werden, gilt außerdem
Da für den Kehrwert des Widerstandes 1=R auch
UR1 I R1 R1 der Leitwert G gesetzt werden kann, ergibt sich
D D I auch
UR2 I R2 R2
allgemein ist I D U0 .G1 C G2 C G3 C : : : C Gn / D U0 Gges :
Um Rm Wie man erkennt, lässt sich im Falle der Par-
D .m; k D 1; 2; 3; : : : ; n/ : (1.29)
Uk Rk allelschaltung mit Leitwerten einfacher rechnen
1 Grundlagen der Elektrotechnik 13

als mit Widerständen. Für den Gesamtwiderstand


Rges bzw. den Gesamtleitwert Gges ergibt sich

1 1 1 1 1 X 1 n
C C C C ::: D ;
Rges R1 R2 R3 Rn i D1
Ri
(1.31)
Xn
Gges D G1 C G2 C G3 C : : : Gn D Gi :
i D1
(1.32)
In einer Parallelschaltung ist der gesamte Leit-
wert gleich der Summe der Teil-Leitwerte.
Aus der Tatsache, dass bei der Parallelschal-
tung an jedem Bauteil dieselbe Spannung liegt,
ergibt sich:

I1 U G1 G1 Abb. 1.12 Parallelschaltung von zwei bzw. drei Wider-


D D : ständen
I2 U G2 G2

Allgemein gilt:
mit den zwei in Reihe geschalteten Wider-
ständen R1 und RE (Zusammenfassung der
Im Gm
D .m; k D 1; 2; 3; : : : ; n/ : (1.33) Widerstände R12 ; R2 C R6 und R34 ).
Ik Gk
Der Gesamtwiderstand der Schaltung ist
Bei einer Parallelschaltung verhalten sich die nach Abb. 1.13c:
Teilströme wie die Teil-Leitwerte oder umgekehrt
wie die Teilwiderstände. Rges D R1 C RE : (1.34a)
Für die Parallelschaltung von zwei und drei
Widerständen sind die Ergebnisse in Abb. 1.12 Der Ersatzwiderstand RE ist die Parallelschal-
zusammengestellt. tung des Widerstandes R12 mit dem Wider-
stand R2 C R6 C R34 . Deshalb gilt
Beispiel 1.3-3
R12 .R2 C R6 C R34 /
Gegeben sei die Schaltung gemäß Abb. 1.13. RE D : (1.34b)
R12 C R2 C R6 C R34
Die angelegte Spannung beträgt Us D 24 V
(der Index s steht für supply: Versorgung). Be- Der Ersatzwiderstand R12 ist die Parallelschal-
rechnet werden sollen der Gesamtstrom I und tung der Widerstände .R3 C R4 / und des
alle Ströme I1 bis I6 in den Zweigen. Widerstandes R5 . Deshalb gilt

Lösung: .R3 C R4 /R5


R12 D
Wie Abb. 1.13 zeigt, kann man zusammen- R3 C R4 C R5
gehörige Widerstände zu Ersatzwiderständen .3  C 7 /10 
zusammenfassen. In Abb. 1.13b sind die Wi- D D 5:
3  C 7  C 10 
derstände R3 , R4 und R5 zum Ersatzwi-
derstand R12 , die Widerstände R2 und R6 Für den Ersatzwiderstand R34 gilt wegen der
zum Ersatzwiderstand R2 C R6 und die Parallelschaltung der Widerstände R7 und R8 :
Widerstände R7 und R8 zum Ersatzwider-
stand R34 zusammengefasst. Schließlich zeigt R7 R8 20   20 
R34 D D D 10  :
Abb. 1.13c das vereinfachte Ersatzschaltbild R7 C R8 20  C 20 
14 E. Hering und R. Martin

Abb. 1.13 Schaltung zu Beispiel 1.3-3

Werden die Werte von R12 und R34 in Widerstände), so dass gilt:
Gl. 1.34b zur Errechnung von RE eingesetzt, I1 R12
dann ergibt sich D
I2 R2 C R6 C R34
5 1
5  .8  C 2  C 10 / D D
RE D D 4: 8  C 2  C 10  4 (1.34d)
5  C 8  C 2  C 10 
d. h.
Damit gilt nach Gl. 1.34a für den Gesamtwi- 1
I1 D I2 :
derstand Rges : 4
Werden Gl. 1.34c und Gl. 1.34d gleichgesetzt,
Rges D R1 C RE D 4  C 4  D 8  : dann ergibt sich I  I2 D 14 I2 , oder I2 D
4
5
I D 2;4 A.
Nach dem Ohm’schen Gesetz ist I D In Gl. 1.34d eingesetzt, erhält man I1 D
U0 =Rges D 24 V=8  D 3 A. 0;6 A.
Am Knoten (1) teilt sich der Strom I in die Der Teilstrom I2 teilt sich entsprechend sei-
beiden Teilströme I1 und I2 . Die Knotenregel ner Teilleitwerte (Gl. 1.35) in die Teilströme
sagt I3 und I4 auf. Nach der Knotenregel gilt I2 D
I3 C I4 , d. h.
I D I1 C I2 oder I1 D I  I2 : (1.34c) I3 D I2  I4 I (1.34e)

ferner ist I3 =I4 D R5 =.R3 C R4 /, d. h.


Nach Gl. 1.35 verhalten sich die Teilströme
wie die Teilleitwerte (oder umgekehrt wie die I3 D I4 R5 =.R3 C R4 / : (1.34f)
1 Grundlagen der Elektrotechnik 15

Abb. 1.15 Messbereichserweiterung eines Spannungs-


messers
Abb. 1.14 Messbereichserweiterung eines Strommessers

zur Messbereichserweiterung eines Strommes-


Durch Gleichsetzung der Gl. 1.34e und sers. Wird die neu zu messende Stromstärke mit
1.34f erhält man In und die höchstmögliche Stromstärke durch das
Amperemeter mit Ia bezeichnet, so fließt durch
I2  I4 D I4 R5 =.R3 C R4 /
den Parallelwiderstand Rp die Stromstärke In 
oder Ia . Da sich gemäß Gl. 1.35 bei der Parallel-
I2 D I4 .R5 =.R3 C R4 / C 1/ : schaltung die Stromstärken umgekehrt wie die
Widerstände verhalten, gilt:
Damit ist
Ia Rp
I4 D I2 =.R5 =.R3 C R4 / C 1/ D :
In C Ia Ri
D 0;6 A=.10 =.3  C 7 / C 1/
Daraus lässt sich der parallel zu schaltende Wi-
I4 D 1;2 A :
derstand Rp errechnen:
Nach Gl. 1.34e ergibt sich I3 D 1;2 A.
Ri
Der Strom I1 teilt sich zu gleichen Teilen Rp D : (1.35)
(weil die Widerstände R7 und R8 gleich sind)
In
Ia
1
in I5 und I6 auf, so dass gilt: I5 D I6 D 0;3 A.
Spannungsmesser (Voltmeter)
Um den Spannungsabfall in einem Stromkreis
1.3.3.3 Messbereichserweiterung messen zu können, muss der Spannungsmesser
parallel zum zu messenden Spannungsabfall (Ne-
Strommesser (Amperemeter) benschluss) liegen. Der Innenwiderstand Ri des
Um die Stromstärke in einem Stromkreis messen Spannungsmessers muss möglichst groß sein, da-
zu können, muss der Strommesser im Strom- mit möglichst wenig Strom durch das Voltmeter
kreis (Hauptschluss) liegen. Der Innenwider- fließt und der ganze Strom durch Ra fließen kann.
stand Ri des Strommessers muss möglichst klein Müssen Spannungen gemessen werden, die
sein, damit die volle Spannung Us am äuße- den Messbereich des Spannungsmessers über-
ren Widerstand Ra abfallen kann. Müssen Strö- schreiten, so muss der die Höchstspannung über-
me gemessen werden, die den Messbereich des steigende Teil der Spannung an einem Vorwi-
Strommessers überschreiten würden, so muss der derstand RV abfallen, verdeutlicht in Abb. 1.15.
überschüssige Stromanteil am Amperemeter vor- Die neu zu messende Spannung wird mit Un und
beigeleitet werden. Dies bezweckt ein parallel der höchstmögliche Spannungsabfall im Voltme-
geschalteter Widerstand Rp (Shunt, Nebenwi- ter mit Ua bezeichnet. Da sowohl der Vorwider-
derstand). Abbildung 1.14 zeigt die Schaltung stand RV als auch das Voltmeter von derselben
16 E. Hering und R. Martin

Stromstärke I durchflossen werden, gilt:


Un  Ua Ua
I D D :
RV Ri
Daraus ergibt sich der Vorwiderstand zu
 
Un
RV D Ri 1 : (1.36)
Ua

Beispiel 1.3-4
a) Der Messbereich eines Amperemeters
(Ia D 10 mA; Ri D 0;5 ) soll auf 100 mA,
1 A, 10 A und 20 A und b) der Messbereich ei-
nes Voltmeters (Ua D 100 mV; Ri D 100 )
auf 1 V, 10 V, 100 V und 1 kV erweitert wer- Abb. 1.16 Wheatstone’sche Brücke
den. Die entsprechenden Widerstände sind zu
ermitteln. 10 V W RV D 100   .100  1/
Lösung: D 9900  I
a) Messbereichserweiterung des Ampereme- 100 V W RV D 100   .1000  1/
ters: Nach Gl. 1.36 gilt im vorliegenden D 99:900  I
Fall:
0;5  1 kV W RV D 100   .10000  1/
Rp D In :
10 mA  1
D 999:900  :
Erweiterung auf
1.3.3.4 Ausgewählte Messanordnungen
0;5 
100 mA W Rp D D 0;055  I Wheatstone’sche Brücke
10  1
0;5  Mit der Wheatstone’schen Brücke (C. W HEAT-
1 A W Rp D STONE , 1802 bis 1875) lassen sich Ohm’sche
100  1
D 5;050  103  I Widerstände bestimmen. Abbildung 1.16 zeigt
das Schaltschema der Wheatstone’schen Brücke.
0;5 
10 A W Rp D Der zu messende Widerstand Rx wird zwi-
1000  1 schen die Klemmen C und B eingesteckt. Den
D 5;005  104  I Gleitkontakt verschiebt man auf einem Wider-
0;5  standsdraht zwischen A und B solange, bis über
20 A W Rp D
2000  1 die Brücke CD kein Strom mehr fließt. (Punkt D
D 2;501  104  : ist der Gleitkontakt.) Dann gilt die Maschenregel
(Gl. 1.28) für Masche ACD:

b) Messbereichserweiterung des Voltmeters: Rn I1  R1 I2 D 0 oder Rn I1 D R1 I2 :


Nach Gl. 1.38 gilt im vorliegenden Fall: (1.37a)
  Masche CBD:
Un
RV D 100  1 : Rx I1  R2 I2 D 0 oder Rx I1 D R2 I2 :
0;1 V
(1.37b)
Erweiterung auf Durch Division von Gl. 1.37b und 1.37a erhält
man
1 V W RV D 100   .10  1/ Rx R2
D :
D 900  I Rn R1
1 Grundlagen der Elektrotechnik 17

Abb. 1.17 Potenziometer-


schaltung

Damit errechnet sich der gewünschte Widerstand Wird dieser in Gl. 1.40 eingesetzt, dann beträgt
zu die Spannung Ux0
R2
Rx D Rn : (1.38)
R1 Rp
Ux0 D U1
R1 C Rp
Potenziometerschaltung
Mit Hilfe der Schaltung entsprechend Abb. 1.17 oder
wird eine Aufteilung der Gesamtspannung U1
R2 Ra
in kleinere Teilspannungen möglich (Spannungs- Ux0 D U1 : (1.41)
teiler), indem ein Schleifkontakt den Gesamt- R R
1 2 C Ra .R1 C R2 /
widerstand Rges in die Anteile R1 und R2 auf-
Gleichung 1.41 geht in Gl. 1.40 über, wenn
teilt. Für die abgegriffene Spannung Ux ist es
R1 R2 D 0 ist. Dies ist der Fall, wenn durch den
entscheidend, ob der Spannungsteiler unbelas-
äußeren Widerstand Ra kein Strom fließt (oder
tet (Abb. 1.17a) oder wegen des Stromflusses
wenn näherungsweise gilt: Ra  R2 ).
durch einen äußeren Widerstand Ra belastet ist
(Abb. 1.17b).
Beispiel 1.3-5
Für den unbelasteten Fall gilt:
Eine Spannungsquelle mit U1 D 24 V ist an
U1 einem Gesamtwiderstand von 8  angeschlos-
I D und (1.39a)
R1 C R2 sen. An einem Teilwiderstand von R2 D 1 
Ux D R2 I : (1.39b) wird die Spannung Ux abgegriffen. Wie groß
ist sie im unbelasteten und im belasteten Zu-
Wird Gl. 1.39a in Gl. 1.39b eingesetzt, so ergibt stand, wenn der äußere Widerstand a) gering
sich für die gesuchte Teilspannung Ux (Ra D 0;5 ) bzw. wenn er b) hoch ist (Ra D
R2 100 )?
Ux D U1 : (1.40)
R1 C R2
Lösung:
Dies bedeutet, dass sich die Gesamtspan- a) Geringer äußerer Widerstand:
nung U1 im Verhältnis des Teilwiderstandes zum Ra D 0;5  .
Gesamtwiderstand aufteilt. Unbelasteter Zustand:
Im Belastungsfall fließt durch Ra der Strom Ia Ux D 24  18 V D 3 V ,
und durch R2 nur noch die Stromstärke I  Ia . belasteter Zustand:
Da R2 und Ra parallel geschaltet sind, ist der Ge- Ux0 D 24  71C0;58
10;5
V D 1;09 V .
samtwiderstand
R2 Ra b) Hoher äußerer Widerstand:
Rp D :
R2 C Ra Ra D 100  .
18 E. Hering und R. Martin

Unbelasteter Zustand:
Ux D 3 V (unverändert) ,
belasteter Zustand:
Ux0 D 24  71C1008
1100
V D 2;97 V .
Der Wert der abgegriffenen Spannung Ux0
im belasteten Fall weicht bei einem großen äu-
ßeren Widerstand kaum vom unbelasteten Fall
ab (in diesem Beispiel lediglich um 1 %).
Abb. 1.18 Reihenschaltung eines linearen Widerstandes
und eines Kaltleiters

1.4 Grafische Verfahren


zur Ermittlung von Strömen Aufgelöst nach dem Strom IPTC ergibt sich
und Spannungen
1 Us
IPTC D  UPTC C :
Ströme und Spannungen lassen sich für Ohm’- R R
sche Widerstände mit Hilfe der Knoten- und Ma-
Aus dieser Geradengleichung (y D m x C b)
schenregel einfach ermitteln, da die Zusammen-
lassen sich die Achsenschnittpunkte wie folgt be-
hänge linear sind. Für den Fall, dass auch nichtli-
rechnen:
neare Bauelemente (z. B. Heißleiter (NTC), Kalt-
 Schnittpunkt mit der Stromachse IPTC :
leiter (PTC) oder spannungsabhängige Wider-
Es gilt: UPTC D 0. Daraus folgt: IPTC D
stände (VDR), Abschn. 2.2.3) in einer Schaltung
Us =R.
vorkommen, werden die stationären Strom- und
 Schnittpunkt mit der Spannungsachse UPTC :
Spannungswerte häufig grafisch ermittelt (ande-
Hier ist IPTC D 0. Damit wird UPTC D Us .
re Möglichkeiten sind Berechnungen mit Nähe-
Das bedeutet: Die Arbeitsgerade schneidet die
rungsgleichungen oder mit iterativen Methoden).
Stromachse I immer im Punkt Us =R und die
Im Folgenden wird die Berechnung für eine Rei-
Spannungsachse im Punkt Us . Wird die Span-
henschaltung mit einem linearen Widerstand und
nung Us verändert, dann verschiebt sich die Ge-
einem Kaltleiter (PTC), einem linearen und zwei
rade parallel; ihre Steigung ändert sich nur mit
nichtlinearen Bauelementen (Z-Dioden) und ei-
dem Widerstand R. Abbildung 1.19 zeigt die
ner Schaltungskombination aus linearem Wider-
Kennlinien des Ohm’schen Widerstandes und des
stand, Kaltleiter (PTC) und Heißleiter (NTC)
Kaltleiters (PTC) sowie ihre Schnittpunkte.
durchgeführt.

1.4.1 Reihenschaltung mit linearem


Widerstand und einem Kaltleiter
(PTC)

Abbildung 1.18 zeigt die Schaltung. In die Kenn-


linie des Kaltleiters (I D f.U /), die aus dem
Datenblatt stammt, wird die lineare Kennlinie des
Ohm’schen Widerstandes als Gerade so einge-
zeichnet, dass die beiden Achsenabschnitte be-
stimmt und miteinander verbunden werden.
Die Maschenregel lautet:
Abb. 1.19 Kennlinien des linearen Widerstandes und des
Us C R IPTC C UPTC D 0 : Kaltleiters (PTC)
1 Grundlagen der Elektrotechnik 19

I Hinweis: Ist die Kennlinie des nichtlinearen


Bauteils im doppelt logarithmischen Maßstab
gegeben, dann muss die Kennlinie in den linea-
ren Maßstab übertragen werden.

Wie Abb. 1.19 zeigt, ergeben sich drei Schnitt-


punkte, von denen jedoch nur A1 und A2 stabil
sind. Der Punkt Ainstabil ist ein instabiler Arbeits- Abb. 1.20 Schaltung mit linearem und zwei nichtlinearen
punkt, der nur durchfahren, nicht aber eingestellt Widerständen
werden kann.
Die Arbeitspunkte A1 und A2 haben folgende
Bedeutung:
 Arbeitspunkt A1 : Er liegt im Bereich der Wi-
derstandsänderung durch Fremderwärmung,
d. h. der Kaltleiter hat die Temperatur der Um-
gebung; die Spannung UPTC1 ist gering und der
Strom relativ groß.
 Arbeitspunkt A2 : Die Temperatur des Kalt-
leiters wird erhöht, so dass das Maximum
der Kennlinie überschritten wird. In diesem
Bereich nimmt die Leistung im Kaltleiter
(PPTC D UPTC  IPTC ) ständig zu, so dass eine
Widerstandsänderung durch Eigenerwärmung
Abb. 1.21 Kennlinie einer Z-Diode
einsetzt, die erst im Arbeitspunkt A2 zu Ende
ist. Die Spannung UPTC2 ist stark angestie-
gen, und der Strom hat sich verringert. Diese
Temperaturabhängigkeit der Spannung wird in 1. Schritt: Bestimmen der Ersatzkennlinie:
der Praxis ausgenutzt, um Geräte mit geringer Im ersten Schritt muss aus den zwei Einzel-
Leistung vor Überlastungen zu schützen (Ab- kennlinien der Dioden Z1 (gestrichelte rote
schn. 2.3). Linie) und Z2 (gestrichelte schwarze Linie)
eine Ersatzkennlinie (rote Linie) konstruiert

1.4.2 Reihenschaltung mit linearem


Widerstand und zwei
nichtlinearen Bauelementen
(Z-Dioden)

Abbildung 1.20 zeigt die Schaltung mit einem li-


nearen und zwei nichtlinearen Widerständen (ge-
geneinander geschaltete Z-Dioden, Abschn. 2.3).
Die Kennlinie einer Z-Diode ist in Abb. 1.21
gezeichnet. Die beiden Z-Dioden sind gegenein-
ander geschaltet (Abb. 1.20). Bei einer positiven
Versorgungsspannung Us ist deshalb die Diode
Z1 in Durchlassrichtung und die Diode Z2 in
Sperr-Richtung betrieben.
Um den Arbeitspunkt ermitteln zu können, Abb. 1.22 Kennlinien der Schaltung und Ermitteln des
geht man in zwei Schritten vor (Abb. 1.22): Arbeitspunktes
20 E. Hering und R. Martin

tet. Diese Schaltung kann zur Entmagnetisierung


(Abschn. 2.4.1.5) für Farbbildröhren verwendet
werden.
Beim Einschalten sind der Kaltleiter und der
Heißleiter kalt. Infolge des kleinen Widerstands-
wertes des PTCs fließt ein hoher Strom durch
die Parallelschaltung aus NTC und R. Da der
NTC einen hohen Widerstand aufweist, fließt der
Strom hauptsächlich durch den Ohm’schen Wi-
Abb. 1.23 Schaltungskombination aus Kaltleiter (PTC), derstand R (Entmagnetisierungsspule). Bei Er-
Heißleiter (NTC) und linearem Widerstand wärmung nimmt der Strom durch den PTC stark
ab, der Widerstand des NTC wird ebenfalls klei-
ner, so dass der Strom durch R noch stärker
werden. Die beiden Z-Dioden werden vom abnimmt.
gleichen Strom durchflossen, so dass nach der Zur Bestimmung des Arbeitspunktes geht man
Maschenregel für die Spannung Ua an den wieder schrittweise vor (Abb. 1.24):
beiden Dioden gilt: 1. Schritt: Bilden der Ersatzkennlinie von NTC
und R:
Ua D U=Z1  UZ2 : In Abb. 1.23 ist die Parallelschaltung des NTC
mit dem Widerstand R rot umrandet. Sei-
Da die Diode Z2 in Sperr-Richtung betrieben ne Ersatzkennlinie erhält man durch folgende
wird, sind die Zahlenwerte für die Spannung Überlegung: Bei einer Parallelschaltung ist
UZ2 negativ. Das bedeutet, dass die Beträge die Spannung an beiden Bauelementen gleich.
der Spannungen der beiden Z-Dioden für ver- Deshalb ergibt sich die Ersatzkennlinie bei
schiedene Ströme addiert werden müssen. Für Parallelschaltung durch die Addition der Strö-
einen Wert des Stromes IZ1 ist dies im Punkt P me INTC C IR für verschiedene Werte für UPar
in Abb. 1.22 eingezeichnet. Wird dies für al- (Punkt P in Abb. 1.24).
le Punkte ausgeführt, dann ergibt sich die in 2. Schritt: Schnitt der Ersatzkennlinie mit der
Abb. 1.22 rot eingezeichnete Ersatzkennlinie. PTC-Kennlinie:
2. Schritt: Konstruktion der linearen Kennlinie: Anschließend wird die PTC-Kennlinie ein-
Wie in Abschn. 1.4.1 gezeigt, schneidet der gezeichnet. Der Schnittpunkt mit der Ersatz-
Ohm’sche Widerstand die Achsen in den kennlinie ergibt den Arbeitspunkt A.
Punkten Us =R und Us . Der Schnittpunkt der
Kennlinie des Ohm’schen Widerstandes mit Beispiel 1.4-1
der Ersatzkennlinie der Z-Dioden ergibt den Die Schaltung entspricht Abb. 1.23. Die Ver-
gesuchten Arbeitspunkt A, d. h. die Span- sorgungsspannung Us beträgt 10 V und der
nung Ua und den Strom IR D IZ1 D IZ2 . Widerstand R 400 . Die Kennlinie des NTC-
Widerstandes ist in Abb. 1.24 gegeben (Daten-
blatt). Der Kaltleiter (PTC) hat eine Kennlinie,
die durch folgende Werte bestimmt ist:
1.4.3 Schaltungskombination aus
linearem Widerstand, Kaltleiter
UPTC in V 0 2 3 4 5 6 7 8
und Heißleiter
IPTC in mA 0 40 50 52 46 40 35 30

In Abb. 1.23 ist die Schaltungskombination aus


Kaltleiter (PTC), Heißleiter (NTC) und linearem Bestimmt werden soll der Arbeitspunkt A,
Widerstand gezeichnet. Dabei sind der Heißleiter d. h. die Spannung UPar und die Ströme IPTC ,
und der lineare Widerstand R parallel geschal- IR und INTC .
1 Grundlagen der Elektrotechnik 21

Abb. 1.24 Kennlinien und Arbeitspunkt des Beispiels 1.4-1

Lösung: Für UPTC D 0 ist UPar D Us . Der Null-


1. Schritt: Ersatzkennlinie von NTC und R: punkt der PTC-Kennlinie (rot gestrichelte
In Abb. 1.24 ist INTC als Funktion von Linie in Abb. 1.24) liegt also bei Us D
UNTC aufgetragen und die entsprechenden 10 V. Die anderen Werte lassen sich ent-
Kennlinien eingezeichnet. sprechend ausrechnen (z. B. für UPTC D
Für die Parallelschaltung bei einer be- 2 V ist UNTC D 10 V  2 V D 8 V; der zu-
stimmten Spannung (z. B. UParl D 4 V/ gilt gehörige Strom ist I D 40 mA). Auf diese
für die Ströme an einem Punkt P: IP D Weise lässt sich die PTC-Kennlinie (rot ge-
INTC C IR . In Abb. 1.24 wird die Addi- strichelte Linie in Abb. 1.24) konstruieren.
tion der Ströme (schwarz durchgezogene Der Arbeitspunkt A ist der Schnitt-
und schwarz gestrichelte Kurve ergeben punkt der Ersatzkennlinie (rote Linie in
die rote Kurve) für den Punkt P gezeigt. Abb. 1.24) mit der PTC-Kennlinie (rot ge-
Wird für jeden Spannungswert dies durch- strichelte Kennlinie in Abb. 1.24). Daraus
geführt, dann ergibt sich die rote Kurve. ergibt sich UPar D 5;4 V und IPTC D
2. Schritt: Schnitt der Ersatzkennlinie mit der 48 mA.
PTC-Kennlinie: Für den Strom IR durch den Widerstand R
Zunächst wird die PTC-Kennlinie einge- gilt: IR D 5;4 V=400  D 13;5 mA. Da-
zeichnet. Dabei ist zu beachten, dass auf mit errechnet sich für den Strom durch den
der y-Achse UNTC D UPar und nicht UPTC Heißleiter INTC D IPTC  IR D 48 mA 
aufgetragen ist. Nach der Maschenregel 13;5 mA D 34;5 mA.
Us C UPTC C UPar D 0 lässt sich UPar
berechnen: UPar D UNTC D Us  UPTC .
22 E. Hering und R. Martin

Abb. 1.25 Linearer Zweipol: a Schema, b Zusammen-


hang zwischen U12 und I

1.5 Maschen- und Knotenanalyse

Liegt ein Netz von Widerständen, Spannun-


gen und Stromstärken vor, so ist es mit dem Abb. 1.26 Schaltung und Kennlinie der realen Span-
Ohm’schen Gesetz (zur Berechnung der Wider- nungsquelle und Stromquelle
stände), mit der Knotenregel (zur Berechnung
der Stromstärken) und der Maschenregel (zur
Berechnung der Spannungen) möglich, gesuchte Da die unzugänglichen Knoten und Maschen
Widerstände, Stromstärken oder Spannungen zu des Zweipols durch lineare Gleichungssysteme
berechnen. Dazu dient die hier vorgestellte Ma- beschrieben werden, muss auch die Abhängig-
schen- und Knotenanalyse. Folgende Sätze sind keit des Stromes I von der Spannung U12
von allgemeiner Bedeutung: zwischen den beiden Polen linear sein, wie
 In einem Netz mit w Widerständen gibt es Abb. 1.25b zeigt. Der Zusammenhang lautet all-
w Gleichungen für das Ohm’sche Gesetz. gemein: U12 D K1  K2 I .
 In einem Netz mit k Knoten existieren (k  1)
linear unabhängige Knotenregeln.
 Ein Netz mit m Maschen ist durch m linear un-
1.5.1 Ersatzspannungs-
abhängige Maschengleichungen zu beschrei-
und Ersatzstromquelle
ben.
 Ein Netz mit k Knoten und m Maschen ist des-
1.5.1.1 Ersatzspannungsquelle
Eine ideale Spannungsquelle liefert eine Span-
halb mit p D k C m  1 linear unabhängigen
nung Us , die konstant, d. h. vom äußeren Wi-
Gleichungen vollständig beschrieben.
derstand Ra unabhängig ist. Die realen Span-
Zur Berechnung der fehlenden Größen sind li-
nungsquellen dagegen (z. B. Generatoren, Akku-
neare Gleichungssysteme zu lösen. Dazu gibt es
mulatoren oder Batterien) besitzen einen inneren
verschiedene mathematische Verfahren. Wir be-
Widerstand Ri , an dem eine Spannung Ui abfällt,
schränken uns auf die Darstellungsform linearer
die nach dem Ohm’schen Gesetz Ui D Ri I von
Gleichungssysteme mit Matrizen und Berech-
der Stromstärke I abhängt. In Abb 1.26 sind in
nung der Lösungen über das Aufstellen von De-
der linken Spalte die Schaltung und darunter die
terminanten nach Cramer (G. C RAMER, 1704 bis
Kennlinie gezeichnet. Für die am Ausgang lie-
1752).
gende Spannung U12 gilt:
Wird ein elektrisches Netz, bestehend aus
Ohm’schen Widerständen und Spannungsquel- U12 D Uq  Ui D Uq  Ri I : (1.42)
len, an einer beliebigen Stelle aufgetrennt, so
entstehen zwei Anschlussklemmen 1 und 2, und Dabei bedeuten U12 die Klemmenspannung,
man spricht von einem Zweipol (Abb. 1.25). Uq die Quellenspannung und Ri der innere
1 Grundlagen der Elektrotechnik 23

I0 D 2 A; I 00 D 6 A :
Da der innere Widerstand Ri die Steigung der
Kennlinie ist, gilt:
00 0
U12  U12 4V  8V
Ri D  00 0
D D 1:
I I 6A  2A
Aus der Gleichung für die Kennlinie (Gl. 1.43)
errechnet sich die Quellenspannung Uq zu:
0
Abb. 1.27 Kennlinie einer realen Spannungsquelle nach U12 D Uq  Ri I 0 und damit
0
Beispiel 1.5-1 Uq D U12 C Ri I 0
D 8 V C 1  2 A D 10 V :
Widerstand. Es ist festzuhalten, dass die Quel-
lenspannung Uq und der innere Widerstand Ri Für den Kurzschluss-Strom I0 gilt nach
künstlich eingeführt werden mussten, um die Gl. 1.45 I0 D Uq =Ri D 10 V=1  D 10 A.
Abhängigkeit U D f.I / richtig beschreiben zu Die in Abb. 1.26 links oben angegebe-
ne Schaltung zeigt, dass die Klemmenspan-
können. In den meisten Fällen ist die Abhängig-
nung U12 richtig beschrieben wird, wenn sich
keit linear, so dass Gl. 1.43 gilt (Abb. 1.26, links
im linearen Zweipol eine Ersatzspannungs-
unten), aus der folgt, dass die Quellenspannung
quelle der Quellenspannung Uq mit einem
Uq und der innere Widerstand Ri konstant sein
vorgeschalteten Innenwiderstand Ri befindet.
müssen. Wie aus Abb. 1.26 weiter hervorgeht, ist
der innere Widerstand die Steigung der U12 –I - Das bedeutet, dass jede noch so komplizierte
Kennlinie, so dass gilt: Schaltung durch die dargestellte Ersatzspan-
nungsquelle ersetzt werden kann. Mit dieser
Uq Methode können auch elektrische Netzwerke
Ri D : (1.43)
I0 berechnet werden (Abschn. 1.5.3).
Dabei bedeuten Uq die Quellenspannung (Span-
nung beim Strom I D 0, d. h. Leerlauf) und I0 1.5.1.2 Ersatzstromquelle
die Stromstärke bei der Spannung U12 D 0, d. h. Statt einer Ersatzspannungsquelle kann auch, wie
im Kurzschluss. Abb. 1.26 auf der rechten Seite zeigt, eine Er-
Die beiden Größen Uq und I0 können meist satzstromquelle definiert werden. Diese liefert für
nicht direkt gemessen werden, da beispielsweise die Anschlussklemmen (1) und (2) den konstan-
im Kurzschlussfall ein zu großer Kurzschluss- ten Strom I . Die Ersatzstromquelle besteht aus
Strom fließen und die Bauelemente zerstören einer Urstromquelle mit der Stromstärke I0 und
würde. Deshalb wählt man zur Bestimmung von einem parallel geschalteten Innenwiderstand Ri ,
Ri als Steigung der Kennlinie zwei beliebige Be- durch den der Strom Ii fließt, so dass gilt:
lastungsfälle aus, wie es Beispiel 1.5-1 zeigt.
I D I0  Ii D I0  U12 =Ri D I0  U12 Gi :
Beispiel 1.5-1
Eine reale Spannungsquelle zeigt eine lineare Hierbei ist Gi der Leitwert der Ersatzstromquel-
Spannungs-Strom-Kennlinie nach Abb. 1.27. le (Gi D 1=Ri ). Damit ein möglichst konstanter
Bestimmt werden soll der innere Wider- Strom I aus dem Zweipol fließen kann, wird
stand Ri , die Quellenspannung Uq und der der Leitwert Gi möglichst klein gehalten, d. h.,
Kurzschluss-Strom I0 . der Innenwiderstand Ri ist möglichst groß zu
Die Messungen hatten folgendes Ergebnis: wählen. In Abb. 1.26 ist unten rechts die entspre-
chende Kennlinie abgebildet. Die rot gezeichnete
0 00
U12 D 8V U12 D 4V; Stromstärke I ist die aus dem Zweipol fließende
24 E. Hering und R. Martin

Abb. 1.28 Äquivalente


aktive Zweipole und ihre
Leistung

Stromstärke. Die Steigung der Kurve ist wieder- an der Ersatzstromquelle gerichtet, und es gelten
um der innere Widerstand Ri , dessen Kehrwert folgende Umrechnungsbeziehungen:
der zugehörige Leitwert Gi ist. Die Berechnun-
Uq
gen erfolgen entsprechend dem Beispiel 1.5-1, Ri D
I0 (1.44)
lediglich mit dem Unterschied, dass der innere
Widerstand sehr groß zu wählen ist (z. B. 1 M), und G i D 1=R i :
damit wenig Stromverlust innerhalb der Ersatz- I Hinweis: Auch wenn im Leerlauf die Klem-
stromquelle auftritt. menspannung U12 und im Kurzschluss der
Klemmenstrom I gleich sind, liegen zwar äqui-
1.5.1.3 Äquivalente Zweipole valente Zweipole vor; sie können aber völlig
Zweipole, die hinsichtlich ihrer Klemmenspan- unterschiedliche Schaltungen aufweisen. Bei
nung U12 und der Stromstärke I gleiche Werte Zweipolen mit einer unterschiedlichen Anzahl
liefern, werden äquivalente Zweipole genannt. von Spannungsquellen (aktive Zweipole) kann
Wie Abb. 1.26 zeigt, kann der Zweipol entweder vor allem die Leistung im Inneren des Zweipols
durch eine Ersatzspannungsquelle (Urspan- sehr unterschiedlich sein (Abb. 1.28).
nungsquelle U0 mit vorgeschaltetem Innenwi-
derstand Ri ) oder durch eine Ersatzstromquelle
(Urstromquelle I0 mit parallel geschaltetem 1.5.2 Lineare Überlagerung
Innenwiderstand Ri bzw. dessen Leitwert Gi ) er- (Superpositionsprinzip
setzt werden. (Auch andere Schaltungen im Inne- nach HELMHOLTZ)
ren sind möglich.) Wie aus Abb. 1.26 ersichtlich
ist, sind die Spannungspfeile an der Ersatzspan- Zu Beginn dieses Abschnitts wird gezeigt, dass
nungsquelle entgegengesetzt zu den Strompfeilen alle Gleichungen (das Ohm’sche Gesetz, die
1 Grundlagen der Elektrotechnik 25

die Spannungsquelle U01 kurzgeschlossen. Die


Summe beider Beiträge (unter Beachtung der
Richtung der Stromstärken) ergibt die gesuch-
te Stromstärke I2 (Abb. 1.29 unten). Allgemein
kann dieser Sachverhalt für die Stromstärke Im
im Zweig m folgendermaßen formuliert werden:

Im Dk1 U01 C k2 U02 C k3 U03 C : : : C kn U0n ;


Im DIm1 C Im2 C Im3 C : : : C Imn : (1.45)

Zur Berechnung der einzelnen Teilströme geht


man folgendermaßen vor:
 1. Teilstrom Im1 berechnen: Alle Quellenspan-
nungen bis auf eine werden kurzgeschlossen
und (unter Berücksichtigung der entsprechen-
den Innenwiderstände) und der entsprechende
Teilstrom Im1 errechnet.
 2. Teilstrom Im2 berechnen: Alle Quellen-
spannungen bis auf eine zweite werden kurz-
geschlossen und der entsprechende Teilstrom
Im2 errechnet.
 3. Teilstrom Im3 berechnen: Alle Quellen-
spannungen bis auf eine dritte werden kurz-
geschlossen und der entsprechende Teilstrom
Abb. 1.29 Beispiel zum Satz der linearen Überlagerung Im3 errechnet. Die Berechnung weiterer Teil-
ströme erfolgt nach der gleichen Weise.
 n. Teilstrom Imn berechnen: Alle Quellen-
Knoten- und die Maschenregel) lineare Zusam- spannungen bis auf die letzte werden kurz-
menhänge zwischen Strömen und Spannungen geschlossen, und man berechnet den entspre-
beschreiben. chenden Teilstrom Imn .
Dies bedeutet, dass jede Stromstärke linear Aus der linearen Überlagerung der Teilströ-
von den Quellenspannungen des Netzes abhängt. me Im1 bis Imn (Gl. 1.41) errechnet sich die ge-
Daraus ergibt sich der Satz der linearen Überla- wünschte Stromstärke Im . Abbildung 1.29 zeigt
gerung: die Berechnungen für die Stromstärke I2 im mitt-
Jede Stromstärke Im in einem Stromzweig m leren Zweig.
errechnet sich aus der Summe aller durch diesen
Zweig fließenden Teilstromstärken Im1 bis Imn ,
die durch die einzelnen Quellenspannungen ver- 1.5.3 Berechnung elektrischer
ursacht werden. Netzwerke
An Hand von Abb. 1.29 wird dies verdeut-
licht. Soll die Stromstärke I2 durch den mittleren Wie bereits mehrmals erwähnt, werden die Span-
Zweig errechnet werden, so wird sie erzeugt nungen, Ströme und Widerstände in einem Netz-
durch die beiden Quellenspannungen U01 und werk durch lineare Gleichungssysteme beschrie-
U03 . Deshalb gilt in diesem Fall I2 D k1 U01 C ben. Im nächsten Abschnitt wird deshalb die Lö-
k3 U03 . sung linearer Gleichungssysteme hergeleitet und
Zur Berechnung von I2 D k1 U01 wird die am Beispiel eines Netzwerks ausgeführt. Die fol-
Spannungsquelle U03 kurzgeschlossen (U03 D genden Abschnitte beschreiben die Maschen- und
0), und zur Berechnung von I2 D k3 U03 wird Knotenanalyse.
26 E. Hering und R. Martin

1.5.3.1 Lösung linearer Für die Determinanten der Koeffizienten er-


Gleichungssysteme gibt sich
Stimmt die Anzahl der Unbekannten x1 bis xn mit ˇ ˇ
ˇ U1 0 R3 ˇˇ
der Anzahl der linear unabhängigen Gleichun- ˇ
ˇ ˇ
gen überein (n Gleichungen für n Unbekannte), DI1 D ˇU2 R2 R3 ˇ (1.46b)
ˇ ˇ
dann lassen sich die Unbekannten durch das in ˇ 0 1 1 ˇ
Abb. 1.30 geschilderte Verfahren berechnen. Die ˇ ˇ
ˇR1 U1 R3 ˇˇ
Berechnung der Determinanten wird nur für drei ˇ
ˇ ˇ
Koeffizienten gezeigt. Bei einer größeren An- DI2 D ˇ 0 U2 R3 ˇ (1.46c)
ˇ ˇ
zahl von Koeffizienten sei auf die mathematische ˇ1 0 1 ˇ
Fachliteratur verwiesen. ˇ ˇ
ˇR1 0 U1 ˇˇ
ˇ
ˇ ˇ
DI3 D ˇ 0 R2 U2 ˇ (1.46d)
Beispiel 1.5-2 ˇ ˇ
ˇ 1 1 0 ˇ
Für das in Abb. 1.31 gezeigte Netzwerk sollen
die Teilströme I1 , I2 und I3 berechnet werden. Für die Stromstärken I1 bis I3 in den Zweigen
Wie groß müsste U2 gewählt werden, damit resultiert
I2 D 0 oder I3 D 0 wird? (Für diesen Fall
D11
ist U1 D 6 V, R1 D 1 k, R2 D 2 k und I1 D ; (1.46e)
D
R3 D 3 k.)
D12
I2 D (1.46f)
D
Lösung: D13
Ein Netzwerk mit k Knoten und m Maschen I3 D (1.46g)
D
ist durch z D .k  1/ C m unabhängige, li-
Für die Determinanten erhält man (Rechenver-
neare Gleichungen eindeutig beschrieben (z:
fahren Abb. 1.30):
Anzahl der Zweige). Im vorliegenden Beispiel
gibt es zwei Knoten (k D 2) und zwei Ma- D D R1 R2  R1 R3  R2 R3 ; (1.47a)
schen (m D 2), so dass folgende drei (z D DI1 D U1 R2 C U2 R3  U1 R3 ; (1.47b)
.2  1/ C 2 D 3) Gleichungen für die Ströme
DI2 D U2 R1  U1 R3 C U2 R3 ; (1.47c)
I1 bis I3 formuliert werden können:
DI3 D U2 R1  U1 R2 : (1.47d)
Masche I: I1 R1 CI3 R3 D U1 (A) ; Damit errechnen sich die Ströme zu
Masche II: I2 R2 I3 R3 D U2 (B) ; U1 R2 C U1 R3  U2 R3
I1 D : (1.47e)
Knoten I: I1  I2 I3 D 0(C) : R1 R2 C R1 R3 C R2 R3
U1 R3  U2 R1  U2 R3
I2 D ; (1.47f)
R1 R2 C R1 R3 C R2 R3
Dieses Gleichungssystem kann nach U1 R2 C U2 R1
I3 D : (1.47g)
Abb. 1.30 wie folgt beschrieben werden: R1 R2 C R1 R3 C R2 R3
Für I2 D 0 errechnet sich U2 aus Gl. 1.47f wie
I1 I2 I3 folgt:
R1 0 R3 U1 U1 R3  U2 R1  U2 R3
0 R2 R3 U2 I2 D D0
R1 R2 C R1 R3 C R2 R3
1 1 1 0 oder U1 R3  U2 .R1 C R3 / D 0
ˇ ˇ
ˇR1 0 R3 ˇˇ
ˇ Damit wird
ˇ ˇ
mit D D ˇ 0 R2 R3 ˇ (1.46a) U1 R3
ˇ ˇ U2 D
ˇ1 1 1 ˇ ; (1.47h)
R1 C R3
1 Grundlagen der Elektrotechnik 27

Abb. 1.30 Lösung linearer Gleichungssysteme mit Determinanten


28 E. Hering und R. Martin

sich die Zweigströme ergeben. Dadurch entfällt


die Gleichung für die Knotenregel. Ein in mehre-
ren Maschen gemeinsamer Widerstand (im vor-
liegenden Fall R3 ) wird Koppelwiderstand be-
zeichnet. Für die Maschenanalyse gilt allgemein:
Bei der Maschenanalyse müssen nur die Ma-
schengleichungen (unter Berücksichtigung der
Abb. 1.31 Netzwerk für Beispiel 1.5-2 Kreisströme) aufgestellt werden. Die Knotenglei-
chungen werden eingespart.
Abbildung 1.32 zeigt die Definition der Ma-
eingesetzt wird U2 D 4;5 V. Für I3 D 0 folgt
schenströme allgemein (Abb. 1.32a) und am spe-
aus Gl. 1.47g U1 R2 C U2 R1 D 0 oder
ziellen Beispiel nach der Schaltung in Abb. 1.31.
R2 Wie aus Abb. 1.32a zu erkennen ist, ist die Kno-
U2 D U1 ; (1.47i) tenregel immer erfüllt, da in einem Knoten ein
R1
Maschenstrom stets als zufließender und als ab-
eingesetzt wird U2 D 12 V. fließender Strom erscheint.
Somit liefern das Ohm’sche Gesetz und In der Schaltung nach Abb. 1.32b werden die
die Kirchhoff’schen Regeln die linearen Maschenströme eingeführt, und die Gleichungen
Gleichungssysteme, mit denen beispielswei- nach der Maschenregel Ui D 0 aufgestellt:
se Ströme und Spannungen berechenbar sind.
Im Folgenden werden mit der Maschen- und I1 R1 C I1 R3  I2 R3  U1 D 0 und
Knotenanalyse zwei Verfahren vorgestellt, mit I2 R2 C I2 R3  I1 R3 C U2 D 0 :
denen durch vorheriges Ersetzen die Anzahl
der zu lösenden Gleichungen verringert und Daraus ergeben sich die bereits oben formulierten
damit der mathematische Aufwand zur Lö- Zusammenhänge:
sung vermindert werden kann. I1 R1 C .I1  I2 /R3  U1 D 0 .A0 /
und
1.5.3.2 Maschenstromanalyse
(Kreisstromverfahren) I2 R2  .I1  I2 /R3 C U2 D 0 .B0 / :
Um das Verfahren der Maschenanalyse zu verste- Mit dem in Abschn. 1.5.3.1 aufgezeigten Ver-
hen, betrachtet man die Schaltung in Abb. 1.31. fahren lassen sich die Stromstärken folgenderma-
Wird die Stromstärke I3 in den beiden Maschen- ßen berechnen:
gleichungen (A) und (B) durch die Differenz der Für das Gleichungssystem gilt
Maschenströme I1  I2 ersetzt (nach der Kno-
tenregel gilt I3 D I1  I2 ), dann ergeben sich I1 I2
folgende Maschengleichungen: .R1 C R3 / R3 U1
R 3 .R 2 C R 3 / U 2
I1 R1 C .I1  I2 /R3  U1 D 0 .A0 / und
I2 R2  .I1  I2 /R3 C U2 D 0 .B0 / : Für die Determinanten erhält man
ˇ ˇ
ˇ .R C R / R3 ˇ
ˇ 1 3 ˇ
Demzufolge hat sich die Anzahl der zu lö- D D ˇ ˇ;
ˇ R3 .R2 C R3 / ˇ
senden Gleichungen (in diesem Fall um eine)
verringert. Das geschilderte Vorgehen wird als D D .R1 C R3 /.R2 C R3 /  R32
Maschenanalyse bezeichnet und kann folgender- D R1 R2 C R2 R3 C R3 R1 :
ˇ ˇ
maßen interpretiert werden: ˇ U R3 ˇ
ˇ 1 ˇ
Anstelle der Zweigströme werden bei der Ma- DI1 D ˇ ˇ
ˇ U2 .R2 C R3 / ˇ
schenanalyse sogenannte Maschen- oder Kreis-
ströme eingeführt, durch deren Überlagerung D U1 .R2 C R3 /  U2 R3 ;
1 Grundlagen der Elektrotechnik 29

Abb. 1.32 Maschenanaly-


se: a allgemeine Definition
eines Maschenstromes,
b Maschenströme in der
Schaltung nach Abb. 1.31

ˇ ˇ
ˇ .R C R / U ˇ
ˇ 1 3 1 ˇ I1 I2 I3 ::: Im
DI2 D ˇ ˇ
ˇ R3 U2 ˇ Masche 1 R11 a12 R12 a13 R13 : : : a1m R1m U1
Masche 2 a21 R21 R22 a23 R23 : : : a2m R2m U2
D U2 .R1 C R3 / C U1 C R3 : Masche 3 a31 R31 a32 R32 R33 : : : a3m R3m U3
Masche m am1 Rm1 am2 Rm2 am3 Rm3 : : : Rmm Um
Die Stromstärken errechnen sich dann zu
Die Abkürzungen bedeuten:
DI1 U1 .R2 C R3 /  U2 R3  Ix : Maschenstrom in der Masche x.
I1 D D ;
D R1 R2 C R2 R3 C R3 R1  Ux : Summe aller Quellenspannungen (Vor-
zeichen entgegen dem Umlaufsinn der Ma-
DI2 U1 R3  U2 .R1 C R3 /
I2 D D : sche x).
D R1 R2 C R2 R3 C R3 R1  Rxx : Summe aller Widerstände in der Ma-
sche m.
Für den Strom I3 durch den Widerstand R3 ergibt  Rxy : Widerstand, der von den Maschen-
sich dann strömen Ix und Iy gemeinsam durchflossen
wird.
U1 C R2 C U2 R1  Hinweis: Haben zwei Kreisströme Ix und
I3 D I1  I2 D :
R1 R2 C R2 R3 C R3 R1 Iy keinen gemeinsamen Zweig, dann ist
Rxy D 0.
In der folgenden Liste sind die Schritte für die  axy : Vorzeichenfaktor nach folgender Fest-
Anwendung der Maschenanalyse zusammenge- legung:
stellt.
Systematisches Vorgehen bei der Maschen-
stromanalyse:
1. Bestimmen der Anzahl m der unabhängigen
Maschengleichungen: Es gilt: m D z .k 1/
(z: Anzahl Zweige; k: Anzahl Knoten) 5. Kontrolle des Schemas: Die Koeffizienten
2. Umrechnen aller Stromquellen in äquivalente des Rechenschemas müssen symmetrisch zur
Spannungsquellen (Abschn. 1.5.1.1). Hauptdiagonalen (Achse R11  Rmm ) sein.
3. Für jede Masche ist ein Maschenstrom I D 6. Bestimmen der Lösung des linearen Glei-
I1  Im anzunehmen. Die Pfeilung der Ma- chungssystems (Abb. 1.30).
schenströme erfolgt möglichst im Uhrzeiger-
sinn. Jeder Zweig muss in mindestens einer 1.5.3.3 Knotenspannungsanalyse
Masche enthalten sein. (Knotenpotenzialanalyse)
4. Für jede Masche: Aufstellung der Maschen- Bei der Knotenspannungsanalyse werden im
regel Ui D 0. Eintragen in folgendes Rechen- Vergleich zur Maschenstromanalyse die Knoten-
schema: regeln angewandt (†Ii D 0), und die Span-
30 E. Hering und R. Martin

Abb. 1.33 Knoten-


spannungsanalyse:
a Ausgangsschaltung,
b Schaltung mit äquiva-
lenten Stromquellen und
Leitwerten

nungen durch die Maschenregel ersetzt. Dabei Knoten 2:


müssen vorhandene Spannungsquellen in äquiva-
lente Stromquellen (Abschn. 1.5.1.2) umgewan- G3 .U10  U20 /  U20 .G4 C G5 / C I2 D 0 :
delt und wegen I D U G die Widerstände durch
die Leitwerte ersetzt werden. Für die Knoten- Werden die Knotengleichungen entsprechend ge-
spannungsanalyse gilt allgemein: ordnet, so ergibt sich:
Bei der Knotenspannungsanalyse müssen nur Knoten 1:
die Knotengleichungen (unter Berücksichtigung
der Knotenspannungen) aufgestellt werden. Die U10 .G1 C G2 C G3 /  U20 G3 D I1 ;
Maschengleichungen werden eingespart.
Knoten 2:
Zum besseren Verständnis dient als Beispiel
die Schaltung in Abb. 1.33a, für die die Span- U10 G3 C U20 .G3 C G4 C G5 / D I2 :
nungsquellen in Abb. 1.33b durch äquivalente
Stromquellen und die Widerstände R durch die Nach dem in Abschn. 1.5.3.1 (Abb. 1.30) be-
Leitwerte G ersetzt sind. schriebenen Verfahren zur Lösung linearer Glei-
Die Spannung zwischen zwei Knoten wird chungssysteme erhält man folgende Ergebnisse:
Knotenspannung genannt (im vorliegenden Bei- Rechenschema:
spiel: U10 D '1  '0 , U20 D '2  '0 und
U12 D '1  '2 , wobei '0 D 0 V). Für sie gilt U10 U20
die Maschenregel: Knoten 1 .G1 C G2 C G3 / G3 I1
Knoten 2 G3 .G3 C G4 C G5 / I2
U12 C U20  U10 D 0 oder
U12 D U10  U20 : Für die Determinanten ergeben sich nach erfolg-
ter Ausrechnung
Für die Ströme I1 und I2 gilt nach der Kno-
tenregel: †Ii D 0 (unter Berücksichtigung der D D .G1 C G2 /.G3 C G4 C G5 /
obigen Maschenregel für U12 ). C G3 .G4 C G5 / ;
Knoten 1:
DU10 D U1 G1 .G3 C G4 C G5 / C U2 G3 G5 ;
I1  U10 .G1 C G2 /  G3 .U10  U20 / D 0 ; DU20 D U2 G5 .G1 C G2 C G3 / C U1 G1 G3 :
1 Grundlagen der Elektrotechnik 31

Für die Spannungen ergeben sich


DU10
U10 D
D
U1 G1 .G3 C G4 C G5 / C U2 G3 G5
D ;
.G1 C G2 /.G3 C G4 C G5 / C G3 .G4 C G5 /
DU20
U20 D Abb. 1.34 Verschiebung einer Spannungsquelle über
D einen Knoten
U2 G5 .G1 C G2 C G3 / C U1 G1 G3
D :
.G1 C G2 /.G3 C G4 C G5 / C G3 .G4 C G5 /
 Kontrolle des Schemas:
Für die Stromstärken durch die Widerstände er-
– Die Koeffizienten des Rechenschemas
hält man daher
müssen symmetrisch zur Hauptdiagonalen
IR2 D U10 =R2 I (Achse G11  Gnn ) sein;
– Summe der Elemente jeder Zeile muss 0
IR3 D U12 =R3 D .U10  U20 /=R3 I
sein;
IR4 D U20 =R4 : – Summe der Elemente jeder Spalte muss 0
sein;
In der folgenden Liste sind die Schritte für
– Summe der Einströmungen Ix muss 0 sein.
die Anwendung der Knotenspannungsanalyse zu-
 Streichung der Zeile n und der Spalte n (Be-
sammengestellt.
zugsknoten).
Systematisches Vorgehen bei der Knotenspan-
 Lösung des linearen Gleichungssystems
nungsanalyse:
(Abb. 1.30).
 Umrechnen aller Spannungsquellen in äquiva-
lente Stromquellen (Abschn. 1.5.1.2). I Hinweis: Ist der Innenwiderstand der Span-
 Die Knoten werden durchnummeriert (von 1 nungsquelle sehr klein (annähernd gleich null),
bis n) und ein Knoten als Bezugsknoten ge- so ergibt sich rechnerisch ein Leitwert G von
wählt (Ziffer 0). näherungsweise unendlich. In diesem Fall ist es
 Für jeden Knoten: Aufstellung der Knotenre- sinnvoll, einen kleinen realen Wert für Ri an-
gel: Ii D 0. Eintragen in folgendes Rechen- zusetzen, oder von folgender Eigenschaft der
schema: Knotenspannungsanalyse Gebrauch zu ma-
chen (Abb. 1.34):
U10 U20 U30 ::: Un0
Knoten 1 CG11 G12 G13 ::: G1n I1 Eine Spannungsquelle in einem Zweig kann
Knoten 2 G21 CG22 G23 ::: G2n I2 über einen Knoten hinweg verschoben werden.
Knoten 3 G31 G32 CG33 ::: G3n I3 Dann muss sie in allen an den Knoten anschlie-
Knoten n Gn1 Gn2 Gn3 ::: CGnn In ßenden Zweigen berücksichtigt werden. Damit
ändert sich aber auch die Spannung zwischen den
Die Abkürzungen bedeuten: Knoten (1) und (2).
– Ux0 : Knotenspannung zwischen Knoten x
und Bezugsknoten 0. 1.5.3.4 Vergleich der Maschenstrom- und
– Ix : Summe aller Quellenströme, die in den Knotenspannungsanalyse
Knoten fließen (negativ, wenn Strom von Bei der Auswahl der Maschen- oder Knoten-
Knoten wegfließt). analyse muss entschieden werden, mit welchem
– Gxx : Summe aller Leitwerte, die einseitig Verfahren die wenigsten Gleichungen zu lösen
mit Knoten x verbunden sind (Knotenleit- sind. Allgemein gilt:
wert; in Hauptdiagonale). Für Netze mit mehr als vier Knoten ist die
– Gxy : Leitwert zwischen Knoten x und Kno- Maschenstromanalyse günstiger; bei vier Knoten
ten y (Koppelleitwert; es ist: Gxy D Gyx /. und weniger die Knotenspannungsanalyse.
32 E. Hering und R. Martin

Rechenschema:

I1 I2 I3
.R1 C R2 / R1 R2 Uq
R1 .R1 C Rn C RD / RD 0
R2 RD .R2 C RD C Rx / 0.

5. Kontrolle des Schemas


Die Symmetrie der Koeffizienten zur Haupt-
diagonalen ist gegeben.
6. Lösung des linearen Gleichungssystems
Das lineare Gleichungssystem löst man nach
Abb. 1.35 Schaltung der Wheatstone’schen Brücke Abb. 1.30. Wird die Determinante des Glei-
chungssystems berechnet, so ergibt sich
1.5.4 Brückenschaltungen
D D RD .R1 C R2 /.Rn C Rx /
Zur Messung elektrischer Größen (z. B. Wider- C R1 R2 .Rn C Rx / C Rn Rx .R1 C R2 / :
stände oder Kapazitäten) werden häufig Brücken-
schaltungen eingesetzt. Bereits in Abschn. 1.3.3.4 Es gilt
ist die Funktionsweise der Wheatstone’schen UD D .I3  I2 /RD : (1.48)
Brücke vorgestellt, das Messprinzip erläutert und Deshalb werden die Stromstärken I2 und I3
mit der Maschenregel der zu messende Wider- berechnet. Die entsprechenden Determinan-
stand Rx bestimmt worden (Abb. 1.16). In diesem ten lauten:
Abschnitt wird mit Hilfe der Maschenanaly-
se und der Methode der Ersatzspannungsquelle DI2 D U0 .R1 RD C R1 .R2 C Rx / C R2 RD / ;
die Diagonalspannung UD bestimmt, die bei der DI3 D U0 .R1 RD C R2 .R1 C RD C Rn // :
Messung auf den Wert null abgeglichen wird.
Abbildung 1.35 zeigt die Schaltung der Wheat- Für die Stromstärken gilt I2 D DI2 =D und
stone’schen Brücke. I3 D DI3 =D.
Eingesetzt in Gl. 1.48 gilt für die Diagonal-
1.5.4.1 Berechnung
spannung UD nach erfolgter Zusammenfas-
mit der Maschenanalyse
sung im Zähler
Zur Berechnung wird das Schema der folgenden
Liste verwendet. UD D U0 RD
1. Bestimmung der Anzahl der unabhängigen Rn R2  R1 Rx
Maschengleichungen  :
Die vorliegende Schaltung nach Abb. 1.35 hat RD .R1 C R2 /.Rn C Rx /C
z D 6 Zweige und k D 4 Knoten (A bis D). CR1 R2 .Rn C R2 / C Rn Rx .R1 C R2 /
Damit ergeben sich m D z  k C 1 D 6  4 C (1.49)
1 D 3 unabhängige Maschengleichungen.
2. Es liegen nur Spannungsquellen vor. 1.5.4.2 Berechnung mit der Methode
3. Maschenströme sind in Abb. 1.35 eingezeich- der Ersatzspannungsquelle
net. Man geht, wie Abb. 1.36a und b zeigt, in zwei
4. Die Maschenregel für die einzelnen Maschen Schritten vor:
lautet 1. Berechnung der Diagonal-Leerlaufspannung
UDL : Nach der Maschenregel und gemäß
I1 .R1 C R2 /I2 R1 I3 R2 D Uq ;
Abb. 1.36a ist
I1 R1 CI2 .R1 C Rn C RD /I3 RD D 0 ;
I1 R2 I2 RD CI3 .R2 C RD C Rx / D 0 : UDL D U2  Ux : (1.50a)
1 Grundlagen der Elektrotechnik 33

Abb. 1.36 Wheat-


stone’sche Brückenschal-
tung; Ersatzspannungs-
quelle

Für die Teilspannungen U2 und Ux gilt Lösung:


Gl. 1.41 für den unbelasteten Spannungstei- Aus dem Ohm’schen Gesetz Uq D .Ra1 C
ler: Ri /I1 und Uq D .Ra2 C Ri /I2 ergibt sich:
R2 Rx
U2 D U0 und Ux D U0 Ra1  Ra2
R1 C R2 Rn C Rx Uq D D 100 V ;
.1=I1  1=I2 /
Eingesetzt in Gl. 1.50a erhält man Ra1 I1  Ra2 I2
  Ri D  D 10  :
R2 Rx I1  I2
UDL D U0  :
R1 C R2 Rn C Rx
(1.50b) Beispiel 1.5-4
2. Ersatzspannungsquelle: Nach Abschn. 1.5.1.1 Für die Schaltung gemäß Abb. 1.37 ist die
(Abb. 1.26) ergibt sich als Ersatzspannungs- Spannung Ux zu bestimmen (R1 D 4 , R2 D
quelle die Schaltung in Abb. 1.36b. Für die 20 , Uq D 12 V), a) mit der Maschenanalyse
Spannung UD ergibt sich nach dem Span- und b) mit der Knotenanalyse.
nungsteiler (Gl. 1.41): a) Maschenanalyse (Abb. 1.37a)
Der Widerstand R1 wird vom Maschen-
RD
UD D UDL : (1.50c) strom I1 , der Widerstand R2 wird vom Ma-
Ri C RD
schenstrom I2 durchflossen. Deshalb gilt
Für den Innenwiderstand Ri gilt nach für die Spannung Ux D I1 R2 C I2 R1 .
Abb. 1.26: Da z D 5 und k D 4, gibt es m D 2 Ma-
schengleichungen:
R1 R2 Rn Rx
Ri D C : (1.50d)
R1 C R2 Rn C Rx I1 I2
Werden die Ausdrücke für UDL (Gl. 1.50b) Masche 1 .2R1 C R2 / R1 U0
Masche 2 R1 .2R1 C R2 / 0
und Ri (Gl. 1.50d) in die Gl. 1.50c für die Span-
nung UD eingesetzt, dann ergibt sich die bereits
bekannte Gl. 1.51. D D .R1 C R2 /.3R1 C R2 / I
DI1 D U0 .2R1 C R2 / I
Beispiel 1.5-3
DI2 D U0 R1 :
An den Ausgang eines linearen Zweipols
ist ein Außenwiderstand Ra anzuschließen. I1 D DI1 =D I I2 D DI2 =D :
Messungen mit unterschiedlichen Außenwi- R1 C R2
Ux D U0 D 9 V:
derständen ergeben für Ra1 D 40  eine 3R1 C R2
Stromstärke I1 D 2 A und für Ra2 D 90 
eine Stromstärke von I2 D 1 A. Wie groß ist b) Knotenanalyse (Abb. 1.37b)
die Quellenspannung Uq und der Innenwider- Netzwerk für die Knotenanalyse:
stand Ri der Ersatzspannungsquelle? Rechenschema:
34 E. Hering und R. Martin

Abb. 1.37 a Maschenana-


lyse, b Knotenanalyse

U10 U20 U30 U00 und


Kn. 1 .2G1 C G1 G2 G1 U0 G1
G2 / DUx D U0 G1 .G1 C G2 /2 :
Kn. 2 G1 .2G1 C G1 G2 0 Damit ist
G2 /
Kn. 3 G2 G1 .G1 C 0 0 DUx U0 .R1 C R2 /
Ux D D D 9V:
G2 / D 3 R1 C R2
Kn. 0 G1 G2 0 .G1 C U0 G1
G2 /
1.5.5 Simulation elektronischer
Die Kontrolle (Zeilen gleich null; Spalten Schaltungen
gleich null; rechte Spalte gleich null) be-
Im Entwicklungsprozess elektronischer Schaltun-
stätigt die Richtigkeit der Gleichungen.
gen steht die Simulation zwischen Grobausle-
Der Bezugsknoten wird gestrichen (Zei-
gung und Konzeptbestätigung anhand eines Pro-
le mit Knoten 0 und Spalte mit Span-
totyps. Die im ersten Schritt verwendeten Re-
nung U00 ). Es wird für U30 die gesuchte
chenmodelle sind in der Regel stark vereinfacht
Spannung Ux gesetzt. Damit liegt folgen-
– beispielsweise werden Halbleiterbauelemen-
des Gleichungssystem vor:
te üblicherweise durch abschnittsweise lineare
U10 U20 U30 Modelle repräsentiert – und erlauben oft kei-
Knoten 1 .2G1 C G2 / G1 G2 U0 G1 ne Aussage darüber, ob die geforderten Schal-
Knoten 2 G1 .2G1 C G2 / G1 0 tungseigenschaften unter allen Randbedingungen
Knoten 3 G2 G1 .G1 C G2 / 0 wie beispielsweise Schwankungen der Umge-
bungstemperatur oder Toleranzen der eingesetz-
Die entsprechenden Determinanten errech-
ten Bauteile eingehalten werden. Die kosten- und
nen sich zu
zeitaufwändige Fertigung eines Prototyps stellt
D D G1 .G1 C G2 /.G1 C 3G2 / auf dieser Basis ein signifikantes Risiko dar.
1 Grundlagen der Elektrotechnik 35

Eine Simulation der Schaltung ermöglicht der Differenzialgleichungen mit dem Trapezver-
noch vor der Prototypenfertigung eine genauere fahren. Diese ermöglichen die Kernfunktionalität
Analyse der Schaltungseigenschaften. Reine di- des Simulators: Gleichstromanalyse (DC Ana-
gitale Systeme werden meist auf der Basis einer lysis), Wechselstromanalyse (AC Analysis) und
Hardwarebeschreibungssprache wie VHDL oder die Berechnung von Ausgleichsvorgängen (Tran-
Verilog simuliert; bei analogen bzw. gemischten sient Analysis). Aufbauend auf den Kernfunk-
Analog-Digital-Schaltungen sind SPICE-basier- tionalitäten sind weitere Berechnungen möglich,
te Simulationsprogramme Stand der Technik. Im beispielsweise Empfindlichkeitsuntersuchungen,
Folgenden wird auf diese näher eingegangen. Rausch- und Fourieranalyse.
Das Akronym SPICE steht für Simulation Pro- Obwohl SPICE auf bewährten Algorithmen
gram with IC Emphasis. Die Algorithmen werden basiert, müssen die Ausgaben des Programms
seit Beginn der 1970er Jahre an der University of dennoch kritisch geprüft und plausibilisiert wer-
California, Berkeley entwickelt. Die Version SPI- den. Zum einen bilden die verwendeten Model-
CE3f5 aus dem Jahr 1997 ist frei verfügbar und le nur die Bauteileigenschaften ab, die model-
bildet die Basis für kommerzielle und freie Tools. liert und parametriert sind, zum anderen können
Prominente Vertreter sind PSPICE des EDA-Tool- Konvergenzprobleme und sich aufsummierende
Herstellers Cadence, LTspice des IC-Herstellers Fehler auftreten. Kritisch sind Transientenanaly-
Linear Technology oder Ngspice (open source). sen mit zu groß gewählten Abtastschritten; auch
SPICE ist ein textbasierter Simulator auf Bau- Schaltungen mit mehreren stabilen Arbeitspunk-
teileebene. Als Eingabedaten verarbeitet SPI- ten erfordern gezielte Eingriffe des Benutzers,
CE die Beschreibung der Schaltungstopologie in beispielsweise das Setzen geeigneter Initialwer-
Form einer Netzliste, Definitionen der zu verwen- te. Kein Simulationsprogramm, auch nicht SPI-
denden Bauteilemodelle und Steueranweisungen. CE, kann daher grundlegende elektrotechnische
Diese können mit einem Texteditor von Hand Kompetenzen ersetzen.
erstellt werden. Meist ist der Simulator in ei- Im Folgenden werden die Bauteilemodelle
ne EDA-Toolchain integriert. Die Netzliste wird und anhand einfacher Beispiele die Kernfunktio-
dann direkt aus dem Schaltplan generiert, ebenso nalitäten Gleichstrom-, Wechselstrom- und Tran-
die Parametrierung von Bauteilmodellen. Die Er- sientenanalyse vorgestellt. Die Beispiele wurden
zeugung syntaktisch korrekter Steueranweisun- mit LTSpice und ngspice geprüft, verwenden aber
gen werden dann durch grafische Benutzerober- keine speziellen Funktionalitäten und sollten da-
flächen unterstützt. In vielen Fällen ist auch ei- her mit jeder SPICE-Variante lauffähig sein.
ne hybride Arbeitsweise möglich, bei der direkt
im Schaltplan Steueranweisungen und Modell- 1.5.5.1 Bauteilmodelle
parameter platziert werden. Geübte Anwender SPICE verfügt über eine Sammlung grundlegen-
erzielen dadurch einen Zeitvorteil. Eine grafi- der Bauteilmodelle, die vom Benutzer parame-
sche Darstellung der Simulationsergebnisse kann triert werden:
innerhalb der Toolchain durch Setzen von Mess-  Widerstände,
punkten im Schaltplan erzeugt werden. Gängig  Kondensatoren,
ist auch die Weiterverarbeitung der generierten  (Gekoppelte) Induktivitäten,
Daten in Programmen wie Matlab oder Octave.  Leitungen,
Intern arbeitet SPICE mit einer Knotenpoten-  (Gesteuerte) Spannungs- und Stromquellen,
zialanalyse. Die Eigenschaften der Bauteile und  gesteuerte Schalter,
die Topologie des Netzwerks definieren ein Dif-  Dioden,
ferenzialgleichungssystem, dessen Koeffizienten  Bipolartransistoren und
im Allgemeinen nichtlinear sind. Die wesent-  Feldeffekttransistoren.
lichen Bestandteile des Simulationsalgorithmus Die Basismodelle werden in der Netzliste durch
sind die Behandlung von Nichtlinearitäten nach Buchstaben referenziert, beispielsweise steht R
Newton-Raphson und die numerische Integration für einen Widerstand oder D für eine Halbleiter-
36 E. Hering und R. Martin

a b c
LED-Schaltung --- Operating Point ---
R1 1 2 330
V(2): 1.8644 voltage
V1 1 0 3.3
I(D1): 0.00435031 device_current
D1 2 0 LEDred
.model LEDred D
+ IS=1.3E-18
+ N=2.0
+ RS=3.5
.op.print op v(2) i(D1)
.end

Abb. 1.38 Bestimmung des Arbeitspunktes einer LED, die an den Ausgang einer Digitalschaltung angeschlossen ist.
a die Schaltung, b die Spice-Anweisungen und c das Simulationsergebnis

diode. Zudem können Teilschaltungen definiert Auslegung soll im Folgenden mit einer Simulati-
werden, die ihrerseits als Modell in einer überge- on verifiziert werden.
ordneten Hierarchiestufe dienen. Je nach SPICE- In Abb. 1.38b wird die Syntax der Spi-
Variante sind zusätzliche Modelle, beispielsweise ce-Anweisungen deutlich. Die Topologie des
Halbleitermodelle für höchstintegrierte Schaltun- Netzwerks ist in der Form <Bauteilteiltyp>
gen oder RC-Leitungen vorhanden. <Index><Schaltungsknoten 1>. . . <Schaltungs-
Bereits die Basismodelle verfügen teilweise knoten n><Wert> abgebildet. Der Bauteiltyp und
über mehr als 40 Parameter. Häufig sind diese bei damit das zu verwendende Modell wird mit ei-
den Bauteilherstellern erhältlich. Bei nicht voll- nem Buchstaben gekennzeichnet: R steht für
ständig spezifizierten Parametersätzen verwendet einen Ohm’schen Widerstand, V für eine Span-
SPICE Ersatzwerte, die in der Regel dazu führen, nungsquelle und D für eine Halbleiterdiode. Die
dass die entsprechenden Eigenschaften nicht mo- Anschlussfolge ist im Bauteilmodell festgelegt:
delliert werden (z. B. die Temperaturabhängigkeit So ist beispielsweise in Zeile 4 die Reihenfolge
eines Widerstandes). Anode – Kathode bei der Angabe der Knoten ein-
zuhalten. Im Schaltungsbeispiel ist also die Anode
an den Knoten 2, die Kathode an das Bezugspoten-
1.5.5.2 Gleichstromanalyse
zial 0 angeschlossen. In jeder Netzliste muss genau
Die Gleichstromanalyse hat in SPICE zwei Aus-
ein mit „0“ benannter Bezugsknoten vorhanden
prägungen: Die Berechnung des Arbeitspunktes
sein. Bei Verwendung eines Schaltplaneditors
(Operating Point) und die Bestimmung des Groß-
wird der Bezugsknoten wie in Abb. 1.38 a mit
signalverhaltens (DC Sweep) einer Schaltung.
einem Massesymbol gekennzeichnet.
Letztgenannter ist dabei eine fortgesetzte Berech-
Bei passiven Bauteilen reicht in der Regel
nung hinreichend vieler Arbeitspunkte.
die Angabe des Nominalwertes aus (s. Zeile 2
in Abb. 1.38b). Dies ist auch bei Halbleiterbau-
Arbeitspunktberechnung elementen möglich, wenn beispielsweise für die
Ein einfaches Beispiel zur Arbeitspunktbestim- Diode in Abb. 1.38a ein Parametersatz des Her-
mung ist in Abb. 1.38 dargestellt. Eine Leucht- stellers vorliegt, der mit der „.lib“-Anweisung in
diode soll am Ausgang einer Digitalschaltung die Simulationsdatei eingebunden wurde.
angeschlossen werden, die einen High-Pegel von Im hier dargestellten Beispiel wurden die Pa-
3,3 V liefert. Eine überschlägige Auslegung geht rameter aus Datenblattangaben abgeleitet, ent-
von einer Flussspannung von 1,8 V bei einem sprechende Vorgehensweisen sind in der Lite-
Anode-Kathode-Strom von 5 mA aus. Der er- ratur zu finden (z. B. Baumann). Die Parameter
forderliche Vorwiderstand ergibt sich zu R D werden dem Simulator ab Zeile 4 übergeben: Die
.3;3 V1;8 V/=5 mA D 300 . Gewählt wird ein Leuchtdiode D1 erhält die Referenz LEDred, die
Widerstand von 330  aus der E12-Reihe. Diese „.model“-Anweisung ab Zeile 5 stellt mit dem
1 Grundlagen der Elektrotechnik 37

a b c
CMOS-Inverter 5
U3/(V)
M1 3 2 0 0 N1_SH 4
Vin 2 0 0
3
VDD 1 0 5.0
2
M2 1 2 3 VDD P1_SH
1
.MODEL N1_SH NMOS LEVEL = 1
+ VTO = 0.8 0
0 1 2 3 4 5
+ KP = 1E-3 U2 /(V)

+ LAMBDA = 0.02
.MODEL P1_SH PMOS LEVEL = 1
+ VTO = -0.8
+ KP = 1E-3
+ LAMBDA = 0.02
.dc Vin 0 5 1m
.end

Abb. 1.39 Analyse eines CMOS-Inverters; a die Schaltung, b die Spice-Anweisungen und c das Simulationsergebnis

Bezeichner „D“ ein Bezug dieser Referenz zum Gleichstromkennlinie


Standard-Bipolardiodenmodell von SPICE her, Gleichstromkennlinien (DC Sweep) sind wieder-
welches anschließend parametriert wird. Da das holte Arbeitspunktberechnungen und werden mit
dynamische Verhalten hier nicht relevant ist, wur- der Anweisung „.dc“ erzeugt. Ein Beispiel hier-
de lediglich eine ideale Diode mit exponentiellem für ist die in Abb. 1.39 dargestellte CMOS-Inver-
Zusammenhang zwischen Strom und Anode-Ka- terschaltung. Das verwendete Transistormodell
thode-Spannung in Verbindung mit einem Serien- ist einfachster Art und basiert auf den Shichman-
widerstand modelliert. Für eine genaue Beschrei- Hodges-Gleichungen. Angegeben sind die Ein-
bung aller Diodenparameter ist in der Literatur, satzspannung, der Übertragungsleitwertparame-
beispielsweise bei Beetz (2008) enthalten. ter und der Kanallängenmodulationsparameter;
Die „.op“-Direktive weist den Simulator an, für die übrigen Parameter werden die Ersatzwerte
eine Berechnung des Arbeitspunktes vorzuneh- von SPICE verwendet.
men; „.end“ markiert das Ende der Eingabedatei. Im Beispiel Abb. 1.39b wird die Spannungs-
In der Regel werden die Simulationsergebnis- quelle Vin im Bereich zwischen 0 V und 5 V
se automatisch in eine Datei geschrieben bzw. mit einer Schrittweite von 1 mV variiert, d. h. es
dem Bildschirm angezeigt. Die Verwendung ex- werden 5001 Arbeitspunktberechnungen durch-
pliziter Ausgabeanweisungen wie „.print“ oder geführt. Abb. 1.39c zeigt wie erwartet eine sym-
„.plot“ ist inzwischen obsolet. Sie stammen noch metrische Übertragungskennlinie mit einer Inver-
aus der Zeit, als textbasierte Ausgabegeräte Stan- terschwellenspannung von 2,5 V.
dard waren. Heutzutage wird der Simulator meist
mit einer grafischen Oberfläche bedient, welche 1.5.5.3 Transientenanalyse
die Erzeugung der Netzliste und der Simulatoran- Die Transientenanalyse berechnet Ausgleichs-
weisungen unterstützt und neben der grafischen vorgänge im Zeitbereich. Sie ist in Abb. 1.40 am
Darstellung der Ergebnisse auch den Export in ei- Beispiel eines mit einem RC-Tiefpass gefilterten
ne Reihe gängiger Dateiformate erlaubt. PWM-Signals dargestellt. Das PWM-Signal wird
Das Simulationsergebnis zeigt, dass der Strom zum Zeitpunkt t D 0 eingeschaltet.
durch die Diode mit etwa 4,4 mA etwas unter In der Netzliste ist das PWM-Signal in Zei-
dem Auslegungsansatz liegt, was auf den größe- le 4 (Abb. 1.40b) durch die Spannungsquelle V1
ren Vorwiderstand und die etwas höhere Durch- mit pulsförmigem zeitlichem Verlauf repräsen-
flussspannung zurückzuführen ist. tiert. Die „.param“-Anweisung erlaubt es, in der
38 E. Hering und R. Martin

a b
PWM-Signal mit RC-Filter
R1 1 2 1k
C1 2 0 1u
V1 1 0 PULSE(0 {VDD} 0 0.1n 0.1n {ontime} {period} 100)
.param VDD = 1
.param a = 0.7
.param period = 1m
.param ontime = 'period*a'
*.step param a list 0.3 0.5 0.7 *LTspice only
.ic v(2)=0
.tran 1u 10m
.end

c
1
u2
0.9

0.8

0.7

0.6
u/V

0.5

0.4

0.3

0.2

0.1

0
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
t/ms

Abb. 1.40 Ausgleichsvorgang eines RC-Filters, das von einem PWM-Signal angesteuert wird. a Schaltung, b Spice-
Anweisungen und c das Simulationsergebnis

Netzliste mit Variablen zu arbeiten. Im Beispiel Die grafische Darstellung der Simulationser-
werden damit die Parameter des PWM-Signals in gebnisse in Abb. 1.41 zeigt die beiden Kom-
übersichtlicher Weise eingestellt. Die „.param“- ponenten, aus denen der Ausgleichsvorgang be-
Anweisung erlaubt es, mehrere Simulationen der- steht: Die Antwort des RC-Tiefpasses auf eine
selben Schaltung für verschiedene Dimensionie- Sprunganregung mit der Amplitude a*VDD und
rungen durchzuführen. Ein Beispiel ist in Zeile 9 eine überlagerte Wechselkomponente.
(Abb. 1.40) zusehen: Die Simulation wird dreimal
für verschiedene Werte von a durchgeführt. Der 1.5.5.4 Wechselstromanalyse
Befehl ist auskommentiert, da die Syntax nur mit Eine Wechselstromanalyse stellt das Verhalten ei-
LTspice, nicht jedoch mit ngspice kompatibel ist. ner Schaltung im Frequenzbereich dar. SPICE
Die Transientenanalyse wird durch die An- variiert dabei die Frequenz der im Netzwerk vor-
weisung „.tran“ initiert, im Beispiel erfolgt die handen Wechselspannungen – bzw. – Stromquel-
Simulation im Zeitbereich von 0 ms bis 10 ms len und berechnet den ausgeglichenen Zustand
mit einer Schrittweite von 1 s. Startwerte für des Netzwerkes. Im Beispiel in Abb. 1.41 wird
energietragende Größen werden entweder von dies für einen Tiefpass erster Ordnung dargestellt.
SPICE aus einer Arbeitspunktanalyse berechnet Die „.ac“-Anweisung in Zeile 6 bewirkt, dass
oder können wie im Beispiel mit der Direktive die Frequenz der Wechselspannungsquelle V1
„.ic“ vorgegeben werden. von 10 Hz bis 100 kHz verändert wird. Die Fre-
1 Grundlagen der Elektrotechnik 39

a b
Tiefpass 1. Ordnung
*R1 1 2 '10k/2/pi' *LTspice
R1 1 2 1.5915k
C1 2 0 100n
V1 1 0 AC 1
.ac dec 100 10 100k
.end

c
1

u2

0.1

0.01
u 2 /V

0.001

0.0001

1e-05
0.01 0.1 1 10 100
f/kHz

Abb. 1.41 Frequenz- und Phasengang eines Tiefpasses erster Ordnung. a Schaltung, b Spice-Anweisungen und c das
Simulationsergebnis

quenzvariation soll dekadisch erfolgen, je De- schaften ermitteln, Rausch- und Temperaturana-
kade sind 100 Werte zu berechnen. Für eine lysen bis hin zu Monte-Carlo-Analysen, mit denen
logarithmische Darstellung des Betrags der Über- auf Basis der statistische Verteilung von Schal-
tragungsfunktion ist es sinnvoll, eine Amplitude tungsparametern durch Simulation vieler Kombi-
von 1 V für V1 zu wählen. Die Wechselstromana- nationen dieser Parameter Aussagen über die Ver-
lyse ist eine Kleinsignalanalyse, d. h. unabhängig teilung relevanter Größen zu erhalten sind. Für nä-
von der Amplitude der Wechselquellen wird im- here Ausführungen hierzu wird auf die weiterfüh-
mer mit einem im Gleichstromarbeitspunkt linea- rende Literatur (z. B. Beetz) verwiesen.
risierten Ersatznetzwerk gerechnet.
Die grafische Darstellung in Abb. 1.41 zeigt
die erwartete Übertragungsfunktion eines RC-
1.6 Grundlagen der
Tiefpasses 1. Ordnung mit einer 3dB-Grenzfre-
Wechselstromlehre
quenz von fgrenz D 1 kHz und einer Dämpfungs-
zunahme von 20 dB/Dekade für f  fgrenz .
1.6.1 Grundlagen komplexer Rechnung

1.5.5.5 Weitere Analysemethoden 1.6.1.1 Reelle, imaginäre


Neben den dargestellten Grundfunktionalitäten und komplexe Zahlen
sind mit SPICE weitere Analysen möglich. Zu er- In der Wechselstromlehre ist es sehr vorteilhaft,
wähnen sind Verzerrungsanalysen, beispielswei- mit komplexen Größen zu rechnen. Deshalb wird
se zur Berechnung des Klirrfaktor eines Verstär- eine kurze Einführung in die komplexe Rechnung
kers, Empfindlichkeitsanalysen, die den Einfluss vorangestellt. Abbildung 1.42 zeigt eine Über-
von Bauteileeigenschaften auf Schaltungseigen- sicht über die Zahlensysteme.
40 E. Hering und R. Martin

Links sind die reellen Zahlen zu finden. Aus- Wie aus dieser Gleichung hervorgeht, sind die
gehend von den ganzen Zahlen kommt man durch reellen Zahlen (für b D 0) und die imaginären
Division zu den rationalen Zahlen, die als un- Zahlen (für a D 0) ein Spezialfall von Z.
endliche periodische Dezimalzahlen dargestellt Die komplexe Zahl Z kann nach Gl. 1.53 auch
werden können. als Zeiger verstanden werden, der die Zeiger-
Durch das Radizieren (Wurzelziehen) gelangt länge Z besitzt und sich im Winkel ' von der
man zu den irrationalen Zahlen (Wurzel aus einer Waagrechten befindet. Wird die Euler’sche For-
positiven Zahl) und zu den imaginären Zahlen mel ej' D cos ' C j sin ' verwendet, dann kann
(Wurzel aus einer negativen Zahl). Die irratio- Z auch folgendermaßen geschrieben werden:
nalen Zahlen liefern nicht periodische Dezimal-
Z D Zej' : (1.54)
brüche. Zu den reellen Zahlen werden noch die
transzendenten Zahlen (z. B. die Zahl e oder  )
1.6.1.2 Rechnen mit komplexen Zahlen
gezählt, ferner die Logarithmen.
In Abb. 1.43 sind die komplexen Zahlen und
Wie Abb. 1.42 zeigt, sind die reellen Zahlen
ihre Darstellungsformen sowie die Rechenopera-
durch den Zahlenstrahl in waagrechter Richtung
tionen dargestellt, so dass hier nur noch die wich-
veranschaulicht. Vom Nullpunkt aus sind nach
tigsten Formelzusammenhänge aufgeführt sind.
rechts die positiven und nach links die negativen
a) Darstellungsformen
Zahlen eingetragen.
Aus einer komplexen Zahl Z wird eine konju-
Die imaginären Zahlen und ihre Definitionen
giert komplexe Zahl Z  , wenn der Imaginär-
sind auf der rechten Seite der Abb. 1.42 zu se-
teil sein Vorzeichen ändert:
hen. Grundlage ist die Einführung der imaginären
Einheitsgröße j: Z  D a  jb (1.55)
p Das Produkt aus einer komplexen Zahl Z
jD 1 : (1.51)
und der dazugehörigen konjugiert komplexen
Zahl Z  ist eine reelle Zahl gemäß
Daraus resultieren folgende wichtige Umrech-
nungen: Z Z  D .a C jb/.a  jb/ D a2 C b 2 (1.56)
In Abb. 1.43 sind die verschiedenen Darstel-
j2 D 1 I j3 D j I j4 D C1I j5 D CjI 1=j D j :
lungsformen einer komplexen Zahl Z aufge-
(1.52)
zeigt:
Die allgemeinen Zusammenhänge sind in ˇ ˇ
Abb. 1.42 zusammengestellt. Z D aC jb D jZj .cos 'C j sin '/ D ˇZej' ˇ :
Imaginäre Zahlen werden grafisch in einem (1.57)
senkrechten Zahlenstrahl dargestellt und in ihm Dabei gilt (jZj D Z/:
gerechnet (Rechenbeispiel 4j  j D 3j). p
Reelle und imaginäre Zahlen zusammen er- Z D a2 C b 2 I tan ' D b=a I
(1.58)
geben eine komplexe Zahl Z. (Das Unterstrei- cos ' D a=Z I sin ' D b=Z :
chungszeichen kennzeichnet komplexe Größen.) b) Addition bzw. Subtraktion
Sie werden grafisch in der Gauß’schen Hierfür gelten folgende Gleichungen:
Zahlenebene (C. F. G AUSS, 1777 bis 1855) dar-
gestellt. Die waagrechte Achse stellt die reellen Z 1 C Z 2 D .a1 C a2 / C j.b1 C b2 / ;
Größen dar und in der senkrechten Achse stehen Z 1  Z 2 D .a1  a2 / C j.b1  b2 / :
die imaginären. Beide Achsen stehen somit senk- (1.59)
recht aufeinander. Eine komplexe Zahl Z besteht Dies bedeutet, dass die Real- und Imaginär-
also aus einem Realteil a und einem Imaginärteil teile getrennt verrechnet werden müssen. In
b, so dass gilt (Abb. 1.42): Abb. 1.43 ist das zugehörige Diagramm in der
Gauß’schen Zahlenebene mit einem Beispiel
Z D a C bj D Z.cos ' C j sin '/ : (1.53) dargestellt.
1 Grundlagen der Elektrotechnik 41

Abb. 1.42 Übersicht über die Zahlensysteme


42 E. Hering und R. Martin

Abb. 1.43 Komplexe Zahlen, Darstellungsformen und Rechenoperationen

c) Multiplikation bzw. Division Für die Division gilt:


Hierfür gelten folgende Zusammenhänge:
Z 1 =Z2 D Z1 =Z2 .cos.'1  '2 /
Z 1 Z 2 D Z1 Z2 .cos.'1 C '2 / C j sin.'1  '2 // (1.62)
j.'1 '2 /
C j sin.'1 C '2 // (1.60) D Z1 Z2 e :
j.'1 C'2 /
D Z1 Z2 e : Hierbei ist
q
Dabei errechnet sich Z1 =Z2 D .a12 C b12 /=.a22 C b22 / ;

Z1 Z2 tan.'1  '2 /
p D .a2 b1  a1 b2 /=.a1 a2 C b1 b2 / :
D .a1 a2  b1 b2 /2 C .a1 b2 C b1 a2 /2 ; (1.63)
tan .'1 C '2 / Wie aus diesen Gleichungen sowie aus
D .a1 b2 C b1 a2 /=.a1 a2  b1 b2 / : Abb. 1.43 hervorgeht, findet bei der Multipli-
(1.61) kation eine Drehstreckung statt. Dabei wird
1 Grundlagen der Elektrotechnik 43

Abb. 1.43 Fortsetzung

p p
der Zeiger auf Z1 Z2 gestreckt und um '1 C'2 n
ZD
n
Z.cos..'/ C k 2 =n//
gedreht. C j sin..' C k2 /=n/
d) Potenzieren bzw. Wurzelziehen p (1.65)
D Z ej..'Ck 2 /=n/ :
n
Nach Abb. 1.43 gelten die Gleichungen
.k D 0; 1; 2; : : : ; .n  1// :
Wie Gl. 1.65 zeigt, ergibt die n-te Wurzel
Z D Z .cos.n'/ C j sin.n'// D Z e :
n n n jn '
einer komplexen Zahl insgesamt n verschie-
(1.64) dene Wurzelwerte mit gleichen Absolutwer-
44 E. Hering und R. Martin

ten Z. Grafisch veranschaulicht


p ergibt sich Kreisfrequenz ! errechnet sich nach
ein Kreis mit dem Radius n Z mit n Zei-
gern. Abbildung 1.43 zeigtpden Rechnungs- ! D 2 f D .2 /=T : (1.69)
gang und die Lösungen für 3 8.
e) Differenziation und Integration Die Frequenz f gibt an, wie viele Schwingun-
Für die Differenziation und die Integrati- gen pro Sekunde stattfinden und errechnet sich
on komplexer Zeitfunktionen gilt, wie das aus der Periodendauer T zu f D 1=T . Die
Beispiel der komplexen Wechselspannung U Frequenz der technischen Wechselspannung bzw.
zeigt, des Wechselstroms ist f D 50 Hz, was einer
U D U ej.!t C'/ : Kreisfrequenz von ! D 100   s1 entspricht.
Nach der Zeit differenziert erhält man Die Kreisfrequenz ! entspricht der Winkelge-
schwindigkeit, mit der der Zeiger rotiert. Der
dU Nullphasenwinkel 'u gibt den Startwinkel des ro-
D j!U ej.!t C'/ D j!U : (1.66)
dt tierenden Zeigers an (Abb. 1.44a).
In einem geschlossenen Wechselstromkreis
Durch die Differenziation wird der komplexe fließt durch die Bauelemente ein Wechselstrom
Zeiger um 90ı gedreht und auf das !-fache i.t/ derselben Frequenz. Er lautet allgemein
gestreckt. Integriert ergibt sich
Z Z i.t/ D iO cos.!t C 'i / : (1.70)
U dt D U ej.!t C'/dt
Z Hierbei ist iO der Scheitelwert des Wechselstromes
DUej!
ej ! t dt (maximaler Augenblickswert des Stroms) und 'i
(1.67) der Nullphasenwinkel des Wechselstroms.
1
D U ej.!t C'/ ; In Abb. 1.44a ist das Liniendiagramm, das
j!
Z Zeigerdiagramm (Abb. 1.44b) und die komplexe
j j.!t C'/ j
U dt D  U e D U Darstellung (Abb. 1.44c) zu sehen.
! ! In einem Wechselstromkreis sind der Nullpha-
senwinkel der Spannung 'u und des Stromes 'i
Durch die Integration wird der komplexe Zei-
oft unterschiedlich groß, so dass sich eine Pha-
ger um 90ı gedreht und durch ! dividiert.
senverschiebung ' zwischen Spannung u.t/ und
Strom i.t/ ergibt
1.6.2 Kenngrößen
' D 'u  'i : (1.71)
1.6.2.1 Wechselspannung
und Wechselstrom Die Phasenverschiebung ' zwischen Spannung
Die wichtigsten Kenngrößen beim Wechsel- und Strom hängt, wie der folgende Abschn. 1.6.3
strom sind der periodische Verlauf der Span- zeigt, von der Induktivität L der Spule und der
nung u.t/ und des Stromes i.t/. Die Bezeichnun- Kapazität C des Kondensators ab. Ist ' > 0, so
gen für die Wechselstromgrößen orientieren sich eilt die Spannung dem Strom voraus, ist ' < 0,
an DIN 40110 (Wechselstromgrößen). so eilt die Spannung dem Strom nach (Abb. 1.44).
Die periodische Wechselspannung u.t/ kann
folgendermaßen beschrieben werden: 1.6.2.2
Effektivwert und
Halbschwingungsmittelwert
u.t/ D û cos.!t C 'u / : (1.68) Zur Messung von Wechselstromgrößen werden
häufig Gleichstrominstrumente mit vorgeschal-
Dabei ist û der Scheitelwert der Spannung, der tetem Gleichrichter verwendet. Sie zeigen – al-
den größten Augenblickswert u.t/max angibt. Die lerdings nur bei sinus- bzw. cosinusförmigem
1 Grundlagen der Elektrotechnik 45

Abb. 1.44 Wechsel-


spannung u.t / und
Wechselstrom i.t /:
a Liniendiagramm, b Zei-
gerdiagramm, c Komplexe
Darstellung für ' D  =4

Verlauf – den sog. Effektivwert an, d. h. diejenige


Gleichstromgröße, die dieselbe Leistung erzeugt
wie die Wechselstromgröße. Mathematisch aus-
gedrückt ist der Effektivwert im allgemeinen Fall
der zeitliche quadratische Mittelwert der entspre-
chenden elektrischen Größe. Für den Effektiv-
wert des Wechselstroms I gilt daher

v
u
u ZT
u1
I Dt i 2 dt : (1.72)
T Abb. 1.45 Wechselstromverlauf iO .t / D i cos.!t /, Schei-
0 telwert iO , Effektivwert I und Halbschwingungsmittel-
wert Ih

Mit i.t/ D iO cos.!t C 'i / ist


Entsprechend gilt für den Effektivwert der Span-
v
u r nung U :
u ZT
u 1 T û
I D iO t cos .!t/dt D iO
2 U D p  0;707û : (1.74)
T 2T 2
0
Abbildung 1.45 zeigt die Zusammenhänge.
Der arithmetische Mittelwert über einer gan-
und damit
zen Periode wird Gleichwert genannt; er ist
bei einer reinen Cosinus- bzw. Sinusschwin-
iO
I D p  0;707iO : (1.73) gung gleich null. Deshalb wird häufig der arith-
2 metische Mittelwert über einer halben Periode
46 E. Hering und R. Martin

nicht sinusförmigen Wechselgrößen ermittelt,


so ist folgendes zu beachten: Der in der Ge-
brauchsanweisung angegebene Scheitelfaktor
darf auf keinen Fall überschritten werden, an-
dernfalls wird falsch gemessen.

1.6.2.4 Formfaktor
Der Formfaktor kf einer Wechselgröße ist der
Quotient aus Effektivwert und Mittelwert (arith-
Abb. 1.46 Bestimmung des Scheitelfaktors bei zwei metischer Mittelwert oder Halbschwingungsmit-
Halbwellen
telwert), so dass sich der Formfaktor des Stromes
(analoges gilt für die Spannung) ergibt:
der Wechselgröße ermittelt, welcher Halbschwin-
Effektivwert I I
gungsmittelwert genannt wird. Er entspricht des- kf D D D : (1.77)
halb der Höhe eines Rechtecks, dessen Flächen- Mittelwert Ih IN
inhalt gleich dem einer Halbwelle ist (Abb. 1.45).
Für reine Cosinus-
p bzw. Sinusschwingungen ist
Deshalb gilt beispielsweise für den Halbschwin-
kf D  =.2 2/ D 1;111 : : : Für steilere Kur-
gungsmittelwert Ih des Wechselstroms i.t/ D
venverläufe ist der Formfaktor größer und für
iO cos.!t/:
flachere kleiner. Er kann je nach Kurvenform zwi-
Z
3 =2 schen 1 und 1 liegen.
1 iO
iO cos 'd' D Œsin '
 =2
3 =2
Ih D
   
 =2 (1.75)
1.6.3 Komplexe Rechnung
2iO im Wechselstromkreis
D  0;637iO :
 
Die im Folgenden beschriebene komplexe Rech-
1.6.2.3 Scheitelfaktor (Crestfaktor)
nung im Wechselstromkreis gilt nur für cosinus-
Das Verhältnis des Scheitelwertes (z. B. iO oder û)
bzw. sinusförmige Wechselstromgrößen.
zum Effektivwert (z. B. I oder U ) wird Scheitel-
faktor ks genannt. Es gilt für den Scheitelfaktor
1.6.3.1 Zeigerdarstellung
des Wechselstromes
komplexer Größen
Scheitelwert der Wechselgröße iO Wechselstromgrößen, beispielsweise cosinus-
ks D D : bzw. sinusförmige Ströme oder Spannungen
Effektivwert der Wechselgröße I
(1.76) gleicher Frequenz, werden in der Gauß’schen
Die Formulierungen für die Wechselspannung Zahlenebene als komplexe Zeiger Z dargestellt.
sind entsprechend. Da nur der Realteil eines Zeigers messbare Wir-
Nur für den Fall, dass die Wechselgröße durch kungen zeigt, bezeichnet man die elektrischen
eine Cosinus- bzw. Sinusfunktion beschrieben Wechselstromgrößen (Strom, Spannung, Wider-
p
werden kann, gilt wegen Gl. 1.82 ks D 2  stand, Leistung) gemäß Abb. 1.47.
1;414. Für eine Dreiecksspannung ist der Schei- Der Realteil ist der Wirkanteil, der Imagi-
telfaktor beispielsweise ks D 1;73. närteil der Blindanteil einer Wechselstromgröße;
Abbildung 1.46 zeigt das Vorgehen bei der beide zusammen ergeben als komplexen Zeiger
Bestimmung des Scheitelfaktors für zwei Halb- die Scheingröße Z. Komplexe Größen, die zeit-
wellen. unabhängig sind, werden Operatoren genannt. So
ergeben sich beispielsweise durch die Division
I Hinweis: Wird mit einem Multimeter mit ei- der Spannung durch den Strom der Widerstands-
nem Rechenschaltkreis der Effektivwert von operator (oder komplexe Widerstand) und durch
1 Grundlagen der Elektrotechnik 47

des komplexen Leitwerts Y der konjugiert kom-


plexe Leitwert Y  eingezeichnet, da er – wie
Abb. 1.48 zeigt – in Richtung des komplexen Wi-
derstands Z liegt.

Beispiel 1.6-1
In einem Wechselstromkreis befindet sich ein
Abb. 1.47 Bezeichnung elektrischer Wechselstromgrö- Ohm’scher Widerstand von R D 30  und
ßen im Zeigerdiagramm eine Induktivität. Der Effektivwert der Span-
nung beträgt U D 156 V und der Effektivwert
des Stromes I D 2 A. Wie lauten die kom-
die Division des Stromes durch die Spannung der plexen Größen, die Phasenverschiebungen und
Leitwertoperator (oder komplexe Leitwert). die entsprechenden Schein-, Wirk- und Blind-
anteile.
1.6.3.2 Ohm’sches Gesetz
In Abb. 1.48 sind die Herleitungen für den kom-
Lösung:
plexen Widerstand Z und den komplexen Leit-
a) Komplexer Widerstand Scheinwiderstand
wert Y zusammengefasst. Für die komplexen
(Gl. 8 in Abb. 1.48)
Effektivwerte von Wechselspannung und -strom
gilt
Z D U=I D 156 V=2 A D 78  :
U D U ej'u ; (1.78)
I D I ej'i : (1.79) Blindwiderstand (Gl. 10 in Abb. 1.48)
Wird die komplexe Spannung U durch den kom- p
plexen Strom I dividiert, dann ergibt sich nach XD Z 2  R2
p
dem Ohm’schen Gesetz der komplexe Wider- D .78 /2  .30 /2 D 72  :
stand Z zu
Z D U =I D .U=I / ej.'u 'i / : (1.80) Phasenwinkel (Gl. 14 in Abb. 1.48)

Der komplexe Leitwert Y ist der Kehrwert des tan ' D X=R D 72 =30  D 2;4 oder
komplexen Widerstandes Z, so dass sich ergibt
' D 1;176 ; d. h. 67;38ı :
j.'i 'u /
Y D I =U D .I =U / e : (1.81)
Der komplexe Widerstand Z lautet somit
In Abb. 1.48 sind die zugehörigen Zeigerdia-
nach Gl. 5 in Abb. 1.48
gramme dargestellt und die Schein-, Wirk- und
Blindanteile des komplexen Widerstandes Z ı
bzw. des komplexen Leitwerts Y zusammenge- Z D 78  ej1;176 D 78  ej67;38
stellt und die Gleichungen zur Berechnung des D R C jX D .30 C j72/  :
Absolutbetrags des Zeigers und des Phasenwin-
kels zu finden. b) Komplexer Leitwert
Wie aus Abb. 1.48 zu erkennen ist und Gl. 1.90 Scheinleitwert (Gl. 7) in Abb. 1.48)
im Vergleich mit Gl. 1.91 zeigt, ist der Phasen-
winkel des komplexen Leitwerts Y gleich dem ı
Y D 1=Z D 1=78 ej67,38 1
negativen Phasenwinkel des komplexen Wider-
stands Z. Oft werden komplexe Widerstände und D .0;00493  j0;01183/ 1
komplexe Leitwerte in ein gemeinsames Dia- 1 1
Y D D D 0;01182 1 :
gramm eingezeichnet. In diesen Fällen wird statt Z 78 
48 E. Hering und R. Martin

Abb. 1.48 Ohm’sches Gesetz im Wechselstromkreis: komplexer Widerstand Z und komplexer Leitwert Y
1 Grundlagen der Elektrotechnik 49

Wirkleitwert  bei der Kapazität C der Strom der Spannung


um  =2 vorauseilt.
R 30  Dies wird durch den zeitlichen Verlauf der
GD 2 D
R C X2 .302 C 722 / 2 Spannungen und Ströme veranschaulicht. Mit
D 4;931  103 1 : dem Ohm’schen Gesetz für den komplexen
Widerstand Z D U =I errechnen sich die ent-
Blindleitwert B sprechenden Widerstände, die in der Gauß’schen
Zahlenebene eingezeichnet werden (Abb. 1.49,
X 72  sechste Zeile). Folgendes wird deutlich:
BD 2 D 
R C X2 .302 C 722 / 2  der ohmsche Widerstand R liegt auf der reel-
D 0;01183 1 : len Achse,
 der induktive Blindwiderstand ist jXL D j!L
Phasenwinkel ' (Gl. 15 in Abb. 1.48) (Gl. 5 in Abb. 1.49) und liegt deshalb auf der
positiven imaginären Achse,
tan ' D B=G I  der kapazitive Blindwiderstand ist jXC D
ı
' D 1;176; d. h. 67;38 : 1=.j! C / D j.1=! C / (Gl. 6 in Abb. 1.49).
Er ist auf der negativen imaginären Achse (–j)
Der komplexe Leitwert lautet somit nach aufzutragen.
Gl. 6 in Abb. 1.48 In der unteren Zeile in Abb. 1.49 ist die Frequenz-
abhängigkeit der entsprechenden komplexen
Y D .4;93  j 11;8/  103 1 Widerstände aufgezeichnet. So ist der ohmsche
ı Widerstand von der Frequenz unabhängig, der
D 0;0128  ej67;38 1 : induktive Widerstand nimmt linear mit der Fre-
quenz zu und der kapazitive Widerstand nimmt
gemäß einer Hyperbel mit zunehmender Fre-
1.6.3.3 Verhalten der Bauelemente quenz ab.
Abbildung 1.49 zeigt das Verhalten der drei pas-
siven Bauelemente, Ohm’scher Widerstand R, Beispiel 1.6-2
Induktivität L und Kapazität C im Wechsel- Gegeben sind die Frequenz f D 50 Hz, ei-
stromkreis. ne Induktivität von 1,5 H und eine Kapazität
In der ersten Zeile der Abb. 1.49 ist das Bau- von 8 µF. Berechnet werden soll a) der kom-
element und sein Schaltzeichen zu sehen und in plexe Widerstand Z L für die Induktivität L
der zweiten Zeile ist der Strom als Ausgangs- und b) der komplexe Widerstand Z C für die
größe angegeben. Ein spezielles, vom Bauele- Kapazität C .
ment abhängiges Gesetz gibt die Beziehung zwi-
schen der Spannung u.t/ und dem Strom i.t/
an (Ohm’sches Gesetz für den Widerstand, das Lösung:
Induktionsgesetz für die Induktivität und der Zu- a) Induktivität L
sammenhang zwischen Ladung und Spannung Nach Gl. 5 in Abb. 1.49 ist der Betrag des
bei der Kapazität). Die Beziehungen werden Blindwiderstandes
durch die Gl. 1.104 bis 1.107 beschrieben. Daraus
XL D ! L D 50  2 s1  1;5 H
lässt sich im Zeigerdiagramm erkennen, ob ei-
ne Phasenverschiebung zwischen Spannung und D 471;2  :
Strom vorliegt. So ist daraus ersichtlich, dass
 beim ohmschen Widerstand R Spannung und Der komplexe Widerstand Z L beträgt des-
Strom nicht phasenverschoben sind, halb:
 bei der Induktivität L die Spannung dem
ı
Strom um  =2 vorauseilt, Z L D j 471;2  D 471;2   ej90 :
50
E. Hering und R. Martin

Abb. 1.49 Bauelemente im Wechselstromkreis


1 Grundlagen der Elektrotechnik 51

b) Kapazität C Nach Gl. 6 in Abb. 1.49 komplexe Widerstand für die Reihenschaltung
gilt für den Betrag des Blindwiderstan- und b) der komplexe Leitwert für die Paral-
des jXC j: lelschaltung und die Teilströme IR , IL und IC
(für die Schaltung R-L-C ) bei einer Spannung
jXC j D 1=.! C / von U D 230 V.
D 1=.100 s1  8  106 F/
Lösung:
D 397;9  : a) Reihenschaltung
 R-L-Schaltung: Nach Gl. 1 in Abb.
Somit beträgt der komplexe Widerstand 1.50 ist
ı
Z C D j 397;9  D 397;9   ej90 : XL D !L D 100  s1  2;5 H
D 785;4  :
1.6.3.4 Reihen- und Parallelschaltung
Abbildung 1.50 zeigt die Zusammenhänge bei Es gilt Gl. 4 in Abb. 1.50
einer Reihenschaltung der drei Bauelemente Wi-
derstand (R), Induktivität (L) und Kapazität (C ). Z RL D 1500  C j 785;4  ;
Da bei einer Reihenschaltung der Strom I p
ZRL D .1500 /2 C .785;4 /2
konstant bleibt, addieren sich nach der Maschen-
regel die komplexen Spannungen. In der zweiten D 1693  ;
Zeile sind die zugehörigen Zeigerdiagramme für tan ' D 785;4 =1500  I
die Spannungen und die Widerstände zu sehen, in ' D 27;6ı :
der dritten Zeile von Abb. 1.50 die Maschenregel.
Anschließend werden die allgemeinen Formeln  R-C -Schaltung:
zur Bestimmung des komplexen Widerstandes Z Nach Gl. 2 in Abb. 1.50 ist
aufgeführt und in der fünften Zeile der spezielle
komplexe Gesamtwiderstand durch Addition der XC D 1=.! C / D 636;6  :
komplexen Einzelwiderstände errechnet. In der
letzten Zeile werden für ein RLC-Glied die Glei- Es gilt nach Gl. 7 in Abb. 1.50
chungen für die Reihenresonanz aufgeführt.
In Abb. 1.51 sind die Zusammenhänge bei der Z RC D 1500   j636;6  ;
p
Parallelschaltung aufgeführt. ZRC D .1500 /2 C .636;6 /2
Im Gegensatz zur Reihenschaltung bleibt bei
D 1630  ;
der Parallelschaltung die komplexe Spannung U
konstant. Deshalb addieren sich nach der Knoten- tan ' D 636;6 =1500  I
regel die Teilströme und die Teilleitwerte. Auch ' D 23ı :
in diesem Bild sind die allgemeinen Gleichungen
zur Bestimmung von komplexen Leitwerten auf-  R-L-C -Schaltung:
geführt und die speziellen Leitwertgleichungen Es ist XL D 785;4 ; XC D 636;6 ;
für die verschiedenen Schaltungen. Ist IC D IL , R D 1500 
dann liegt Parallelresonanz vor.
ZRLC D 1500  C j.785;4   636;6 /
Beispiel 1.6-3 D 1500  C j148;8  ;
p
In einem Wechselstromkreis von f D 50 Hz ZRLC D .1500 /2 C .148;8 /2
sind folgende Bauelemente gegeben: Wider-
D 1507  ;
stand R D 1;5 k, Induktivität L D 2;5 H
und die Kapazität C D 5 F. Wie groß ist tan ' D 148;8 =1500  I
für ein R-L-, R-C - und R-L-C -Glied a) der ' D 5;66ı :
52
E. Hering und R. Martin

Abb. 1.50 Reihenschaltung der Bauelemente im Wechselstromkreis


1
Grundlagen der Elektrotechnik
53

Abb. 1.51 Parallelschaltung von Bauelementen im Wechselstromkreis


54 E. Hering und R. Martin

Abb. 1.52 Äquiva-


lente Umwandlungen:
a Reihenschaltung, b Par-
allelschaltung

b) Parallelschaltung I C D U Y C D U j! C D j 0;3613 A :
 R-L-Schaltung: Nach Gl. 4 in
Der Gesamtstrom I ges errechnet sich
Abb. 1.51 ist
aus:
Y RL D 1=R  j=!L
I ges D I R C I L C I C I
D 6;67  104 1  j 1;27  103 1 ;
p I ges D 0;1533 A
YRL D .1=1500 /2 C .1;27  103 1 /2  j 0,293 A C j 0,3613 A
D 1;44  103 1 D 1;44 mS D 0;153 A C j 0;0684 AI
tan ' D R=!L D 1;91 I Iges D 168 mA; ' D 24;1ı :
ı
' D 62;3 :
 R-C -Schaltung: 1.6.3.5 Äquivalente Umwandlungen
Bei gleicher Frequenz f (bzw. Kreisfrequenz !)
Y RC D 1=R C j! C lässt sich jede Reihenschaltung von komplexen
D 6;67  104 1 C j1;57  103 1 Widerständen in eine äquivalente Parallelschal-
p tung verwandeln und umgekehrt. In Abb. 1.52a ist
YRC D .1=1500/2 C .1;57  103 3 /2
die Reihenschaltung (Index r) und in Abb. 1.52b
D 1;71  103 1 D 1;71 mS : die Parallelschaltung (Index p) zu sehen.
Nach Gl. 9 in Abb. 1.51 ist
Parallelschaltung und äquivalente
tan ' D ! CR D 2;356 I Reihenschaltung
' D 67ı : Da der komplexe Widerstand der Reihenschal-
 R-L-C -Schaltung: tung Z r gleich dem komplexen Widerstand der
Nach Gl. 2 in Abb. 1.51 ist: Parallelschaltung Z p sein muss, gilt Z r D Z p
oder
Y RLC D 1=R C j .! C  1=.!L// Rp j Xp
Rr C j Xr D :
D 1=1500 1 Rp C j Xp

C j.1;57  103 1  1;27  103 1 / Um den Nenner in eine reelle Größe zu überfüh-
ren, wird der Bruch auf der rechten Seite mit der
D 6;67  104 1 C j 2;98  104 1 : konjugiert-komplexen Zahl (Rp  j Xp ) erweitert:
p
YRLC D .1=1500 1/2 C .2;98  104 1 /2 Rp j Xp .Rp  j Xp /
Rr C j Xr D
D 0;730  103 1 D 0;73 mS .Rp  j Xp /.Rp  j Xp /
tan ' D R.1=.! L/  ! C / I Rp Xp2 C j Rp2 Xp
ı D :
' D 24;1 : Rp2 C Xp2
Für die Stromstärken gilt: Werden die Realteile und die Imaginärteile
I R D U =R D 0;153 A I gleichgesetzt, so ergibt sich:
I L D U Y L D jU=.! L/ Rp Xp2
Rr D ; (1.82)
D j 0;293 A : Rp2 Xp2
1 Grundlagen der Elektrotechnik 55

Rp2 Xp
Xr D : (1.83)
Rp2 Xp2

Reihenschaltung und äquivalente


Parallelschaltung
Auch hier gilt die Gleichheit des komplexen Leit-
wertes der Reihenschaltung Y r D 1=Z r mit der
Parallelschaltung Y p :

1 Abb. 1.53 Schaltung zu Beispiel 1.6-4


Yr D D 1=Rp  j .1=Xp / :
Rr C j Xr

Wird der Nenner wieder reell gemacht (durch


Erweitern mit dem konjugiert komplexen Wert Der komplexe Widerstand Z 2 ist nach
Rp  j Xr ), so gilt Gl. 1.116 in Abb. 1.50

Rr Xr 1 1 Z 2 D R2 C j!L2 D 18  C j 125;7 
j 2 D j : ı (1.86b)
Rr2 C Xr
2 Rr C Xr
2 Rp Xp D 127 ej 81:8 :
Aus dem Vergleich der Real- mit den Imaginär- Die Teilströme ergeben sich zu
teilen erhält man

Rr2 C Xr2 U 12 ej 0 V
Rp D ; (1.84) I1 D D
Rr Z1 64;2 ej 82;8ı 
ı
R2 C Xr2 D 0;187 ej 82;8
Xp D r : (1.85)
Xr D 0;0233 A C j 0;186 A :
U 12 ej 0 V ı
1.6.3.6 Zusammengesetzte Schaltungen I2 D D j 81;8ı D 0;0944 ej 81;8
An ein paar Beispielen soll gezeigt werden, wie Z2 127 e 
einfach mit zusammengesetzten Schaltungen ge- D 0;0134 A  j 0;0934 A :
rechnet werden kann, wenn die komplexen Wi- (1.86c)
derstände Z verwendet werden. Der Gesamtstrom I ges errechnet sich aus der
Addition der Teilströme:
Gemischte Parallelschaltung
I ges D I 1 C I 2 D 0;0367 A C j 0;0920A
Beispiel 1.6-4 ı
D 0;0991 A ej68;2 :
Gegeben ist die Schaltung nach Abb. 1.53. Ge-
(1.86d)
sucht sind die Teilstromstärken I1 und I2 , die
Da die Bezugsgröße U D 12 ej0 V war, eilt der
gesamte Stromstärke Iges sowie der komplexe
Strom um 68,2ı der Spannung voraus.
Scheinwiderstand Z und die Phasenverschie-
Für den komplexen Gesamtwiderstand er-
bung '.
gibt sich

Lösung: Z ges D U =I ges


Für den komplexen Widerstand Z 1 gilt nach ı
D .12 ej0 V/=.0;0991 ej68;2 A/
Gl. 1.117 in Abb. 1.50 ı
D 121 ej68;2 D 44;9   j 113  :
Z 1 D R1  j .1=! C1 / D 8   j 63;66 
ı
D 64;2 ej82.8 : (Der gleiche Wert kann auch über die Formel
(1.86a) des Gesamtwiderstandes bei Parallelschaltung
56 E. Hering und R. Martin

Werden die beiden Größen multipliziert, so er-


ı
gibt sich Z 1 Y 2 D 1;254 ej 4,47 . Damit ist

12 ej 0 12 ej0
U2 D ı D ;
1 C 1;252 ej4;47 2;25  j0;098
ı
U 2 D 5;33 ej 2;5 V :

Der Effektivwert der Ausgangsspannung be-


trägt 5,32 V, sie eilt der Eingangsspannung um
' D 2;5ı vor.

1.6.4 Nicht sinusförmige


Abb. 1.54 Wien-Glied Wechselgrößen

Jede periodische Wechselgröße y.t/ kann nach


Fourier (J. B. J. F OURIER, 1768 bis 1830) in
Z ges D .Z 1 Z 2 /=.Z 1 C Z 2 / errechnet wer- eine Reihe von elementaren Cosinus- und Sinus-
den; oder durch Addition der komplexen Leit- schwingungen zerlegt werden. Die auftretenden
werte). Kreisfrequenzen sind dabei ganzzahlige Vielfa-
che der Grundkreisfrequenz, welche die periodi-
Wien-Glied als komplexer Spannungsteiler sche Wechselgröße beschreibt. Somit gilt
1
Beispiel 1.6-5 a0 X
y.t/ D C .ak cos .k ! t//
Abbildung 1.54 zeigt ein Wien-Glied. Berech- 2
kD1
net werden soll die komplexe Ausgangsspan- 1
(1.87)
X
nung U 2 . C .bk sin .k ! t// :
Für die komplexe Spannung U 2 gilt nach kD1
dem Spannungsteiler, wenn die komplexen
Widerstände Z 1 (aus Reihenschaltung R1 und Die Fourier-Koeffizienten a0 , ak und bk sind
C1 ) und Z 2 (aus Parallelschaltung von R2 und die Amplituden der einzelnen Schwingungsbei-
C2 ) eingesetzt werden: träge und errechnen sich aus der periodischen
Wechselgröße y.t/ nach folgenden Gleichungen:
Z2 1
U2 D U1 D U1 I ZT
Z1 C Z2 1 C .Z 1 =Z 2 / 2
a0 D y.t/ dt ; (1.88)
T
da Y 2 D 1=Z 2 ist, wird U 2 D U 1 =.1 C 0

Z 1 Z 2 /. Es ist nach Gl. 1.117 in Abb. 1.50 Z T


2
ak D y.t/ cos .k ! t/ dt ; (1.89)
T
Z 1 D 9  10   j7;96  10 
3 3 0

3 j41;5ı ZT
D 12;0  10 e  2
bk D y.t/ sin .k ! t/ dt (1.90)
T
0
und Y2 nach Gl. 1.132 in Abb. 1.51
.für k D 1; 2; 3; : : :/ :
Y 2 D .1=12:000/ 1 C j6;283  105 1 Häufig werden die Amplituden der Schwin-
ı
D 1;044  104 ej37;02 1 : gungsbeiträge, d. h. die Fourier-Koeffizienten, im
1 Grundlagen der Elektrotechnik 57

Abb. 1.55 Fourier-Analyse einer Rechteckschwingung


Abb. 1.56 Fourier-Koeffizienten und Symmetrieeigen-
schaften von Funktionen
Frequenzbereich dargestellt, so dass sich das Am-
plitudenspektrum ergibt. Abbildung 1.55 zeigt
die Fourier-Zerlegung einer Rechteckschwin-
CT1=2
gung in die Schwingungsanteile und in das Am- Z
2yO
plitudenspektrum. ak D cos.k!t/dt
Werden die Symmetrieeigenschaften be- T
T1=2
stimmter Funktionen berücksichtigt, dann ent-  
4yO T1
fallen entsprechende Fourier-Koeffizienten, wie D sin k!
k!t 2
Abb. 1.56 zeigt.  
Abbildung 1.57 zeigt den Kurvenverlauf y 2yO T1
D sin k 
(t) und die Impulsfolge für Rechteck, Dreieck k  T
 T 
und Sägezahn sowie das Amplitudenspektrum T1 sin k  21
mit den dazugehörigen Fourier-Reihen. D 2yO   I
T k  T21
Im Folgenden werden mit Hilfe der Fourier-
Analyse Rechteckimpulse der Höhe ŷ und der bk D 0 :
Zeitdauer T1 untersucht, die mit der Periodendau-
er T wiederkehren (Abb. 1.57b). Daraus ist das Das Amplituden-Spektrum weist als einhüllende
Tastverhältnis ˛ zu ermitteln: Kurve die Form sin.x/=x auf, deren erste Null-
stelle bei x D   liegt. Daraus folgt in unserem
˛ D T1 =T : (1.91) Fall k D T =T D 1=˛. Das bedeutet, dass sich
1

Nach Gl. 1.88 bis Gl. 1.90 ergeben sich die Fou- die Anzahl der Spektrallinien bis zur Nullstelle
rierkoeffizienten für eine symmetrische Funktion aus dem Kehrwert des Tastverhältnisses ergibt.
zu Die Impulsform (z. B. Rechteck- oder Dreieckim-
puls) ist erst an den später folgenden Amplituden
CT1=2
Z erkennbar.
2yO 2T1
a0 D dt D yO I In Abb. 1.58 sind am Beispiel periodischer
T T
T1=2 Rechteckimpulse (T D 1 ms) die Funktionen
58 E. Hering und R. Martin

Abb. 1.57 Amplitudenspektrum verschiedener periodischer, nicht sinusförmiger Wechselspannungen


1 Grundlagen der Elektrotechnik 59

Abb. 1.58 Impulsfolge und Linienspektrum eines Rechteckimpulses mit unterschiedlichen Tastverhältnissen: a Tast-
verhältnis ˛ D 1=2, b Tastverhältnis ˛ D 1=5, c Tastverhältnis ˛ D 1=10

und das Amplitudenspektrum für unterschied- 1.6.5 Dämpfung und Verstärkung


liche Tastverhältnisse aufgezeichnet. Daraus ist
Folgendes deutlich ersichtlich: je kleiner das
Tastverhältnis (d. h. je länger der Abstand zwi- Eingangsleistung P1 und Ausgangsleistung P2
schen den einzelnen Impulsen), desto langsamer eines Elementes im Signalweg, beispielsweise
nehmen die einzelnen Fourier-Koeffizienten ab. eines Verstärkers, eines Kabels, einer Funkstre-
60 E. Hering und R. Martin

cke oder eines Filters, können oft Unterschiede b) Nach Gl. 1.93 ist:
von mehreren Zehnerpotenzen aufweisen. Die-  
ser große Dynamikbereich wird vorteilhafter- 12 V
a D 20 log D 4;4 dB
weise durch ein logarithmisches Verhältnis zwi- 20 V
schen Eingangsleistung P1 und Ausgangsleistung
d. h. es liegt eine Verstärkung um 4,4 dB
P2 .log.P1 =P2 // angegeben, das Dämpfung a ge-
vor.
nannt wird. Obwohl es sich um Verhältnisgrößen
Wird der Eingangswert auf eine Bezugs-
handelt, die eigentlich keine Einheit besitzen, ist
größe bezogen, dann bezeichnet man die
es üblich, die Dämpfung a in dB (Dezibel) anzu-
Dämpfung als Pegel L. Häufig werden die
geben (Bel, nach A. G. B ELL, 1847 bis 1922), so
Leistungen am Anfang oder am Ende einer
dass gilt:
Übertragungsstrecke nicht in absoluten Leis-
 
P1 tungsgrößen angegeben, sondern auf 1 mW
a D 10 log dB : (1.92) bezogen. An die Einheit dB wird ein m ange-
P2
fügt, und es steht dBm. Statt 500 mW wird in
Ist der Eingangs- und der Ausgangswiderstand diesem Fall angegeben:
gleich groß (z. B. bei vollkommener Leistungs-
 
anpassung), dann gilt P D U 2 =R und man erhält 500 mW
L D 10 log dB m D 27 dB m :
für die Dämpfung der Spannungen: 1 mW
 2 
U1  R2 Die professionelle Hochfrequenztechnik ar-
a D 10 log ;
R1  U22 beitet mit R D 50 . Der Pegel L für die
Leistung 1 mW ist
da R1 D R2 D R ist, gilt auch
 2  2 L D 10 log.1 mW=1 mW/ dB m D 0 dB m :
U1 U1
a D 10 log 2
D 10 log oder
U2 U2 Soll die Spannung berechnet werden, dann
 2
U1 muss der Abschlusswiderstand bekannt sein.
a D 20 log dB : (1.93)
p gilt P D U0 =R, aus dem folgt U0 D
2
U2 Es
P  R.
Bei einer Dämpfung ist die Ausgangsspan- In der Fernsprechtechnik bei niedrigen Fre-
nung U2 kleiner als die Eingangsspannung U1 , quenzen sind die Speise-, Leitungs- und Ab-
weshalb das Verhältnis U1 =U2 > 1 und der Lo- schlusswiderstände R D 600 .
garithmus positiv ist. Bei einer Verstärkung ergibt Damit wird für die Fernsprechtechnik:
sich ein negativer Dämpfungswert (U1 =U2 < 1). 0 dB an 600  ergibt
Häufig wird auch a D 10 log.P2 =P1 / dB ange-
p
geben. In diesem Fall ist die Verstärkung positiv U0 D 1 mW  600  D 775 mV I
und die Dämpfung negativ.
für die Hochfrequenztechnik gilt:
Beispiel 1.6-6 0 dB an 50  ergibt
An einer Schaltung liegen als Eingangsspan- p
nung U1 D 12 V. a) Berechnet werden soll die U0 D 1 mW  50  D 224 mV :
Dämpfung a für eine Ausgangsspannung von
U2 D 8 V und b) für eine Ausgangsspannung In der Hochfrequenztechnik sind die Begriffe
U2 D 20 V. Leistungspegel LP bzw. Spannungspegel LU
gebräuchlich, wobei gilt
Lösung:  
a) Für die Dämpfung a gilt nach Gl. 1.93: P
LP D 10 log mit
  P0
12 V
a D 20 log D 3;5 dB: P0 D 1 mW
8V
1 Grundlagen der Elektrotechnik 61

und
 
U
LU D 20 log mit
U0
U0 D 0;0224 V :

In der Übertragungstechnik kann die gesamte


Dämpfung ages einer Strecke als die Summe
aus den Dämpfungen ai der einzelnen Über- Abb. 1.59 Abtasten einer kontinuierlichen Kurve im
tragungsglieder i ermittelt werden, so dass Zeitintervall T
man schreibt:

ages D a1 C a2 C a3 C : : : C an : (1.94) Abb. 1.59 zeigt. Aus diesen diskreten Werten


kann man auf die Kurvenform schließen.
Ganz entscheidend für die richtige Umwand-
Beispiel 1.6-7 lung ist die Frage, wie groß das Abtastintervall T
Eine Verstärkerkette mit 5 Gliedern verstärkt höchstens sein darf, damit die Kurvenform ein-
jeweils um folgende Faktoren: V1 D 20, V2 D deutig bestimmt ist und keine Information ver-
0;1, V3 D 40, V4 D 20 und V5 D 5. Wie groß loren geht. Für eine Kurve mit der Bandbrei-
ist die Gesamtverstärkung? te B (Abb. 1.60 oben) gilt das Shannon’sche
Abtasttheorem (S HANNON, geb. 1916) für das
Lösung: Tastintervall T oder für die Beziehung zwischen
Nach Gl. 1.94 ist die gesamte Verstärkung Signalfrequenz f
Signal und Tastfrequenz fTast :
ages D a1 C a2 C a3 C a4 C a5 mit
1
a1 D 20 log.20/ D 26 dB ; T <
2B
oder fTast > 2fSignal : (1.95)
a2 D 20 log.0;1/ D 20 dB ;
Das bedeutet, dass bei der Digitalisierung analo-
a3 D 20 log.40/ D 32 dB ;
ger Kurvenverläufe die Tastfrequenz mindestens
a4 D 20 log.20/ D 26 dB und doppelt so groß sein muss wie die Signalfre-
a5 D 20 log.5/ D 14 dB : quenz. Für eine einfache Sinusschwingung be-
deutet dies, dass je Periode mindestens zwei
Daraus ergibt sich Tastwerte erfasst werden müssen. Mit Hilfe der
Fourier-Analyse (Abschn. 1.6.5) ist allgemein
ages D C26 dB  20 dB C 32 dB erklärbar, dass beim Abtasten das Amplituden-
C 26 dB C 14 dB spektrum im Frequenzbereich periodisch wie-
D 78 dB : derholt wird. In Abb. 1.60 sind die Fourier-
Amplitudenspektren für unterschiedliche Tast-
verhältnisse schematisch dargestellt.
Entscheidend für das Shannon’sche Theorem
1.6.6 Shannon’sches Abtasttheorem ist, dass das Amplitudenspektrum bandbreiten-
begrenzt ist (Abb. 1.60 oben). Aus Abb. 1.60
Kontinuierliche Kurvenverläufe müssen in der ist ferner ersichtlich: Ist das Abtasttheorem er-
Digitaltechnik (Abschn. 11) in diskrete Signale füllt, dann sind die Amplitudensignale gerade
zerlegt werden können. Dies geschieht dadurch, voneinander zu unterscheiden (Abb. 1.60a). Wird
dass der kontinuierliche Kurvenverlauf in kon- häufiger als erforderlich abgetastet, dann entsteht
stanten Zeitintervallen T abgetastet wird, wie zwischen den Amplituden eine Lücke; das Signal
62 E. Hering und R. Martin

Abb. 1.60 Wirkung verschiedener Abtastintervalle T

ist aber gut zu erkennen (Abb. 1.60b). Ist das so ist ein sehr steiles Filter (nahe einem idealen
Abtastintervall zu groß, dann überlappen die Am- Tiefpassfilter) zur Trennung des Ausgangsspek-
plitudensignale und die Ausgangskurve ist nicht trums notwendig. Für Abb. 1.60b braucht we-
eindeutig zu bestimmen. In diesem Fall entstehen gen der Lücken im Spektrum das Tiefpassfilter
Aliasing-Effekte, bei denen statt der tatsächlich nicht so steil zu sein und kann deshalb einfa-
vorhandenen höheren Frequenz fälschlicherweise cher ausgeführt werden. Dies wird beispielsweise
eine niedrigere gemessen wird. Werden diese Si- bei CD-Spielern ausgenutzt, bei denen die In-
gnale digitalisiert, so entstehen Fehler, die nicht formationen mehrfach abgetastet werden (engl.:
mehr zu erkennen und damit nicht mehr zu korri- oversampling) und somit teilweise große Lücken
gieren sind. im Amplitudenspektrum entstehen.
Um die periodischen Anteile des Signals her- Die strikte Beachtung des Abtasttheorems ist
auszufiltern, muss ein Tiefpassfilter nachgeschal- besonders wichtig beim Einsatz von Analog-
tet werden. Wie in den Abb. 1.60a und b rot ein- Digital-Wandlern (Abschn. 10) und bei der Ver-
gezeichnet, ist damit die eindeutige Rückgewin- wendung von digitalen Messgeräten. Gerade
nung des Ausgangssignals möglich. Die Steilheit bei Messgeräten ist der Zusammenhang zwi-
des Tiefpassfilters ist dabei von der Lücke zwi- schen Einschwingzeit tE (entspricht der Abtast-
schen den beiden Amplituden abhängig. Wird zeit tTast D 1=fTast ) und Grenzfrequenz fgrenz zu
das Abtasttheorem gerade erfüllt (Abb. 1.60a), beachten, der sich nach dem Shannon’schen Ab-
1 Grundlagen der Elektrotechnik 63

tasttheorem (Gl. 1.95) folgendermaßen bestimmt: a) Berechnen Sie den komplexen Widerstand ei-
ner Reihenschaltung aus R  L, R  C und
1
tE D : (1.96) R  L  C.
2fgrenz b) Berechnen Sie den komplexen Widerstand ei-
Ein abtastendes Messgerät muss den Wert zwi- ner Parallelschaltung aus R  L, R  C und
schen zwei Messzeitpunkten aufnehmen, verar- R  L  C.
beiten und weiterleiten oder speichern.
Ü 1-6-3 Gegeben sind zwei komplexe Wider-
Beispiel 1.6-8 stände. Z 1 ist eine Reihenschaltung aus 1000 
Das Telefonnetz besitzt eine Bandbreite von und 6 µF, Z 2 ist eine Reihenschaltung aus 500 
B D 3;4 kHz. Wie groß darf das Abtastinter- und 0,6 H. Beide werden aus 230 V und 50 Hz
vall bei der Umwandlung in digitale Signale gespeist. Gesucht sind die komplexen Widerstän-
höchstens sein? de der Zweige Z 1 und Z 2 , die Teilströme I1
und I2 , der gesamte Strom Iges und die Phasen-
Lösung: verschiebung ' zwischen Speisespannung und
Nach dem Shannon’schen Abtasttheorem Gesamtstrom.
(s. Gl. 1.95) gilt T D 1=.2  3400 Hz/ D
147 s. Ü 1-6-4 Eine Antennenanlage besitzt einen
Die Tastzeit muss also mindestens 147 µs Quellwiderstand von 75  und gibt eine Span-
betragen. In Wirklichkeit wird alle 125 µs ab- nung UA D 600 V ab. Die gesamte Leitung
getastet. weist eine Dämpfung von aLeit D 8 dB auf, der
Verteiler von aV D 5 dB und die Weiche von
aW D 12 dB. Der eingebaute Verstärker hat ei-
1.6.7 Übung ne 30fache Spannungsverstärkung . Wie groß ist
die gesamte Dämpfung ages , die Eingangsleistung
Ü 1-6-1 Eine Wechselspannungsquelle mit f D
Pein und die Ausgangsleistung Paus ?
50 Hz und U D 160 V speist eine Reihenschal-
tung aus einem Kondensator C D 50 F und
einer verlustbehafteten Spule, deren reiner Blind- Ü 1-6-5 CD-Spieler sind in der Lage, Frequen-
widerstand hier j60  beträgt. In diesem Kreis zen bis zu 20 kHz zu übertragen.
fließen 1,2 A. a) Welches ist die minimale Abtastfrequenz
a) Wie groß ist der ohmsche Widerstand der nach Shannon?
Spule? b) Was versteht man unter einem „8fach-
b) Wie groß ist der komplexe Widerstand der Oversampling“?
Gesamtschaltung? c) Welche Abtastfrequenz hat ein solcher CD-
c) Wie groß ist der Phasenwinkel zwischen Spieler?
Strom und Spannung? d) Welchen Vorteil hat das Oversampling?
d) Berechnen Sie den komplexen Leitwert und
die Werte für Wirk-, Blind- und Scheinleit-
wert. 1.7 Bezeichnung und Messung
elektrischer Größen
Ü 1-6-2 Folgende Bauteile sind vorhanden: Ein
Widerstand mit R D 500 , eine ideale Induk- 1.7.1 Bezeichnung elektrischer Größen
tivität mit L D 4;6 H (widerstandslos) und ein
Kondensator mit 2,5 µF. Sie werden bei f D Bei der Beschreibung und Berechnung elektri-
50 Hz betrieben. scher Schaltkreise werden häufig Teilgrößen der
64 E. Hering und R. Martin

Abb. 1.61 Bezeichnung elektrischer Größen

elektrischen Parameter betrachtet, um die Berech-


nung zu vereinfachen oder klarer darzustellen. Abb. 1.62 Absoluter Widerstand rBE und differenzieller
Die Größen bestehen aus dem Symbol für Widerstand rbe
die physikalische Einheit, das mit einem oder
mehreren Buchstaben oder Zahlen indiziert wird.
Dadurch lassen sich verschiedene Größen oder vorhandene Größen, beispielsweise der Basis-
Teilgrößen mit der gleichen physikalischen Ein- Emitterwiderstand eines Transistors, mit dem
heit, beispielsweise der Spannung U , eindeutig Kleinbuchstaben r bezeichnet. Der selten be-
unterscheiden. Die folgende Aufstellung zeigt ei- nutzte Absolutwiderstand heißt rBE , während der
nige wichtige Bezeichnungen. häufig gebrauchte interne differenzielle Basis-
Emitterwiderstand mit rbe bezeichnet wird. Inter-
U; I; P Gleich-, Mittel-, Absolut- und Effektivwerte ne Kapazitäten C und Induktivitäten L werden
werden mit Großbuchstaben bezeichnet.
immer mit Großbuchstaben bezeichnet.
u; i; p Augenblickswerte werden stets mit Klein-
buchstaben bezeichnet.
Abbildung 1.62 verdeutlicht den Zusammen-
UBE ; uBE Gesamtwerte werden mit Großbuchstaben hang. Dabei ist der innere Absolutwiderstand
indiziert, diese Werte werden von null an rBE D UBE =IB , während der für den Kleinsignal-
gezählt. betrieb wichtige differenzielle Widerstand rbe D
Ube Besteht eine Spannung aus einem kon- UBE =IB ist.
stanten Gleichanteil, beispielsweise dem
Mittelwert UBE .AV/ und einem überlagerten
Wechselspannungsanteil Ube , dann bezeich-
net ein Großbuchstabe mit kleinen Indizes 1.7.2 Messung elektrischer Größen
den veränderlichen Signalanteil.
Für die Messung elektrischer Größen sowie zur
Abbildung 1.61 veranschaulicht einige Grö- Entwicklung und zum Test elektronischer Bau-
ßen. elemente steht eine Vielzahl von Messgeräten zur
Elementare elektrische Bauteile werden nor- Verfügung, die in Abb. 1.63 zusammengestellt
malerweise mit den Großbuchstaben R; L und C sind. Dieses Bild gibt nicht nur eine Übersicht
bezeichnet. Physikalisch direkt vorhandene Bau- über die Vielzahl an Messgeräten, sondern liefert
teile, beispielsweise die externe Beschaltung ei- dem Leser auch Anhaltspunkte dafür, mit wel-
nes Transistors, werden immer mit Großbuch- chen Geräten seine speziellen Messaufgaben zu
staben bezeichnet. Dagegen werden inhärent lösen sind.
1 Grundlagen der Elektrotechnik 65

1.8 Grundlagen der Halbleiterphysik mal so groß ist, wie die Zahl der Gitteratome,
können nach dem Schema von Tab. 1.5 Elemente
Die Eigenschaften der Halbleiterbauelemente aus verschiedenen Gruppen des Periodensystems
sind eng verknüpft mit den physikalischen Eigen- kombiniert werden. Die meisten Verbindungs-
schaften der Halbleitermaterialien. Zum besseren halbleiter kristallisieren in der Zinkblendestruk-
Verständnis der entsprechenden Abschnitte wer- tur, die aussieht wie die Diamantstruktur von
den deshalb einige fundamentale Gesetzmäßig- Abb. 1.64, wobei aber beispielsweise beim GaAs
keiten der Physik der Halbleiter vorangestellt. jedes Ga-Atom von vier As-Atomen umgeben
ist, umgekehrt auch jedes As-Atom von vier
Ga-Atomen. Der Halbleiter GaAs erlangt zuneh-
1.8.1 Materialien mende Bedeutung, weil er eine wesentlich höhere
Ladungsträgerbeweglichkeit aufweist als Si, so
Halbleiter sind Festkörper, deren spezifischer dass daraus sehr schnelle Bauelemente gefertigt
elektrischer Widerstand stark temperaturabhängig werden können.
ist. In der Nähe des absoluten Temperaturnull-
punkts sind sie perfekte Isolatoren; bei höheren
Temperaturen (z. B. bei Raumtemperatur) weisen 1.8.2 Energiebänder
sie eine elektrische Leitfähigkeit auf. Der spe-
zifische Widerstand der Halbleiter liegt etwa im Die Quantenmechanik lehrt, dass in isolierten
Bereich 103 cm bis 109 cm. Atomen die Elektronen um die Atomkerne eine
Die Elemente Silicium, Germanium und grau- Aufenthaltswahrscheinlichkeit haben, die durch
es Zinn (˛-Sn) aus der IV. Gruppe des Perioden- stehende Wellen beschrieben wird. Die Wellen-
systems werden als Elementhalbleiter bezeich- funktionen n dieser Materiewellen und die da-
net. Aufgrund seiner physikalischen Eigenschaf- mit verknüpften Energien En ergeben sich durch
ten und der guten technologischen Verarbeitbar- Lösung der Schrödingergleichung. Es zeigt sich,
keit ist Si mit Abstand der wichtigste Halbleiter dass die Elektronen nur diskrete Energiezustän-
überhaupt. Die Atome der Elementhalbleiter ha- de einnehmen können, die auf einer Energieleiter
ben vier Valenzelektronen, die mit jeweils vier angeordnet sind (Abb. 1.65).
nächsten Nachbarn Elektronenbrücken bilden In einem Festkörper sind sehr viele Elektronen
(kovalente Bindung). Räumlich ordnen sich da- miteinander in Wechselwirkung, was dazu führt,
her die Atome so an, wie es das Modell von dass die erlaubten Energieniveaus zu Bändern
Abb. 1.64 zeigt: Jedes Atom sitzt im Zentrum verbreitert werden, die durch verbotene Zonen
eines Tetraeders, an dessen vier Ecken die nächs- getrennt sind. Der Übergang vom Einzelatom
ten Nachbarn angeordnet sind. Kristallographisch zum Festkörper ist in Abb. 1.65 schematisch dar-
wird diese sogen. Diamantstruktur auch als ku- gestellt.
bisch flächenzentriertes Gitter beschrieben. Bei den Halbleitern sind nun alle energetisch
Abbildung 1.64 zeigt einen Würfel als Ele- tief liegenden Bänder, die sogen. Valenzbänder,
mentarzelle, an dessen Ecken und Flächenmitten mit Elektronen gefüllt. Das oberste vollständig
jeweils ein Atom sitzt. Zu jedem dieser Atome gefüllte Valenzband ist durch eine Energielücke
gehört ein zweites, das in Richtung der Raum- der Breite Eg (vom engl. energy gap) von höher
diagonale des Würfels um ein Viertel derselben liegenden leeren Bändern, die als Leitungsbän-
verschoben ist. Die wichtigsten Raumrichtungen der bezeichnet werden, getrennt. Abbildung 1.66
im Kristall, die durch Millersche Indizes [h k l] zeigt das Bändermodell mit dem obersten Va-
bezeichnet werden, sind ebenfalls eingezeichnet. lenzband (VB), der verbotenen Zone und dem
Zunehmende Bedeutung erlangen die Verbin- untersten leeren Leitungsband (LB).
dungshalbleiter, die erstmals von W ELKER sys- Das Gittermodell ist vereinfacht so gezeich-
tematisch untersucht wurden. Unter der Voraus- net, dass jedes Si-Atom in der Zeichenebene
setzung, dass die Zahl der Valenzelektronen vier vier nächste Nachbarn hat. Elektronen im Va-
66
E. Hering und R. Martin

Abb. 1.63 Messgeräte und ihre Eigenschaften (vorher Abb. 1.61)


1 Grundlagen der Elektrotechnik 67

Abb. 1.63 (Fortsetzung)


68 E. Hering und R. Martin

Abb. 1.64 Kristallgitter von Diamant und Zinkblende. a kubisch flächenzentriertes Gitter, b tetraedrische Struktur der
vier nächsten Nachbarn

Tab. 1.5 Element- und Verbindungshalbleiter


Gruppen des Perioden- Beispiele
systems
IV Si, Ge, ˛-Sn
IV–IV SiC
III–V GaAs, GaP, GaSb, InAs, InP
II–VI CdS, CdSe, HgS, ZnS, ZnTe

Abb. 1.66 Bändermodell und Kristallgitter eines Halblei-


ters bei Eigenleitung

lenzband sind solche, die im Kristallgitter fest an


die Atomrümpfe gebunden sind, also die Elektro-
nenpaarbindung bewirken. Ein solcher Halbleiter
hat keine frei beweglichen Elektronen und damit
keine Leitfähigkeit. Dieser Zustand ist bei T 
0 K verwirklicht. Mit steigender Temperatur aber
werden durch die wachsende thermische Schwin-
gungsenergie Bindungen aufgerissen, so dass
sich Elektronen mehr oder weniger frei im Git-
ter bewegen können. Dieser Vorgang entspricht
Abb. 1.65 Erlaubte Energiezustände der Elektronen im im Bändermodell einem Anheben von Elektronen
Atom, Moleküle und Festkörper über die verbotene Zone ins Leitungsband.
1 Grundlagen der Elektrotechnik 69

1.8.3 Ladungsträgerkonzentration

Die Konzentration der frei beweglichen Ladungs-


träger ist bei einem Halbleiter von entscheidender
Bedeutung für die elektrische Leitfähigkeit. Sie
ist stark temperaturabhängig und kann durch Do-
tieren mit Fremdstoffen in weiten Grenzen verän-
dert werden.

1.8.3.1 Eigenleitung
In einem reinen Halbleiter (engl.: intrinsic se-
miconductor) beruht die elektrische Leitfähig-
keit auf der Bewegung von Elektronen, die un-
ter Energieaufwendung über die Bandlücke weg
vom Valenzband ins Leitungsband gehoben wur-
den (Abb. 1.66). Jedes Elektron, das aus dem
Abb. 1.67 Variation des Bandabstandes mit der Tempera-
Valenz- ins Leitungsband gehoben wird, hin-
tur für Ge, Si und GaAs terlässt in der Elektronenverteilung des Valenz-
bandes eine Lücke. Diese Defektelektronen oder
Löcher verhalten sich im See der negativen Elek-
Die Breite des Bandabstandes Eg ist von der
tronen wie positive Teilchen. Wird an den Kristall
Temperatur abhängig. Bei den meisten Halblei-
eine elektrische Spannung angelegt, dann fließen
tern nimmt Eg mit steigender Temperatur ab. Der
die Elektronen zur Anode. Gebundene Elektro-
Zusammenhang für die Halbleiter Ge, Si und
nen in der Nachbarschaft von Löchern können
GaAs ist in Abb. 1.67 dargestellt.
durch Platzwechsel in ein Loch springen; da-
Die Abhängigkeit des Bandgaps von der Tem-
durch wandert das Loch in Richtung Kathode.
peratur wirkt sich auf viele Eigenschaften von
Der Strom in einem Halbleiter lässt sich daher
Halbleiterbauelementen aus. Tabelle 1.6 zeigt
als Summe aus einem Elektronenstrom und ei-
eine Zusammenstellung des Bandabstandes Eg
nem Löcherstrom darstellen:
und des Temperaturkoeffizienten dEg =dT einiger
Halbleiter. I D In C Ip : (1.97)

Tab. 1.6 Bandabstand Eg und Temperaturkoeffizient Dabei fließen die Löcher in der technischen
dEg =dT für einige Halbleiter bei T D 300 K Stromrichtung (von C nach ), die Elektronen
Halbleiter Eg (eV) dEg /dT entgegengesetzt. Da freie Elektronen (Elektronen
(104 eV=K) im Leitungsband) und Löcher immer paarweise
Si 1,11 –2,7 erzeugt werden, gilt für die Dichten n und p der
Ge 0,66 –3,7 Elektronen und Löcher
GaAs 1,43 –3,9
GaP 2,27 –5,2 n D p: (1.98)
GaSb 0,70 –3,7
InAs 0,356 –3,5 Die Berechnung der Ladungsträgerkonzentratio-
InP 1,34 –2,9 nen n und p geschieht mithilfe der Fermi-Dirac-
InSb 0,18 –2,8 Statistik. Danach ist die Wahrscheinlichkeit für
CdO 1,3 –4,2
die Besetzung eines Energieniveaus E durch ein
CdS 2,5 4,1
Elektron gegeben durch die Fermi-Funktion
CdSe 1,75 3,6
ZnS 3,56 –5 h i
EEF 1
ZnTe 2,3 –4,5 f .E/ D 1 C e k T :
70 E. Hering und R. Martin

Kristall nicht nur die äußeren Kräfte verspüren,


sondern auch innere Kräfte, die durch die peri-
odisch variierenden Potenziale der Atomrümpfe
verursacht werden. Das Verhältnis aus äußerer
Kraft und tatsächlicher Beschleunigung ist die
effektive Masse me der Kristallelektronen. Die-
se ist von der Bewegungsrichtung abhängig und
kann experimentell bestimmt werden (z. B. durch
Zyklotronresonanz). Sie beträgt beispielsweise
im Germanium für Elektronen im Leitungsband,
die sich in [111]-Richtung (Abb. 1.64) bewegen
me,l D 1;6  m0 , wenn m0 die Ruhemasse freier
Elektronen ist, in der dazu senkrechten Richtung
ist sie me,t D 0;082 m0 . Durch eine spezielle
Mittelung ergibt sich die effektive Zustandsdich-
Abb. 1.68 Besetzungswahrscheinlichkeit f .E/, Zu- temasse, die in die Formel für die
standsdichte D.E/ sowie schematische Anordnung der Zustandsdichte einzusetzen ist: me,d D
Elektronen und Löcher im Leitungs- und Valenzband 0;554 m0 .
eines reinen Halbleiters Die Dichte aller Elektronen im Leitungsband
wird damit
EF ist die Fermi-Energie, für die gilt f .EF / D Z1
0;5. Sie liegt näherungsweise in der Mitte der ver- n D f .E/De .E/dE :
botenen Zone (Abb. 1.66). k ist die Boltzmann- EL
Konstante (k D 8;6173  105 eV=K), T ist die
absolute Temperatur. Die Elektronendichte n.E/ Für den Fall, dass E  EF  kT , kann die
im Energieintervall zwischen E und E C dE ist Fermi-Funktion durch den Boltzmann-Faktor er-
gegeben durch das Produkt aus Fermi-Funktion setzt werden:
EEF
f .E/, der Zustandsdichte De .E/ und der Breite f .E/  e k T :
des Intervalls dE:
Damit lässt sich obiges Integral geschlossen lö-
n.E/ D f .E/  De .E/ dE : sen. Die Integration ergibt:
EL EF
Alle drei Funktionen sind in Abb. 1.68 darge- n D NL e kT ; (1.99)
stellt. Die Zustandsdichte gibt die Dichte der mit der effektiven Zustandsdichte des Leitungs-
erlaubten Energiezustände im betrachteten Ener- bandes
giebereich an, für sie gilt  
2 me,d kT 3=2
  3=2 NL D 2 :
1 2me h2
De .E/ D .E  EL / :
1=2
2 2 ¯2 Für die Löcher im Valenzband gelten die oben
gemachten Ausführungen sinngemäß. Analog zu
Sie wächst mit der Wurzel aus der Energie der
Gl. 1.99 ergibt sich für die Löcherdichte im Va-
Leitungsbandelektronen und hängt stark von der
lenzband
effektiven Masse me der Ladungsträger ab. EF EV
p D NV e kT (1.100)
Nun gibt es bei Halbleitern die Besonder-
heit, dass sich die Elektronen aufgrund äuße- mit der effektiven Zustandsdichte des Valenzban-
rer Kräfte so bewegen, als ob ihre Masse nicht des  
identisch wäre mit der Masse freier Elektro- 2 mh,d kT 3=2
NV D 2 :
nen. Das kommt daher, dass die Elektronen im h2
1 Grundlagen der Elektrotechnik 71

Tab. 1.7 Eigenschaften Physikalische Größe Ge Si GaAs


der Halbleiter Ge, Si und Kristallstruktur Diamant Diamant Zinkblende
GaAs bei T D 300 K
Gitterkonstante a in 1010 m 5;65771 5;43043 5;65325
linearer Ausdehnungskoeffizient ˛ in 106 K1 5;9 2;56 6;86
spezifische Wärmekapazität c in kJ=(kg K) 0;31 0;70 0;35
Wärmeleitfähigkeit in W=(m K) 64 145 46
Schmelzpunkt #s in ı C 937 1415 1238
Atomdichte N=V in 1022 cm3 4;42 5;0 4;42
Dichte  in kg=m3 5326;7 2328 5320
Molmasse M in g=mol 72;60 28;09 144;63
relative Permittivitätszahl "r 16;2 11;9 12;9
Bandgap Eg in eV 0;660 1;11 1;43
intrinsische Trägerdichte ni in cm3 2;33  1013 1;02  1010 2;0  106
Effektive Zustandsdichte
im Leitungsband NL in cm3 1;24  1019 2;85  1019 4;55  1019
im Valenzband NV in cm3 5;35  1018 1;62  1019 9;32  1018
Beweglichkeit n in cm2 =(Vs) 3900 1350 8500
p in cm2 =(Vs) 1900 480 435

Tabelle 1.7 zeigt neben anderen Materialparame-


tern die effektiven Zustandsdichten der Halbleiter
Ge, Si und GaAs.
Aus den Gl. 1.99 und 1.100 ergibt sich für das
Produkt der Trägerdichten

n  p D n2i D NL NV eEg =.kT / : (1.101)

ni wird als Eigenleitungsdichte (engl. intrinsic


carrier concentration) bezeichnet. Nach Gl. 1.98
ist ni D n D p. Durch Wurzelziehen folgt aus
Gl. 1.101
p
ni .T / D NL NV eEg =.2kT /
(1.102)
D ni0 T 3=2 eEg =.2kT / :

Die nach Gl. 1.102 errechneten Intrinsic-Daten


sind in Tab. 1.7 angegeben. Diese Trägerdichten
sind stark temperaturabhängig. Abbildung 1.69
zeigt den Zusammenhang für Ge. Bei logarith-
mischer Auftragung von ni gegen 1=T entsteht
näherungsweise eine Gerade mit der Steigung
Eg =.2k/. Abweichungen von der Geraden ent-
stehen durch den Faktor T 3=2 in Gl. 1.102 so- Abb. 1.69 Eigenleitungsdichte ni von Germanium in Ab-
wie durch die Temperaturabhängigkeit der Band- hängigkeit von der Temperatur T
lücke. Für den temperaturunabhängigen Faktor
ni0 in Gl. 1.102 folgt bei
 Germanium ni0 D 1;57  1015 cm3 K3=2 , Offensichtlich ist die Eigenleitungsdichte ni
 Silicium ni0 D 4;14  1015 cm3 K3=2 , mit der Breite Eg des Bandgaps korreliert. Bei
 Galliumarsenid ni0 D 3;95  1015 cm3 K3=2 . Halbleitern mit großem Bandabstand ist die Trä-
72 E. Hering und R. Martin

gerdichte klein. Bei den Halbleitern Si und GaAs Tab. 1.8 Ionisierungsenergien ED von Donatoren und EA
sind die Dichten ni so klein, dass sie praktisch gar von Akzeptoren in Silicium und Germanium
nicht verifizierbar sind. Das kommt daher, dass Störstelle Ionisierungsenergie
diese Substanzen nicht mit genügender Reinheit ED bzw. EA in meV
Silicium Germanium
hergestellt werden können. Wenn nämlich der
Donatoren
Kristall (absichtlich oder unabsichtlich) Fremd-
P 46 12,76
stoffe enthält. dann wird die Ladungsträgerkon-
As 54 14,04
zentration durch die Verunreinigungskonzentra- Sb 43 10,19
tion bestimmt, die in diesen Substanzen immer Akzeptoren
höher ist als ni . Von den drei in Tab. 1.7 aufge- B 45 10,4
listeten Halbleitern ist lediglich Ge in der genü- Al 69 10,2
genden Reinheit darstellbar, so dass dort ni auch Ga 73 10,8
tatsächlich gemessen werden kann (z. B. mit Hil- In 155 11
fe des Hall-Effekts).
Die genaue Lage der Fermi-Energie folgt aus
den Gl. 1.99 und 1.100 zu
in Tab. 1.8 für die Halbleiter Silicium und Germa-
p nium zusammengestellt. Aus den Zahlenwerten
EF D EV C Eg =2  k T ln NL =NV : (1.103)
ist ersichtlich, dass bereits bei Raumtempera-
tur praktisch alle Störstellen ionisiert sind. Die
Sie liegt demnach bei T D 0 K exakt in der Mitte
Dichte der Elektronen im Leitungsband nimmt
der verbotenen Zone. Bei endlicher Temperatur
dadurch sehr viel größere Werte an als ni beim
entfernt sie sich geringfügig von der Zonenmit-
Eigenleiter. Gleichzeitig geht dafür die Dichte der
te. Beispielsweise liegt sie im Germanium bei
freien Löcher im Valenzband zurück, denn nach
T D 300 K um E D 0;0138 eV unterhalb. Ei-
Gl. 1.101 gilt für jeden Halbleiter, unabhängig
ne genaue Kenntnis der Lage des Fermi-Niveaus
von der Dotierung:
ist deshalb wichtig, weil es in einem Halbleiter-
Bauelement im thermodynamischen Gleichge-
wicht überall auf gleicher Höhe sein muss. n  p D n2i : (1.104)

1.8.3.2 Störstellenleitung In diesem Fall beruht die elektrische Leitfähig-


keit beinahe ausschließlich auf dem Transport der
n-Halbleiter negativen Elektronen, weshalb der Halbleiter als
Die Konzentration freier Ladungsträger und da- n-Typ (n-leitend) bezeichnet wird. Die Elektro-
mit die Leitfähigkeit kann erheblich beeinflusst nen sind die Majoritätsträger (Majoritäten), die
werden durch den Einbau von Fremdatomen ins Löcher die Minoritätsträger (Minoritäten).
Kristallgitter (Dotieren). Wird beispielsweise Si- Aus Neutralitätsgründen muss die Summe al-
licium mit Atomen eines Elements der V. Gruppe ler positiven Ladungen (Löcher plus ionisierte
des Periodensystems dotiert, dann bringt jedes Störstellen) gleich der Ladung der freien Elektro-
Störatom ein Elektron mit, das keine Bindung mit nen sein:
nächsten Nachbarn eingeht und deshalb durch ge- p C nC
D D n:
ringe Energiezufuhr von seinem Atom abgetrennt
werden kann. Im Bänderschema von Abb. 1.70 Unter der Voraussetzung, dass alle Donatoren
sind diese Elektronen dicht unter der Leitungs- ionisiert sind (dieser Zustand wird als Störstel-
bandkante angesiedelt. Durch Zufuhr der Io- lenerschöpfung bezeichnet), ist die Dichte der
nisationsenergie ED kann ein solcher Donator ionisierten Donatoren identisch mit der Dichte
(Elektronenspender) ionisiert werden, d. h. das der Donatoren überhaupt: nC D D nD . Also gilt:
Elektron ins Leitungsband gehoben werden. Die
Ionisationsenergien ED einiger Dotierstoffe sind p C nD D n : (1.105)
1 Grundlagen der Elektrotechnik 73

Abb. 1.70 Bändermodell und Kristallgitter dotierter Halbleiter

Aus den beiden Gl. 1.104 und 1.105 folgt für die
Dichte der freien Elektronen und Löcher:
r 
nD nD 2
nD C C n2i ;
2 2 (1.106)
p D n2i =n :
Die Abhängigkeit der Elektronen- und Löcher-
dichte von der Donatorenkonzentration ist in
Abb. 1.71 dargestellt. Für nD  ni (was zumin-
dest bei Si und GaAs immer erfüllt ist) gilt die
praktische Näherung

n  nD ; p  n2i =nD : (1.107)

Die Elektronenkonzentration verringert sich


drastisch mit abnehmender Temperatur. Insbe-
sondere sind am absoluten Nullpunkt alle Stör-
stellen neutral, der Halbleiter also ein idealer
Isolator. Im Falle tiefer Temperaturen spricht man
vom Zustand der Störstellenreserve, um anzudeu- Abb. 1.71 Konzentration der freien Elektronen (n) und
ten, dass mit steigender Temperatur zunehmend Löcher (p) in n-Typ Germanium in Abhängigkeit von der
Störstellen ionisiert werden können. Die Trä- Donatorenkonzentration im Falle der Störstellenerschöp-
fung
gerdichte wird wie bei der Eigenleitung durch
Anwendung der Fermi-Dirac-Statistik berechnet.
Abbildung 1.72 zeigt die Fermi’sche Verteilungs- Die Fermi-Energie EF liegt jetzt in der Mitte
funktion f .E/ der Elektronen bei tiefen Tempe- zwischen den Störstellenniveaus und der benach-
raturen. barten Bandkante. In Analogie zu Gl. 1.102 folgt
74 E. Hering und R. Martin

Abb. 1.72 Fermi-Dirac-Verteilung in n- und p-Halblei-


tern bei tiefen Temperaturen. Die roten Gebiete entspre-
chen den mit Elektronen besetzten Energiezuständen

für die Konzentration der freien Elektronen bei


tiefen Temperaturen (kT  ED = ln.NL =2nD /):
r
nD NL ED =.2kT /
n.T / D e : (1.108)
2

In diesem Ausdruck spielt ED dieselbe Rolle


Abb. 1.73 Ladungsträgerdichte in n-Typ-Silicium in Ab-
wie das Bandgap Eg beim Eigenleiter. Abbil- hängigkeit von der Temperatur. Dotierung: Phosphor mit
dung 1.73 zeigt den Verlauf der Elektronendichte verschiedenen Konzentrationen
in n-Silicium in Abhängigkeit von der Tempera-
tur. Mit steigender Temperatur nimmt die Dichte
der freien Elektronen rasch zu und geht schließ- Gruppe Elektronen aufnehmen, werden sie als
lich in ein waagrechtes Plateau über, wenn im Akzeptoren bezeichnet. Die Ionisierungsenergien
Zustand der Störstellenerschöpfung alle Störstel- der wichtigsten Akzeptoren in Si und Ge sind in
len ionisiert sind. Ein weiterer Temperaturanstieg Tab. 1.8 zusammengestellt.
verursacht eine erneute Zunahme der Trägerdich- Analog zu Gl. 1.106 ist die freie Löcherdichte
te, wenn die Eigenleitungsdichte ni .T / größer im Zustand der Störstellenerschöpfung, also bei
wird als die Störstellenkonzentration. hohen Temperaturen, gegeben durch
r 
p-Halbleiter nA n 2
A
pD C C n2i
Dotiert man mit Elementen aus der III. Gruppe 2 2 (1.109)
des Periodensystems, so fehlt an jedem Störatom n D n2i =p :
ein Elektron zur Bindung. Bereits durch gerin-
ge Energiezufuhr kann dieses lokalisierte Loch Ist die Akzeptorendichte nA sehr viel größer als
von einem Elektron eines Nachbaratoms aus- die Eigenleitungsdichte ni , dann gilt
gefüllt werden. Dadurch wandert das Loch ins
Valenzband und kann dort als freies Loch am La- p  nA ; n  n2i =nA : (1.110)
dungstransport teilnehmen. Die elektrische Lei-
tung beruht hier vorwiegend auf der Wanderung Bei tiefen Temperaturen, also im Zustand der
der positiven Löcher; man spricht deshalb von Störstellenreserve, gilt
p-Leitung und p-Typ-Halbleitern. Im p-Halbleiter r
sind also die Löcher die Majoritäten, die Elektro- nA NV EA =.2kT /
p.T / D e : (1.111)
nen die Minoritäten. Da die Störatome aus der III. 2
1 Grundlagen der Elektrotechnik 75

Tab. 1.9 Exponent a im Potenzgesetz Gl. 1.113


Germanium Silicium Galliumarsenid
n p n p n p
a 1,66 2,33 2,42 2,2 1 2,1

1.8.4 Beweglichkeit

Die elektrische Leitfähigkeit eines Halbleiters


hängt nicht nur von der Konzentration der freien
Ladungsträger. sondern auch von deren Beweg-
lichkeit ab. Die Beweglichkeit  verknüpft die
Driftgeschwindigkeit vd der Ladungsträger mit
der elektrischen Feldstärke E:

vd D E : (1.112)

Tabelle 1.7 zeigt die Beweglichkeiten n und p


für Elektronen und Löcher in den Halbleitern Ge, Abb. 1.74 Beweglichkeit von Ge, Si und GaAs bei T D
Si und GaAs. Die Zahlenwerte gelten für bei 300 K in Abhängigkeit von der Störstellenkonzentration
(nach Casey und Panish, Prince, Beadle et al.)
Raumtemperatur.
Die Ladungsträger sind auf der Wanderung
durch den Kristall verschiedenen Streumechanis-
men ausgesetzt. Bei relativ reinen Kristallen er- Die Gültigkeit von Gl. 1.112 mit einer kon-
folgt die Streuung vor allem an Gitterschwingun- stanten Beweglichkeit und damit die Gültigkeit
gen (Phononen). Da mit steigender Temperatur des ohmschen Gesetzes ist auf relativ kleine Feld-
die Amplitude der Gitterschwingungen ansteigt, stärken beschränkt. Mit steigender Feldstärke
nimmt die Beweglichkeit ab. Die Abhängigkeit nehmen die Ladungsträger mehr Energie aus dem
der Beweglichkeit von der absoluten Temperatur elektrischen Feld auf, als sie durch Stöße abgeben
lässt sich durch ein Potenzgesetz der Form können. Dadurch bekommen die Ladungsträger
eine höhere effektive Temperatur als das Kristall-
.T / D 0 .T0 =T /a (1.113) gitter. Wenn die Driftgeschwindigkeit die Schall-
geschwindigkeit erreicht hat, ist die Tempera-
ausdrücken. Dabei ist T0 D 300 K und 0 D tur der Ladungsträger um etwa 24 % höher als
.T0 /. Bei Streuung an akustischen Phononen die Gittertemperatur. Die „heißen“ Ladungsträ-
sollte der Exponent nach theoretischen Überle- ger geben ihre Überschussenergie ab, indem sie
gungen a D 3=2 betragen. Tatsächlich beobach- in erhöhtem Maße Phononen (Gitterschwingun-
tet man meist andere Exponenten. In Tab. 1.9 sind gen) aussenden. Dies führt schließlich bei hohen
empirisch ermittelte Werte zusammengestellt. Feldstärken dazu, dass die aus dem elektrischen
Bei dotierten Halbleitern wird mit zuneh- Feld aufgenommene Energie vollständig in ther-
mender Störstellenkonzentration die Streuung an mische Energie des schwingenden Gitters umge-
ionisierten Störstellen immer wichtiger. Abbil- setzt wird, die Ladungsträger also nicht weiter
dung 1.74 zeigt den Zusammenhang zwischen beschleunigt werden können. Das bedeutet, dass
Beweglichkeit und Störstellendichte bei Raum- die Driftgeschwindigkeit nicht weiter anwächst,
temperatur. Bei starker Dotierung ist die Beweg- sondern bei großen Feldstärken sättigt. Abbil-
lichkeit praktisch nicht mehr von der Temperatur dung 1.75 zeigt den Zusammenhang zwischen
abhängig. Driftgeschwindigkeit und elektrischer Feldstär-
76 E. Hering und R. Martin

Lösung:
Nach Tab. 1.7 ist ni D 2;33  1013 cm3 und
n C p D 5800 cm2 =.Vs/. Damit ergibt sich
 D 0;0217 1 cm1 und  D 46  cm.
Da der ohmsche Widerstand R zum spezi-
fischen Widerstand  proportional ist, ergibt
sich unter Verwendung von Gl. 1.102 für den
Widerstand eines Halbleiters näherungsweise
eine exponentielle Abhängigkeit von der Tem-
peratur:

R.T /  R0 eEg=.2kT / : (1.117)

Abb. 1.75 Driftgeschwindigkeit von Elektronen Der Widerstand hat einen negativen Tempera-
(schwarz) und Löchern (rot) in Si, Ge und GaAs in turkoeffizienten, weshalb Halbleiter auch als
Abhängigkeit von der Feldstärke bei T D 300 K (nach
Jacobini et al., Smith et al., Ruch und Kino) NTC-Widerstände bezeichnet werden.
Bei dotierten Halbleitern hängt nach
Gl. 1.114 die Leitfähigkeit vorwiegend von
ke. Die Sättigungsdriftgeschwindigkeit liegt in der Konzentration der Majoritätsträger ab.
der Größenordnung von vd;sat  107 cm=s. Beispielsweise ist in n-Silicium mit einer Do-
natorenkonzentration von nD D 1016 cm3
bei Raumtemperatur die Elektronendichte
1.8.5 Leitfähigkeit n  1016 cm3 . Die Löcherdichte ist ledig-
lich p  n2i =nD D 104 cm3 . Damit wird die
Die elektrische Leitfähigkeit eines Halbleiters Leitfähigkeit
hängt ab von der Dichte der freien Ladungsträger
und von ihrer Beweglichkeit. Nach Gl. 1.97 setzt  D 1= D enD n : (1.118)
sich der Gesamtstrom in einem Halbleiter aus
dem Elektronen- und Löcherstrom zusammen. Im p-Material gilt entsprechend
Entsprechend besteht die elektrische Leitfähig-
keit aus einem Elektronen- und einem Löcheran-  D 1= D enA p : (1.119)
teil:
 D e.nn C pp / : (1.114)
Für den spezifischen Widerstand gilt damit:
Beispiel 1.8.2
1 1 Wie groß ist der spezifische Widerstand von
D D : (1.115) n-Silicium bei einer Donatorenkonzentration
 e.nn C pp /
von nD D 4  1016 cm3 bei Raumtemperatur?
Bei einem Eigenleiter ist n D p D ni und damit
Lösung:
1 Nach Abb. 1.74 ist die Beweglichkeit n 
 D D eni .n C p / : (1.116)
 103 cm2 =.Vs/. Damit wird nach Gl. 1.118 der
spezifische Widerstand  D 0;156  cm.
Beispiel 1.8.1 Vernachlässigt man in erster Näherung die
Wie groß sind die elektrische Leitfähigkeit Abhängigkeit der Beweglichkeit von der Stör-
und der spezifische Widerstand von reinem stellenkonzentration, so folgt aus Gl. 1.118
Germanium bei Raumtemperatur? und 1.119, dass der spezifische Widerstand
1 Grundlagen der Elektrotechnik 77

verursacht Ausgleichsvorgänge, d. h. eine Dif-


fusion von Ladungsträgern in nicht beleuchtete
Gebiete sowie eine vermehrte Rekombination.
Da bei einer solchen Störung des Gleichgewichts
immer die Minoritätsträgerdichte sehr stark ver-
ändert wird, die Majoritätsträgerdichte aber nur
wenig, wird im Folgenden nur das Verhalten
der Minoritäten betrachtet und zwar exempla-
risch das der Elektronen in einem p-Halbleiter.
Für Löcher in einem n-Halbleiter müssen in den
jeweiligen Gleichungen lediglich n und p ver-
tauscht werden.

1.8.6.1 Zeitverhalten
Ist die Konzentration der Elektronen im ther-
modynamischen Gleichgewicht n0 und wird bei-
Abb. 1.76 Spezifischer Widerstand von Ge, Si und GaAs spielsweise durch Bestrahlung die Konzentration
bei T D 300 K in Abhängigkeit von der Dotierungskon-
zentration (nach Cuttriss, Irvin, Sze und Irvin). Die rote auf n vergrößert, dann gilt für die Überschuss-
Gerade gibt den theoretischen Verlauf nach Gl. 1.120 wie- dichte n D n  n0 .
der Die zeitliche Änderung der Überschussdich-
te wird bestimmt durch eine Generationsrate gn ,
die angibt, wie viele neue Ladungsträger pro s
reziprok von der Dotierungskonzentration ab- und pro cm3 erzeugt werden sowie durch ei-
hängt: ne Rekombinationsrate rn , die angibt, wie viele
Ladungsträger pro s und pro cm3 durch Rekom-
1 1
 bzw.  : (1.120) bination verschwinden. Bei der Generation neuer
nD nA Träger durch Bestrahlung ist die Generationsrate
für Elektronen und Löcher dieselbe, da jedes ab-
Abbildung 1.76 zeigt Messwerte für diesen
sorbierte Photon ein Elektron-Loch-Paar erzeugt
Verlauf. Offensichtlich folgen die Kurven bei
(Abschn. 6.5.1). Im Bänderschema entspricht die
kleiner Störstellenkonzentration dem obigen
Generation einem Anheben eines Elektrons vom
Zusammenhang. Bei starker Dotierung ist der
Valenz- ins Leitungsband unter Energieaufwen-
spezifische Widerstand größer wegen der ab-
dung. Bei der Rekombination füllt ein freies
nehmenden Beweglichkeit.
Elektron auf seinem Weg durch den Kristall ein
Loch auf. Diese Restaurierung einer Kristallbin-
dung entspricht im Bänderschema dem Übergang
1.8.6 Ausgleichsvorgänge eines Elektrons vom Leitungs- ins Valenzband.
Die dabei frei werdende Energie wird in Form
Die Ladungsträgerkonzentrationen n und p der von Wärme oder als Lichtquant abgegeben (Ab-
freien Elektronen und Löcher in einem Halblei- schn. 6.3.1).
ter können räumlich und zeitlich variieren (z. B. Für die Änderung der Überschusskonzentrati-
infolge von Beleuchtung, räumlich veränderli- on n gilt damit folgende Ratengleichung:
cher Dotierung, Injektion von Ladungsträgern
über eine Grenzfläche). Wird beispielsweise ein d.n/
Halbleiter an einer Stelle beleuchtet, so entste- D g n  rn : (1.121)
dt
hen dort Ladungsträger, die im thermodynami-
schen Gleichgewicht nicht vorhanden sind. Diese Bei schwacher Injektion (n  p0 ) kann man
Störung des thermodynamischen Gleichgewichts davon ausgehen, dass die Rekombinationsrate
78 E. Hering und R. Martin

Tab. 1.10 Lebensdauer, Diffusionskonstante und Diffusi-


onslänge der Elektronen in Ge, Si und GaAs bei T D
300 K
Halbleiter Lebens- Diffusions- Diffusions-
dauer konstante länge
n in s Dn in Ln in m
cm2 /s
Germanium 103 101 3200
Silicium 106 35 59
Galliumarsenid 108 220 15
Abb. 1.77 Zeitliche Veränderung der Überschusskonzen-
tration n beim Einschalten (a) und Ausschalten (b) einer
Lichtquelle

Injektion über eine Grenzfläche in einem pn-


proportional zur Überschussdichte selbst ist: Übergang), so führt die Dichteerhöhung zu einem
Diffusionsstrom, der zum Ziel hat, die Ladungs-
rn D n=n : trägerdichten zu nivellieren. Abbildung 1.78a
zeigt einen lang gestreckten Kristall der Quer-
Mit diesem Ansatz wird die Differenzialglei- schnittsfläche A, in den von links her Minoritäts-
chung 1.121 zu träger injiziert werden. Die Ladungsträgerdichte
d.n/ n wird dadurch qualitativ einen Verlauf bekommen,
D gn  : (1.122) wie in Abb. 1.78b gezeigt. Die Überschusskon-
dt n
zentration nimmt vom Wert ns (surface) an der
Wird ein Halbleiter mit konstanter Bestrahlungs- Oberfläche ins Kristallinnere hinein ab.
stärke bestrahlt, ist also die Generationsrate kon- Infolge des Konzentrationsgefälles fließt ein
stant, dann ist die Lösung von Gl. 1.122 Diffusionsstrom ins Kristallinnere. Die Zahl der
Ladungsträger dN , die im Zeitintervall dt die
n.t/ D n0 .1  et =n /
(1.123) Stelle x passieren, wird durch das 1. Fick’sche
mit n0 D gn n :

Wird andererseits bei einem beleuchteten Halb-


leiter, bei dem die Überschussdichte n0 vor-
liegt, zurzeit t D 0 die Lichtquelle ausgeschaltet,
ist also gn D 0 für t > 0, dann ist die Lösung der
Ratengleichung 1.122

n.t/ D n0 et =n : (1.124)

Beide Fälle sind in Abb. 1.77 dargestellt.


Die Zeitkonstante n wird als Lebensdauer der
Überschussladungsträger bezeichnet. Sie hängt
stark von der Reinheit des Materials und von
der Bandstruktur ab. Tabelle 1.10 zeigt eine Zu-
sammenstellung typischer Zahlenwerte für relativ
reine Materialien.

1.8.6.2 Räumliche Ausbreitung


Abb. 1.78 a Generation von Ladungsträgern an der
einer Störung Grenzfläche eines Kristalls durch Bestrahlung; b räum-
Wird in einem Halbleiter lokal die Minoritäts- liches Abklingen der Überschusskonzentration n ins
trägerdichte erhöht (z. B. durch Bestrahlung oder Kristallinnere
1 Grundlagen der Elektrotechnik 79

Abb. 1.79 Lösungen der Diffusionsgleichung 1.128 für aufeinander folgende Zeiten t . An der Oberfläche (x D 0)
wird für t
0 die konstante Konzentration ns eingestellt

Gesetz beschrieben: Abbildung 1.79 zeigt Lösungen n.x, t/ für


ˇ sukzessiv ansteigende Zeiten, wenn auf der Stirn-
dN d.n/ ˇˇ
D Dn A : (1.125) fläche des Kristalls für t
0 eine konstante Über-
dt dx ˇx
schussdichte ns erzwungen wird. Nach längerer
Die Diffusionsstromdichte an der Stelle x ins Kri- Zeit (t  n ) stellt sich ein stationärer Verlauf
stallinnere ist dann n.x/ ein, d. h. es ist @.n/=@t D 0. Damit lau-
ˇ tet die Differenzialgleichung 1.128
dN e d.n/ ˇˇ
Jn .x/ D D Dn e : (1.126)
dt A dx ˇx @2 .n/ n
Dn  D 0:
In diesen Gleichungen ist Dn die Diffusionskon- @x 2 n
stante der Elektronen. Sie ist umso größer, je
größer die Beweglichkeit und je höher die Tem- Die Lösung ist ein exponentielles Abklingen der
peratur ist. Der Zusammenhang Überschussdichte ins Kristallinnere:

kT n.x/ D ns ex=Ln : (1.129)


Dn D n (1.127)
e
wird als Einstein-Relation bezeichnet. Zahlen- Der Verlauf entspricht in Abb. 1.79 der Kur-
werte für Dn sind in Tab. 1.10 für Raumtempera- ve rechts für t D 1. Die Größe Ln wird als
tur zusammengestellt. Die Diffusionskonstanten Diffusionslänge der Elektronen bezeichnet. Sie
Dp D kTp =e für die Löcher sind wegen der hängt mit der Diffusionskonstante Dn und der
kleineren Löcherbeweglichkeit geringer. Minoritätsträger-Lebensdauer n zusammen:
Die Überschussdichte im Volumenelement p
zwischen x und x C dx ergibt sich aus der Bilanz Ln D Dn n (1.130)
zwischen von links her zuströmenden Elektronen,
nach rechts abfließenden Elektronen und solchen, Zahlenwerte der Diffusionslänge sind in
die durch Rekombination verschwinden. Durch Tab. 1.10 zusammengestellt. Aus Gl. 1.129
Kombination aller drei Effekte ergibt sich unter folgt, dass im Abstand x D Ln von der Grenz-
Verwendung von Gl. 1.122 in Verbindung mit fläche die Überschusskonzentration n auf
Gl. 1.125 das 2. Fick’sche Gesetz: ns =e D 0;37ns abgenommen hat. Anschau-
lich kann man sagen, dass die Diffusionslänge die
@.n/ @2 .n/ n mittlere Strecke ist, welche die Ladungsträger
D Dn  (1.128)
@t @x 2 n aufgrund eines Dichtegradienten im Halbleiter
80 E. Hering und R. Martin

im Laufe ihrer Lebensdauer diffundieren kön-


nen. Das bedeutet also, dass beispielsweise in
einem pn-Übergang in Silicium die Elektronen
aus dem n-Material ca. 60 µm weit ins p-Material
diffundieren. Umgekehrt diffundieren die Löcher
wegen der kleineren Beweglichkeit ca. 35 µm
weit ins n-Gebiet.

1.8.7 pn-Übergang

1.8.7.1 Feld- und Potenzialverlauf


Das Grundelement der meisten Halbleiterbau-
elemente ist der pn-Übergang, bei dem nach
Abb. 1.80a p- und n-leitendes Material anein-
ander stoßen. Abbildung 1.80b zeigt den Do-
tierungsverlauf eines unsymmetrischen abrupten
pn-Übergangs in Silicium mit der Akzeptorkon-
zentration nA D 1  1016 cm3 im p-Gebiet und
der Donatorkonzentration nD D 4  1016 cm3
im n-Gebiet. Die Ladungsträgerkonzentrationen
sind in Abb. 1.80c dargestellt.
Weit weg vom Übergang sind die Majoritäts-
trägerdichten identisch mit den Störstellenkon-
zentrationen. So gilt für die Elektronendichte im
n-Gebiet nn0 D nD D 4  1016 cm3 und für
die Löcherdichte im p-Gebiet pp0 D nA D 1 
1016 cm3 . Die Minoritätsdichten in großem Ab-
stand vom Übergang werden nach den Gl. 1.106
und 1.110 berechnet. Für die Elektronendichte
im p-Gebiet ergibt sich np,0 D n2i =nA D 1;04 
104 cm3 ; für die Löcherdichte im n-Gebiet folgt
pn,0 D n2i =nD D 2;6  103 cm3 . Infolge des
großen Konzentrationsunterschieds diffundieren
Elektronen aus dem n- ins p-Gebiet sowie Löcher
vom p- ins n-Gebiet. Die Übergangszone verarmt
an beweglichen Ladungsträgern. Die minimale Abb. 1.80 pn-Übergang a p- und n-leitendes Silicium in
Ladungsträgerkonzentration in der Verarmungs- Kontakt, b Störstellenkonzentrationen c Konzentrationen
zone beträgt .n C p/min D 2ni ; in Si ist dies der beweglichen Ladungsträger d Raumladungsdichte e
elektrische Feldstärke f Potenzialverlauf
2;04  1010 cm3 .
Durch die Abwanderung der Löcher aus dem
p-Gebiet entsteht an dessen Rand durch die io- dungsdichte . Aufgrund der Ladungsneutralität
nisierten Akzeptoren, die nicht mehr durch die gilt für die Breiten dn und dp :
entsprechende Anzahl von Löchern kompensiert
werden, eine negative Raumladungszone (RLZ). dn  n D D dp  n A : (1.131)
Ebenso entsteht im n-Gebiet durch die positiven
Donatorrümpfe eine positive Raumladungszone. Wegen der positiven und negativen Raumladun-
Abbildung 1.80d zeigt den Verlauf der Raumla- gen entsteht ähnlich wie beim Plattenkondensator
1 Grundlagen der Elektrotechnik 81

ein elektrisches Feld und ein Potenzialgefälle Daraus folgt für die Breite des Übergangs
zwischen n- und p-Gebiet. Feldstärke E.x/ und
s
Potenzialverlauf '.x/ lassen sich durch Lösung 2"r "0 Ud nA C nD
der Poisson-Gleichung d D dn C dp D  : (1.134)
e nA  nD
d2 ' .x/
D Der Betrag der Diffusionsspannung kann aus
dx 2 "r "0
thermodynamischen Überlegungen berechnet
bestimmen. Eine erste Integration ergibt d'/dx D werden. Das Verhältnis der Elektronendich-
E.x/, also den Verlauf der elektrischen Feld- te im p-Gebiet np0 zu der im n-Gebiet nn0
stärke. Die Feldstärke hängt linear vom Ort x ab: wird bestimmt durch den Boltzmann-Faktor
(Boltzmann-Näherung der Fermi-Dirac-Vertei-
enA
E.x/ D .xp  x/ für xp x x0 lung)
"r "0
np0 n2i
D D eeUd =.kT / :
und nn0 nA nD
enD Daraus folgt für die Diffusionsspannung
E.x/ D .x  xn / für x0 x xn :
"r "0
kT nA nD
Die maximale Feldstärke an der Stelle x0 beträgt Ud D ln 2 : (1.135)
e ni
enD enA
Emax D E.x0 / D  dn D  dp : Die Größe kT =e D UT wird häufig als Tempe-
"r "0 "r "0
(1.132) raturspannung bezeichnet. Bei Raumtemperatur
Der Feldstärkeverlauf ist in Abb. 1.80e darge- (300 K) beträgt sie UT D 25;9 mV.
stellt.
Durch eine zweite Integration ergibt sich der Beispiel 1.8.3
parabolische Potenzialverlauf, der in Abb. 1.80f Für den in Abb. 1.80 dargestellten pn-
gezeigt ist: Übergang soll berechnet werden:
enA a) Diffusionsspannung Ud ,
'.x/ D .x  xp /2 b) Breite d der Raumladungszone, sowie dn
2"r "0
und dp ,
für xp x x0
c) Maximale Feldstärke Emax .
und
enD Lösung:
'.x/ D  .x  xn /2 a) Nach Gl. 1.135 ist Ud D 0;75 V.
2"r "0
e b) Mit "r D 11;8 folgt aus Gl. 1.134 d D
C .d 2 nD C dp2 nA / 0;35 m und mit Gl. 1.131 dn D 0;070 m
2"r "0 n
sowie dp D 0;28 m.
für x0 x xn :
c) Aus Gl. 1.132 folgt Emax D 4;3106 V=m.
Die Potenzialdifferenz Ud D '.xn /  '.xp / zwi-
schen n- und p-Gebiet wird als Diffusionsspan-
1.8.7.2 Strom-Spannungs-Kennlinie
nung bezeichnet (engl. built-in potential), weil sie
Die Verteilung der beweglichen Ladungsträger
infolge der Diffusion der beweglichen Ladungs-
und die Bandstruktur eines pn-Übergangs mit
träger entsteht. Für sie gilt:
und ohne angelegte Spannung sind in Abb. 1.81
e 1 dargestellt. Die linke Hälfte zeigt anschaulich
Ud D .dn2 nD Cdp2 nA / D  Emax .dn Cdp / : die räumliche Verteilung der Ladungsträger. Da-
2"r "0 2
(1.133) bei stellen die Kreise die ortsfesten ionisierten
82 E. Hering und R. Martin

Abb. 1.82 Löcherkonzentration pn .x/ im n-Gebiet bei


Anliegen einer Flussspannung

großen Sperrspannungen sättigt der Strom und


geht über in den Sperrsättigungsstrom IS . Die
Sperrschicht besitzt wie der Plattenkondensator
eine Kapazität, welche wie beim Plattenkonden-
Abb. 1.81 Verteilung der Ladungsträger und Bändermo-
dell beim pn-Übergang: a ohne äußere Spannung, b sator mit der Dicke zusammenhängt. Da nach
Spannung in Sperrrichtung (U < 0), c Spannung in Fluss- Gl. 1.134 die Sperrschichtbreite von der angeleg-
richtung (U > 0) ten Spannung abhängt, wird die Sperrschichtka-
pazität CS von der angelegten Sperrspannung U
abhängig:
Akzeptoren und Donatoren dar. Der graue Be-
reich symbolisiert das Gebiet der beweglichen
CS .Ud C jU j/1=2 : (1.136)
Elektronen, der rote das der Löcher. Das weiße
Gebiet zeigt die Raumladungszone (RLZ). Die
rechte Hälfte zeigt die Energiebänder in Abhän- Abbildung 1.81c zeigt die Verhältnisse im pn-
gigkeit der Ortskoordinate x. Abbildung 1.81a Übergang unter der Wirkung einer in Fluss-
beschreibt die Verhältnisse im pn-Übergang oh- richtung angelegten Spannung (U > 0). Die
ne äußere Spannung (U D 0). Das Fermi-Niveau anliegende Spannung U baut die Diffusions-
liegt im thermodynamischen Gleichgewicht über- spannung Ud ab, so dass die Bandverbiegung
all auf gleicher Höhe. In diesem Zustand haben kleiner wird. Die Breite der RLZ wird verrin-
alle Elektronen mit derselben Energie E an je- gert (in Gl. 1.134 wird Ud ersetzt durch Ud  U );
dem Ort dieselbe Aufenthaltswahrscheinlichkeit; die beweglichen Ladungsträger reichern sich in
es besteht also kein Zwang, den Ort zu wechseln. der Verarmungszone an und dringen in die be-
Die Bandkanten verschieben sich zwischen dem nachbarten Gebiete ein, wo sie mit den dortigen
n- und dem p-Gebiet um den Energiebetrag eUd . Majoritäten rekombinieren.
Legt man eine Sperrspannung an (U < 0), Zur Herleitung der Strom-Spannungs-
dann werden gemäß Abb. 1.81b die bewegli- Charakteristik wird ein pn-Übergang betrachtet,
chen Elektronen zum Pluspol und die Löcher zum der in Flussrichtung gepolt ist. Die Dichte pn der
Minuspol gezogen. Dadurch verbreitert sich die von der Raumladungszone sich ins n-Gebiet aus-
RLZ (in Gl. 1.134 wird Ud ersetzt durch Ud  U ). breitenden Löcher ist in Abb. 1.82 skizziert. Die
Es fließt nur ein geringer Sperrstrom, der darauf Löcherdichte am rechten Rand der RLZ (x D 0)
beruht, das Minoritäten an den Übergang dif- beträgt nach der Boltzmann-Statistik
fundieren und dort von dem starken elektrischen
Feld auf die andere Seite befördert werden. Bei pn .0/ D pn0 eeU=.kT / : (1.137)
1 Grundlagen der Elektrotechnik 83

Abb. 1.83 Diodenkennlinie nach Shockley, IS D 1 pA: a Koordinatenursprung vergrößert, b Gleichrichterverhalten


bei größeren Spannungen und Strömen

Die Überschussdichte pn D pn  pn0 nimmt Auch dieser Strom ist näherungsweise durch
nach Gl. 1.129 exponentiell ins n-Gebiet hinein die ganze RLZ hindurch konstant. Die gesamte
ab: Stromdichte im pn-Übergang ist damit

pn .x/ D pn .x/  pn0 D .pn .0/  pn0 / ex=Lp :


J D Jn C Jp
 
Damit ergibt sich ein Löcherstrom (Diffusions- Dn np0 Dp pn0
De C .eeU=.kT /  1/ :
strom) von der Raumladungszone weg in das Ln Lp
n-Gebiet hinein. Für die Stromdichte unmittelbar
am Rand der RLZ gilt nach Gl. 1.126: Für den Strom gilt entsprechend
ˇ
d.pn / ˇˇ  eU 
Jp .0/ D eDp
dx ˇxD0 I D IS e kT  1 ; (1.138)
pn .0/  pn0
D eDp
Lp mit dem Sperrsättigungsstrom
oder mit Gl. 1.137  
Dn np0 Dp pn0
eDp IS D JS A D eA C : (1.139)
Jp .0/ D pn0 .eeU=.kT /  1/ : Ln Lp
Lp

Unter der Voraussetzung, dass die Raumladungs- Diese Ableitung der Strom-Spannungs-Kennlinie
zone dünn ist im Vergleich zur Diffusionslän- geht zurück auf W. S HOCKLEY (1910 bis 1989).
ge der Ladungsträger, fließt dieser Löcherstrom Abbildung 1.83 zeigt Darstellungen der Gl. 1.138
nicht nur am Rand der RLZ, sondern durch die wobei der Ordinatenmaßstab in Abb. 1.83a in pA,
ganze RLZ praktisch unverändert. Etwaige Re- in Abb. 1.83b in mA gewählt wurde. In beiden
kombinationen in der RLZ werden also vernach- Fällen ist IS D 1 pA. Abbildung 1.83b zeigt, dass
lässigt. bei Erreichen einer bestimmten Spannung, die
Analog zu den obigen Überlegungen ergibt in der Größenordnung der Diffusionsspannung
sich für den Elektronenstrom, der vom linken liegt und auch als Knick- oder Schleusenspan-
Rand der RLZ ins p-Gebiet fließt nung bezeichnet wird, ein starker Vorwärtsstrom
fließt. Der Sperrsättigungsstrom IS liegt in der
eDn Größenordnung von pA bei Silicium und µA bei
Jn .0/ D np0 .eeU=.kT /  1/ :
Ln Germanium.
84 E. Hering und R. Martin

legten Spannung U fällt nur noch der Anteil


10 10 ideale Kennlinie UD D U  IR an der Diode ab, was zu einem
I weiteren Abflachen der Kennlinie führt.
IS
10 8 Einfluss der Aus den genannten Gründen wird die
starken Injektion
und des
Kennlinie einer Diode im Durchlassbereich
Serienwiderstands häufig geschrieben als
10 6

 
10 4 I D IS eU=.mUT /  1
Einfluss der (1.140)
Rekombination  IS eU=.mUT / ;
10 2 in der RLZ

10 0
wobei der Emissionskoeffizient folgende Wer-
te annimmt: 1 m 2.
0 0,2 0,4 0,6 0,8 U / V In Sperrrichtung ist der Strom höher als der
idealisierte Sättigungssperrstrom wegen stän-
Abb. 1.84 Typische Kennlinie einer Silicium-Diode (rot)
diger Generation neuer Ladungsträger in der
im Vergleich zur Kennlinie einer idealen Diode nach
Shockley. Aufgetragen ist der Strom I bezogen auf den ausgeräumten Raumladungszone. Bei großen
Sättigungssperrstrom IS auf einer logarithmischen Achse Spannungen in Rückwärtsrichtung kann es
gegen die Spannung U zu einem Durchbruch kommen. Das bedeu-
tet, dass ab einer bestimmten Sperrspannung,
der Durchbruchspannung, der Sperrstrom steil
Beispiel 1.8.4 ansteigt. Dies beruht zum einen auf dem
Wie groß ist die Sperrsättigungsstromdichte Zener-Effekt, wobei nach Abb. 1.85a infolge
einer Si-Diode mit nD D 4  1016 cm3 und der großen Feldstärke in der Raumladungs-
nA D 1  1016 cm3 ? zone Elektronen aus dem Valenzband des p-
Materials waagrecht über die verbotene Zo-
ne ins Leitungsband des n-Materials gezogen
Lösung: werden (tunneln). Der Zener-Effekt tritt be-
Mit den Daten von Tab. 1.10 und Gl. 1.139 vorzugt bei stark dotierten Dioden auf und
ergibt sich JS D 1;14  1011 A=cm2 . Ist die kann dort schon bei wenigen Volt Sperrspan-
Diodenfläche A D 1 mm2 , so ist der Sperrsät- nung einsetzen. Der zweite Mechanismus, der
tigungsstrom IS D 0;11 pA. zum Durchbruch führt, ist in Abb. 1.85b dar-
Die reale Kennlinie einer Diode weicht in gestellt. Ein Elektron bewegt sich bei großer
einigen Punkten, die in Abb. 1.84 dargestellt elektrischer Feldstärke so schnell, dass es bei
sind, von der idealen Shockley-Kennlinie nach einem Zusammenstoß mit dem Gitter einen
Gl. 1.138 ab. Bei kleinen Strömen ist die Re- Teil seiner Energie abgeben und ein neues frei-
kombination in der Raumladungszone und der es Elektron-Loch-Paar erzeugen kann. Diese
dadurch entstehende Beitrag zum Strom nicht Ladungsträger werden in gleicher Weise be-
mehr vernachlässigbar. Dadurch hängt hier der schleunigt und können ihrerseits neue freie
Strom proportional zu exp.eU=.2kT // von Paare schaffen, so dass der Strom lawinenartig
der Spannung ab. Bei großen Strömen wächst anwächst. Beide Effekte weisen eine gegen-
der Strom ebenfalls exp.eU=.2kT // weil läufige Temperaturabhängigkeit der Durch-
bei starker Injektion die Majoritätsträgerdichte bruchspannung Uz (Z-Spannung) auf. Bei Si-
ansteigt. Schließlich kann bei großen Strö- Dioden mit Uz D 5;6 V lässt sich die beste
men der Einfluss des Bahnwiderstandes nicht Temperaturkonstanz der Durchbruchspannung
mehr vernachlässigt werden. Von der ange- erzielen.
1 Grundlagen der Elektrotechnik 85

Abb. 1.85 Durchbruch des pn-Übergangs: a Zener-Effekt, b Lawinenmultiplikation

1.8.7.3 Temperaturabhängigkeit Für T D 300 K und m D 1 ergibt sich bei ei-


der Diodenparameter ner Spannung von U D 0;6 V für Silicium ein
Der Sperrsättigungsstrom ist nach Gl. 1.139 pro- Anstieg von 7,6 %=K.
portional zu np0 D n2i =nA und pn0 D n2i =nD , Die I -U -Kennlinie einer Diode verschiebt
weshalb er zum Quadrat der intrinsischen Träger- sich mit steigender Temperatur nach links,
dichte proportional ist: IS n2i . Er ist deshalb d. h. zu kleineren Spannungen. Die Abnahme
wie die Eigenleitungsdichte ni stark von der Tem- der Diodenspannung mit steigender Temperatur
peratur abhängig: kann z. B. dadurch berechnet werden, dass man
Gl. 1.140 nach U auflöst und dann U nach
IS T 3 eEg =.kT / : (1.141) der Temperatur T ableitet. Für konstanten Strom
folgt aus
Der relative Temperaturkoeffizient des Sperr- I
U D mUT ln (1.144)
stroms beträgt IS
dIS 1 3 Eg 3 Eg der Temperaturkoeffizient (TK)
 D C D C ˇ
dT IS T

kT 2

T eUT T dU ˇˇ 1
1 Ug
(1.142)
ˇ D .U  mUg  3mUT / : (1.145)
D 3C dT I Dconst T
T UT
Für Siliciumdioden ergibt sich mit m D 1 bei
mit Ug D Eg =e als der Bandabstandsspannung T D 300 K und U D 0;7 V ein TK von
(gap voltage). Bei T D 300 K ergibt sich daraus 1;63 mV=K. Die Durchlassspannung von Si-
für Silicium ein Anstieg von 15 %=K. Dioden nimmt also um etwa 100 mV ab pro
Wenn der Sperrsättigungsstrom von der Tem- 60 K Temperaturanstieg. Dieser Effekt kann aus-
peratur abhängt, dann wirkt sich das nach genutzt werden, um in integrierten Schaltkreisen
Gl. 1.140 auch auf den Flussstrom aus. Für des- die Temperatur zu messen.
sen relativen Temperaturkoeffizienten ergibt sich

dI 1 3 Eg eU 1.8.8 Zur Übung


 D C 
dT I T  kT 2 mkT 2 
(1.143)
1 Ug U Ü 1-8-1 Durch einen quaderförmigen Germani-
D 3C  :
T UT mUT um-Kristall mit der Stirnfläche A D 2 mm2 und
86 E. Hering und R. Martin

der Länge l D 10 mm fließt in Längsrichtung ein nung an, dass die Beweglichkeit sich nicht mit
elektrischer Strom. der Temperatur ändert.
a) Wie groß ist der Widerstand R des undo- b) Welche Temperatur herrscht in einem Kryo-
tierten Halbleiters bei der Temperatur T D staten, in dem der Widerstand des Sensors
250 K unter Berücksichtigung der Tempera- R D 15 M beträgt?
turabhängigkeit der Beweglichkeit? Die Tem-
peraturabhängigkeit des Bandabstandes soll Ü 1-8-4 Ein kleiner Si-Kristall wird beleuchtet.
nicht berücksichtigt werden. Der Kristall ist mit nA D 1014 cm3 Akzeptoren
b) Wie groß ist der Widerstand, wenn die Tem- dotiert. Die Generationsrate neuer Ladungsträ-
peraturabhängigkeit des Bandabstandes be- gerpaare beträgt gn D gp D 1019 cm3 s1 .
rücksichtigt wird? a) Welche Konzentration der Minoritäten stellt
c) Der Kristall sei mit Akzeptoren der Konzen- sich bei Beleuchtung ein, wenn vorausge-
tration nA D 1014 cm3 dotiert. Berechnen setzt wird, dass sich die generierten Träger
Sie den Widerstand bei der Temperatur T D gleichmäßig im Kristall verteilen und die Le-
300 K. Die Dotierungskonzentration ist so ge- bensdauer n D l s beträgt?
ring, dass die Beweglichkeiten n und p b) Wie groß ist der spezifische Widerstand l bei
für eigenleitendes Material verwendet werden Beleuchtung und d bei Dunkelheit?
können. c) Berechnen Sie den spezifischen Widerstand
zurzeit t D 1 s nach Abschalten der Licht-
Ü 1-8-2 Ein Halbleiterkristall besteht aus Silici- quelle.
um, dotiert mit Arsen der Konzentration nD D
2  1017 cm3 . Die Molmasse von As ist M D Ü 1-8-5 Ein GaAs-Kristall wird an der Stirn-
74;9 g=mol. fläche beleuchtet. Der Kristall ist mit Donatoren
3
a) Wie viel µg Arsen ist in einem cm Silicium der Konzentration nD D 10 cm dotiert. In-
18
3

enthalten? folge der Beleuchtung entsteht unmittelbar an


b) Wie viele Si-Atome kommen auf ein As- der16 Oberfläche die Löcherkonzentration ps D
3
Atom? 10 cm .
c) Wie groß ist der mittlere Abstand der As- a) Wie groß ist die Diffusionskonstante Dp der
Atome voneinander? Löcher bei T D 300 K?
d) Wie groß ist der spezifische Widerstand  bei b) Wie groß ist die Diffusionslänge Lp der Lö-
der Temperatur T D 300 K? cher bei einer Lebensdauer von p D 10 ns?
c) In welcher Entfernung x von der Oberflä-
che ist die Löcherkonzentration auf p D
Ü 1-8-3 Ein dotierter Germanium-Kristall soll 1014 cm3 abgeklungen?
als Temperatursensor bei tiefen Temperaturen
verwendet werden. Zur Kalibrierung misst man Ü 1-8-6 Ein pn-Übergang in Silicium ist auf der
seinen Widerstand bei der Temperatur des flüs- n-Seite mit n D 1017 cm3 und auf der p-Seite
D
sigen Heliums und des flüssigen Wasserstoffs. mit n D 1014 cm3 dotiert.
A
Folgende Werte wurden gemessen: a) Wie groß ist die Diffusionsspannung U ? d
b) Berechnen Sie die Breite d der Raumladungs-
R.4;2 K/ D 538 k; R.20;4 K/ D 0;45  : zone; wie breit ist die Ausdehnung dp ins
p-Gebiet und dn ins n-Gebiet?
a) Bestimmen Sie die Ionisationsenergie der c) Wie groß ist die maximale Feldstärke Emax ?
Störstelle. Mit welcher Substanz wurde der d) Die Minoritätslebensdauer sei  D 1 s. Wie
Halbleiter dotiert? Nehmen Sie zur Berech- groß ist die Sperrsättigungsstromdichte JS ?
1 Grundlagen der Elektrotechnik 87

Basismaterialien für gedruckte Schaltungen

Unverstärkt Glasgewebeverstärkt Sondermaterialien

Polyimid (PI) Standard-Epoxy Teflon

Polyethylennaphtalat (PEN) Hochtemperatur-Epoxy Keramik

Polyethylenterephtalat (PET) Halogenfreies Epoxy Metal Clad Substrates (MCS)

Harzbeschichtete Kupferfolien Hochfrequenz-Systeme Semiflex

Polyimid(PI) Wärmeleiähige Substrate

BismaleimideTriazine

Abb. 1.86 Übersicht über Basismaterialien für gedruckte Schaltungen (nach Manfred Cygon, Firma Isola GmbH)

1.9 Herstellung kompletter 1.9.1 Leiterplatten


Schaltungen
Das Basismaterial einer Leiterplatte dient dazu,
Die elektronischen Bauteile einer Schaltung müs- die Bauelemente zu tragen und durch Stromfüh-
sen mechanisch gehalten und elektrisch mitein- rungen zu verbinden. Die Trägermaterialien ent-
ander verbunden werden. Hierzu dient häufig scheiden in hohem Maße über die mechanischen,
eine gedruckte Schaltung. Das ist eine Platte aus elektrischen, thermischen und hochfrequenztech-
Isolierstoff, auf der alle Bauteile befestigt und nischen Eigenschaften sowie über den Preis. Die
durch dünne Kupferbahnen miteinander verbun- Kunststoffträger müssen alle flammwidrig (engl.:
den sind. Für die unterschiedlichsten Anwen- FR: Flame Retardant) sein. Für flexible Schal-
dungsfelder gibt es entsprechende Basismateria- tungen wird Glasgewebe mit Polyesterharz, für
lien, wie Abb. 1.86 zeigt. Dabei unterscheidet hochfrequente Anwendungen wird Glasgewebe
man insbesondere zwischen unverstärkten Ma- mit Teflon eingesetzt und keramische Materiali-
terialien, glasgewebeverstärkten Materialien und en (Al2 O3 -Keramik) für Mikrowellenanwendun-
Sonderwerkstoffen, zu denen auch die Keramik gen. In Tab. 1.11 sind die Eigenschaften und die
gehört. Anwendungsgebiete vergleichend gegenüberge-
Auf den Leiterplatten, die als Träger der Bau- stellt.
teile und zur Stromführung dienen, werden die Abbildung 1.87 zeigt die Herstellung von Ba-
Bauelemente als oberflächenmontierbare Bautei- sismaterialien für eine Leiterplatte in folgenden
le (OMB; engl.: SMD: Surface Mounted Devi- vier Schritten:
ces) aufgelötet bzw. aufgeklebt. Für das Kleben 1. Bereitstellung der Rohstoffe: Gewebe, Harz
der SMDs mit Leitklebern ist eine große ferti- und Kupferfolie sowie Vorbereitung der Harz-
gungstechnische Erfahrung notwendig. rezeptur.
Tab. 1.11 Trägerwerkstoffe für Leiterplatten, ihre Eigenschaften und Anwendungsfelder
88

Eigenschaften Trägerwerkstoff
FR 2 Hartpapier/ FR 3 FR 4 FR 5 Mylarfolie Glas/Teflon Aluminium-
Phenolharz Hartpapier/ Glasgewebe/ wie FR 4, Polyesterharz oxid/
Epoxidharz Epoxidharz wärmebeständig (flexibel) Keramik
Elektrische Volumen- 2  1010 1012 1012 1012 1016 2  1013 1014
Eigenschaften widerstand  cm
Oberflächen- 2  108 1011 1011 1011 1014 3  1014 1012
widerstand 
Permittivitätszahl 5,0 4,9 4,7 4,6 3,3 2,2 8
"r (1 MHz)
Dielektrischer 0,05 0,041 0,019 0,016 0,0025 0,0028 0,0022
Verlustfaktor
tanı (1 MHz)
Kriechstrom- 200 300 200 bis 400 300 300 300 500
Festigkeitsstufe
Thermische maximale Be- 105 110 130 170 130 340 400
Eigenschaften triebstemperatur
ı
C
thermische Leit- 0,15 0,35 0,25 bis 0,35 0,25 bis 0,35 0,15 0,25 21
fähigkeit W/(mK)
thermischer 13 bis 17 12 bis 16 vom Aufbau vom Aufbau 6 bis 10 6 bis 10 5 bis 7
Ausdehnungs- abhängig abhängig
koeffizient 106 /K
in x-, y-Richtung
Feuchteabsorp- 0,2 0,5 0,2 0,5 0,2 0,2 keine
tion %
Kosten 0 C0 C C CC CCC CC
Eigenschaften gut stanzbar wie FR 2 durchkontaktierbar wie FR 4 gute mechanische, geringe Verluste mechanisch
nur einmal lötbar bessere elek- mehrfach lötbar bessere elek- elektrische, thermi- bei Hochfrequenz ähnlich zu
bedingt bleifrei trische und gutes bleifreies trische und sche Eigenschaften Mechanisch rela- FR4
lötbar mechanische Löten möglich thermische Ei- hochflexibel tiv instabil Geringe di-
nicht durch- Eigenschaften genschaften Einlagerung von Relativ flexibel elektrische
kontaktiert Wasser Verluste
Anwendungsgebiete billige Massen- billige Massenwa- hochwertige In- Industriequalität flexible Leiterplat- Hochfrequenz Mikrowellen
ware (TV, Radio) re (TV, Radio) dustriequalität Höherer Tem- ten Anwendungen Anwendun-
(EDV) peraturbereich Starre Leiterplatten gen
Bessere mechani- für hohe Tempera-
E. Hering und R. Martin

sche Festigkeit turen


1 Grundlagen der Elektrotechnik 89

Abb. 1.87 Herstellung des Basismaterials für eine Leiterplatte (Werkfoto: RUWEL International)

2. Imprägnierung des Gewebes mit dem Harz. dieser Richtlinie nicht mehr eingesetzt werden.
Dadurch entsteht ein Prepreg (vorimprägnier- Dadurch haben sich für bleifreies Löten die Tem-
tes Bauteil). peraturen etwa um 40 ı C erhöht (Löttemperatur
3. Eintafeln der Kupferfolien, Pressen der Kup- von Reinzinn), so dass Bauteile und Steckverbin-
ferfolien in die Gewebeschichten und Austa- dung höhere Temperaturfestigkeiten aufweisen
feln. müssen. Verwendet werden Legierungen mit >
4. Endbearbeitung des Basismaterials (z. B. Zu- 95 % Sn, Rest Cu und Ag.
schnitte, Kantenbearbeitung, Bohren). Für komplexere Schaltungen reichen zwei
Leiterplatten werden speziell für die jeweili- Leiterbahnebenen nicht mehr aus, so dass Mehr-
ge Schaltung maßgeschneidert hergestellt. Wie ebenen-Leiterplatten oder Multilayer hergestellt
Abb. 1.88 zeigt, können sie einseitig oder zwei- werden müssen. Wichtige elektrische Eigen-
seitig mit Leiterbahnen versehen sein und die Lö- schaften wie Gleich- und Wechselstromwider-
cher auch durchkontaktiert werden. Diese Durch- stand sind einfacher festzulegen. Die Möglich-
kontaktierungen werden PTH (Plated Through keit, Masse- und Stromversorgungsleitungen in
Hole) oder Vias genannt und sind Bohrungen von verschiedene Ebenen zu legen, bietet eine ver-
0,3 mm bis 1 mm Durchmesser, die innenwandig besserte elektrische Störfestigkeit (z. B. geringes
mit Kupfer oder anderen gut leitfähigen Metall- Übersprechen von Signalleitungen) und die Mög-
schichten versehen sind. lichkeit der besseren Wärmeabfuhr. Zu diesem
Seit Juli 2006 ist die EU-Richtlinie 2002/95/ Zweck kann sogar ein spezieller innerer Me-
EWG (RoHS) zur Beschränkung der Verwen- tallkern (z. B. aus Cu/Invar; insbesondere zur
dung bestimmter gefährlicher Stoffe in Elektro- Temperaturkompensation) dienen, der allerdings
und Elektronikgeräten in Kraft. Blei darf nach sehr teuer ist. Die Komponenten- und Leiter-
90 E. Hering und R. Martin

Abb. 1.89 Schematischer Aufbau einer Mehrebenen-


Leiterplatte (Multilayer). Werkfoto: Philips Components

Die häufigsten Multilayer sind Vierebenen-


und Achtebenen-Leiterplatten. Bis zu 48 Ebe-
nen sind heute technisch beherrschbar. Abbil-
dung 1.89 zeigt den Aufbau einer Mehrebenen-
Leiterplatte.
Die Standardgröße von Leiterplatten liegt
bei verschiedenen Abmessungen. Typisch ist
die Europa-Karte mit 100 mm × 160 mm bzw.
doppeltes Europakartenformat mit 160 mm ×
Abb. 1.88 Verschiedene Typen von Leiterplatten: a ein- 233 mm (DIN EN 60297-3-101). Die Plattendi-
seitig, b doppelseitig, c Löcher durchkontaktiert, d cken variieren von 0,6 mm bis 3,2 mm (typisch
Mehrebenen-Leiterplatte
sind 1,5 mm bis 1,6 mm). In der Praxis sind
Leiterbahnbreiten und -abstände von 0,1 mm bis
0,5 mm üblich sowie Bohrlochdurchmesser von
etwa 0,1 mm. Es ist aber auch möglich, mittels
bahndichte kann wesentlich erhöht werden, wenn Laser Bohrlochdurchmesser von 50 µm herzu-
im Innern des Multilayers bestimmte Ebenen stellen. Die Kupferschichten sind meistens 18 µm
miteinander verbunden werden, und zwar durch bzw. 35 µm dick. Je schmaler die Leitungen und
von außen nicht sichtbare Kontaktlöcher (buried die Abstände sind, desto dünner muss die Kupfer-
holes). Ein Multilayer sollte außen die Signal- bahn sein, da mit zunehmender Kupferdicke die
ebenen besitzen und im Innern nur Masse und Konturen ungenauer werden. In Ausnahmefällen
C5 V. Wenn die beiden äußeren Signalebenen werden die Leitungen auch galvanisch verstärkt,
nicht ausreichen, sollten weitere Signalebenen im wobei grobe Leitungsstrukturen Voraussetzung
Inneren angelegt werden. Beim Schaltungsent- sind.
wurf ist äußerst diszipliniert vorzugehen, weil Das Leiterplatten-Layout wird mit speziellen
Fehler in Multilayern nachträglich nur schwierig EDA-Systemen (EDA: Electronic Design Auto-
zu beheben sind. mation) vom Entwurf bis zum Test entwickelt.
1 Grundlagen der Elektrotechnik 91

Tab. 1.12 Trägermaterialien für Streifenleiter und ihre elektrischen Eigenschaften


Trägermaterial
Dielektrische Eigen- Teflon Polyethersulfon Epoxid/ HF-
schaften Glasgewebe Material mit
Glasgewebe
Rein Glas Keramik Rein Wirrglas
Permittivitätszahl "r 2;06 C 0;05 2;25 C 0;02 6 bis 10 C 3,5 4,2 4 bis 5 3
im Frequenzbereich 0;25
102 Hz bis 10 GHz 10 GHz 1 MHz 1 MHz 1 MHz 10 GHz
1010 Hz
Verlustfaktor tan ı 6  104 9  104 15  104 50  104 20  104 200  104 35  104
im Frequenzbereich
10 GHz 10 GHz 10 GHz 1 MHz 1 MHz 1 MHz 10 GHz

Dabei wird neben dem Stromlaufplan, der Plat- nische Schaltungen immer kleiner zu bauen, d. h.
zierung der Bauelemente und der Entflechtung die Bauteile dichter zu packen, und andererseits
der elektrischen Verbindungen auch die Belast- möglichst wirtschaftlich zu produzieren, haben
barkeit durch die Stromdichte in den Leiterbah- dazu geführt, die Verbindungsdrähte zwischen
nen berücksichtigt. dem Bauteil und der Leiterplatte wegzulassen
und das Bauteil direkt auf der Leiterplatte zu be-
festigen. Dadurch werden folgende Arbeitsgänge
1.9.2 Streifenleiter eingespart:
 An den Bauteilen müssen keine Abschluss-
Streifenleiter (engl.: strip line) sind Designele- drähte befestigt, gebogen und abgeschnitten
mente innerhalb einer Leiterplatte, denen der Trä- werden;
gerwerkstoff und die Anordnung der Leiterbah-  auf der Leiterplatte müssen keine Löcher ge-
nen als elektrisch wirksame Bauelemente (z. B. bohrt werden, wodurch die Kupfereinsparung
Induktivitäten oder Kapazitäten) in die Schaltung erheblich ist;
einbezogen werden. Deshalb sind die elektri-  es wird viel Platz gespart und die Bestückung
schen Eigenschaften der verwendeten Materiali- kann automatisch erfolgen, was die Fehler ver-
en (z. B. die Permittivitätszahl "r des Trägerwerk- ringert und Kosten spart.
stoffs) sowie die Abmessungen der Leiterbahnen
Aufgabe der SMT ist es, eine Leiterplatte
und deren Toleranzen von besonderer Bedeu-
so zu bestücken, dass die technischen Kenn-
tung. Anwendung finden die Streifenleiter in der
werte und die wirtschaftlichen Randbedingungen
Hochfrequenztechnik (Abschn. 4.3). Tabelle 1.12
erfüllt werden. Um diese komplexe Anforde-
zeigt die eingesetzten Trägermaterialien und ih-
rung erfüllen zu können, muss man die SMT
re elektrischen Eigenschaften im Vergleich zu
als technisches Gesamtsystem betrachten, das im
Glas/Epoxid.
Wesentlichen aus folgenden drei Teilen besteht
(Abb. 1.90):
1. SMD-Bauelemente und Leiterplatte,
1.9.3 SMT
2. Bestückungssystem und
(Surface Mounted Technology)
3. Fertigungsverfahren einschließlich Qualitäts-
sicherung.
Die Fertigungstechnologie, Bauelemente direkt
auf der Oberfläche einer Leiterplatte zu befesti- Die bekannten elektrischen passiven (Ab-
gen, wird als SMT (Surface Mounted Technology) schn. 2) und aktiven Bauelemente (Abschn. 3)
bezeichnet. Die Forderungen, einerseits elektro- (z. B. Widerstände, Kaltleiter, Heißleiter, Kon-
92 E. Hering und R. Martin

Tab. 1.13 SMT und herkömmliche Bestückung im Ver-


gleich
Vorteile Miniaturisierung
kleinere Bauelemente
Zweiseiten-Bestückung
bis zur Hälfte weniger Gewicht
Verringerung der Kosten
kleinere Leiterplattenformate bzw. weni-
ger Leiterplatten
weniger Bohrlöcher für bedrahtete Bau-
elemente
geringere Bestückungskosten wegen
hoher Bestückungsgeschwindigkeit (bis
100.000 SMDs=h)
drei- bis fünfmal höhere Produktivität
Wegfall von Vorbereitungsarbeiten (z. B.
Sichern, Schneiden und Biegen von An-
schlussdrähten)
Abb. 1.90 SMT als technisches Gesamtsystem hohe Bestückungssicherheit (kaum
Nacharbeit)
Höhere Qualität
 höhere Qualität der SMD-Bauteile
 höhere Zuverlässigkeit im Betrieb
Günstigere HF-Eigenschaften
Wegfall parasitärer Induktionen (An-
schlussdrähte)
bessere Kapazitäten (kleinere Abmessun-
gen)
Nachteile hohe Investitionskosten
hohe Rüstkosten
hohe Einmalkosten (Programmierkosten)
keine Widerstände mit hohen Leistungen
(> 1 W) montierbar
Steckerbefestigungen und Anbringen von
LLCC (Leadless Chip Carrier) schwierig
Abb. 1.91 Gurte für SMD-Bauteile (Werkfoto: Philips Probleme bei der Befestigung, wenn
Components) Ausdehnungskoeffizienten der Leiterplat-
te und der Bauteile zu unterschiedlich

densatoren, Spulen, Übertrager oder Dioden,


Transistoren, Speicher und IC) sind meist SMD-
Bauteile. Für Neuentwicklungen stellt die Indus- Die Gurte erfüllen die Anforderungen nach
trie fast nur noch SMD-Bauteile zur Verfügung, einer störungsfreien und kontinuierlichen Zu-
da bedrahtete Teile in der Durchstecktechnik führung zum Bestückungsautomaten, nach im-
(THT: Through Hole Technology) nur noch we- mer gleicher Orientierung in der Verpackung,
nig nachgefragt werden. nach Standardisierung, nach produktionsgerech-
Für die automatisierte Bestückung mit SMD- ten Mengen je Packungseinheit und nach Schutz
Bauteilen kommt der Anlieferform große Bedeu- beim Transport.
tung zu. Durchgesetzt haben sich Gurte nach Tabelle 1.13 zeigt die Vor- und die Nachteile
DIN EN 60286-3 mit den Breiten von 8, 12, 16 der SMT im Vergleich zur herkömmlichen Be-
und 24 mm (Abb. 1.91). stückung mit bedrahteten Bauteilen.
1 Grundlagen der Elektrotechnik 93

 für Teilschaltungen in hoher Stückzahl vor al-


lem im Automobilbau und
 bei engen Raumverhältnissen.

1.9.5 Dünnschicht-Technologie

Mit Hilfe der Dünnschicht-Technologie ist es


möglich, noch kleinere Schaltungen oder noch
höhere Speicherdichten zu bauen, wobei die
Betriebssicherheit gleichzeitig gesteigert werden
kann. Wesentliche Einsatzgebiete sind in der
Feinstleitertechnik (etwa 0,1 µm Dicke), der Sen-
sorik im Niedrigkosten-Bereich (z. B. Dehnmess-
Abb. 1.92 Dickschichtschaltung in mehreren Lagen mit streifen), der Integrierten Optik (z. B. optische
Halbleiterchips (Werkfoto: ANT)
Bauelemente und Schaltungen, wie ein Faserkrei-
selkompass) und der Hochfrequenztechnik (Ab-
schn. 4.3).
1.9.4 Dickschicht-Technologie In der Dünnschicht-Technik werden dünne
Schichten (Abmessungen bis 2 µm Breite und
Schaltungen in Dickschicht-Technik gehören zu 0,01 µm bis 3 µm Dicke) aus Metallen oder Isola-
den integrierten Schichtschaltungen, weil we- tionswerkstoffen auf Trägermaterialien (Substra-
sentliche Teile der Schaltung (z. B. Leiterbah- te) wie Kunststoffe, Glas, Keramik oder Silicium
nen, Widerstände und bedingt Kondensatoren) aufgebracht. Als Substrat beim Einsatz in der
als Schichten ausgebildet sind (Abb. 1.92). Als Hochfrequenztechnik verwendet man meist wie
Substrat dient das keramische Trägermaterial in der Dickschicht-Technik Al2 O3 , auf das man
Aluminiumoxid Al2 O3 , das eine gute Wärmeleit- eine 0,02 µm dicke Metallschicht aus NiCr-Ni
fähigkeit und eine hohe Temperatur- und Korro- in Hochvakuumanlagen durch Kathodenzerstäu-
sionsbeständigkeit aufweist. bung oder thermische Verdampfung aufbringt.
Dickschichtschaltungen zeichnen sich durch Anschließend trägt man nach dem Fotolithogra-
folgende Vorteile aus: fieverfahren und durch galvanische Abscheidung
 sehr großer Widerstandsbereich, die Leiterbahnschichten (meist Au) auf. Häufig
 kleine parasitäre Kapazitäten, sind noch Haft- oder Diffusionssperrschichten
 enge Toleranzen durch den statischen und dy- einzulegen. Als Widerstandschicht dient TaN und
namischen Laserabgleich, NiCr mit unterschiedlich einstellbarem Flächen-
 hohe elektrische Stabilität und widerstand.
 gute Wärmeleitfähigkeit. Die wesentlichen Vorteile der Dünnschicht-
Die Vorteile der Dickschicht-Technik liegen technik sind:
in der Zuverlässigkeit und in der Kompaktheit  hohe Widerstandspräzision,
der Geräte. Aus diesem Grunde sind die An-  guter thermischer Gleichlauf der Widerstände,
wendungsbereiche sehr vielfältig. Einige seien  sehr hohe Packungsdichten und
beispielhaft genannt:  sehr gute Hochfrequenzeigenschaften.
 in der kommerziellen Technik bei hoher Zu- Abbildung 1.93 zeigt eine Dünnschichtschal-
verlässigkeit und hoher Verlustleistung (z. B. tung mit SMD-Bauteilen.
Geräte für größere Übertragungskapazitäten Die Dünnschicht-Technik wird für die Elek-
bei Breitbandsystemen); tronik zunehmend wichtiger. So stellt man u. a.
94 E. Hering und R. Martin

1.10 Weiterführende Literatur

 Baumann, P.: (2015) Ausgewählte Sensor-


schaltungen. Vom Datenblatt zur Simulation.
Wiesbaden: Springer Vieweg.
 Beetz, B. (2008): Elektroniksimulation mit
PSPICE. Analoge und digitale Schaltungen
mit ausführlichen Simulationsanleitungen. 3.,
verbesserte und erweiterte Auflage. Wiesba-
den: Friedr. Vieweg & Sohn Verlag |GWV
Fachverlage GmbH Wiesbaden. Online ver-
fügbar unter http://dx.doi.org/10.1007/978-3-
8348-9450-2.
Abb. 1.93 Dünnschichtschaltung mit SMD-Bauteilen
 Clausert, H., Wiesemann, G.: (2011) Grund-
(Werkfoto: ANT)
gebiete der Elektrotechnik Bd. 1 u. 2; 11.
Auflage, München: Oldenbourg Verlag.
auch hochpräzise Widerstände aus einer dünnen  Ebinger, A., Adam, V.: (1994) Komplexe Rech-
Platinschicht auf einem Keramiksubstrat her. Fer- nung in der Wechselstromtechnik, 5. Auflage,
ner ist es möglich, die dünnen Schichten nicht nur Heidelberg: Hüthig Verlag.
aufzubringen, sondern sie mit Hilfe der Fotoli-  Föllinger, O.: (2011) Laplace- und Fourier-
tografie auch zu strukturieren. Auf diese Weise Transformationen. 10. Auflage, Elitera Verlag.
kann man Strukturen bis zu Dicken von Atomla-  Goßner, S.: (2011) Grundlagen der Elektro-
gen (< 1 nm) erzeugen. Mit der Dünnfilmtech- nik, Halbleiter, Bauelemente und Schaltungen.
nik werden häufig auch Sensorelemente herge- Shaker Verlag.
stellt.  Hering, E., Martin, R., Gutekunst, J., Kemp-
kes, J.: (2017) Elektrotechnik und Elektronik
für Maschinenbauer, 3. Auflage, Springer Ver-
1.9.6 Trägermaterial mit lag.
eingebetteten Bauteilen  Hagmann, G.: (2010) Grundlagen der Elektro-
technik. Aula-Verlag.
Um die Forderungen nach kleineren, leichteren  Lindner, H., Brauer, H., Lehmann C.: (2008)
und dünneren elektronischen Geräten zu erfüllen, Taschenbuch der Elektrotechnik und Elektro-
wie sie vor allem bei Handys und medizinischen nik, 9. Auflage, Hanser Verlag.
Produkten (z. B. Hörgeräte) gestellt werden, kön-  Meinke, H.H.: (2008) Taschenbuch der Hoch-
nen Bauteile in die gedruckte Leiterplatte einge- frequenztechnik. 5. Auflage, Springer Verlag.
bettet werden. Derzeit werden vor allem passive  Müller, R.: (1995) Grundlagen der Halbleiter-
(Kap. 2) und aktive (Kap. 3) Bauelemente in das Elektronik. In: Halbleiter-Elektronik. Bd. 1; 7.
Trägermaterial eingebettet. Die entsprechenden Auflage. Berlin: Springer Verlag.
Prüfverfahren für eingebettete passive und aktive  Sauer, R.: (2009) Halbleiterphysik. München:
Bauelemente sind in DIN EN 62326-15 festge- Oldenbourg Verlag.
legt.  Sze, S.M.: (2012) Semiconductor Devices
Physics and Technology. 5. Auflage, Verlag
Wiley-Interscience 2012.
 Thuselt, F.: (2011) Physik der Halbleiterbau-
elemente. Heidelberg: Springer Verlag.
Passive Bauelemente
2
Ekbert Hering und Klaus Bressler

2.1 Elektronische Bauelemente in passive und aktive Bauelemente eingeteilt


(Abb. 2.2).
2.1.1 Übersicht Passive Bauelemente erhalten nur eine Signal-
größe, wobei meistens mit einer Spannung ein
Die Elektronik befasst sich mit den Vorgängen
entsprechender Strom erzeugt wird oder umge-
der Bewegung elektrischer Ladungsträger (meist
kehrt. Aktive Bauelemente erhalten dagegen eine
Elektronen) in Festkörpern, Flüssigkeiten und
Signalgröße und eine Hilfsenergie (Stromversor-
Gasen (zur Halbleiterphysik, s. Abschn. 1.8).
gung), wobei die Signalgröße am Eingang jenen
Der Begriff Bauelement ist in DIN 40 150
Anteil der Hilfsenergie steuert, der zum Aus-
festgelegt und ist demnach hinsichtlich der Da-
gang fließt. Aktive Bauelemente können deshalb
tenangaben, der Prüfung, der Anwendung und
verstärken und schwingen. Zu den passiven Bau-
der Instandsetzbarkeit die kleinste, nicht weiter
elementen gehören der Widerstand (R), der Kon-
zerlegbare Einheit in der Elektronik (z. B. Wi-
densator (C ), die Spule (L) und die Diode (D),
derstände, Kondensatoren, monolithische IC,
zu den aktiven der Transistor (T ), der Thyristor,
engl.: Integrated Circuits). Die weiteren Be-
integrierte Schaltungen und sonstige Bauelemen-
griffsbestimmungen nach DIN 40 150 und ihre
te. Die weitere Unterteilung zeigt Abb. 2.2.
Zusammenhänge zeigt Abb. 2.1.
Wie Abb. 2.1 zeigt, bestehen Bauteile aus
einzelnen Bauelementen und Baugruppen (z. B. 2.1.2 Anforderungen und
ein Netzteil) aus mehreren Bauteilen und Bau- Anwendungsklassen
elementen. Geräte (z. B. Oszilloskope) sind aus
Baugruppen, Bauteilen und Bauelementen zu- Je nach Einsatzgebiet sind an elektronische Bau-
sammengesetzt. In Anlagen (z. B. ein Computer) elemente folgende unterschiedliche Anforderun-
befinden sich Geräte, Baugruppen, Bauteile und gen zu stellen:
Bauelemente.  Elektrische Sicherheit (VDE-Vorschriften),
Elektronische Bauelemente haben die Auf-  maximale elektrische Belastbarkeit (Span-
gabe, elektrische Signale zu erzeugen oder zu nung, Strom, Leistung, Kurven- bzw. Impuls-
wandeln. Sie spielen auch in der Datentechnik form, Frequenzbereich),
eine wichtige Rolle. Üblicherweise werden sie  Umgebungstemperatur
 Insbesondere bei Halbleiterbauelementen un-
terscheidet man folgende Bereiche:
E. Hering () – Industrieller Bereich (0 °C bis C70 °C),
E-Mail: ekbert.hering@hs-aalen.de – erweiterter industrieller Bereich (25 °C
K. Bressler bis C85 °C) und,
E-Mail: klaus.bressler@web.de – militärischer Bereich (55 °C bis C125 °C);
© Springer-Verlag GmbH Deutschland 2017 95
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_2
96 E. Hering und K. Bressler

 Temperaturkoeffizient,
 Langzeitstabilität der elektrischen Kennwerte
(Alterung),
 Feuchtigkeit, Staub, Einstrahlung,
 maximale Beschleunigung und Schwingungs-
frequenz,
 Qualität,
 Lebensdauer,
 Größe und Gewicht und
 Preis.
Welchen Einflüssen man ein Bauelement ausset-
zen darf, ohne dass sich seine elektrischen Kenn-
daten in unzulässiger Weise ändern (Änderungs- Abb. 2.1 Begriffsbestimmungen für Baueinheiten nach
DIN 40 150
fall) oder gar ein Totalausfall eintritt, wird in
DIN 40 040 durch Anwendungsklassen beschrie-
ben. Eine zu große Abweichung der elektrischen
Kenndaten bezeichnet man als Änderungsausfall. Lösung
Die Anwendungsklassen werden durch Buchsta-
ben gekennzeichnet und sind für alle elektroni- G Untere Grenztemperatur 40 °C.
schen Bauelemente gültig. Die einzelnen Kenn- P Obere Grenztemperatur C85 °C.
G Zulässige Feuchtebeanspruchung:
buchstaben, von denen man in der Regel nur die
Höchstwert: 85 %, jedoch nur 60 Tage im Jahr,
ersten drei nennt, haben folgende Bedeutung: im übrigen 75 %
Jahresmittel: 65 %. Keine Betauung.
Q Ausfallquotient beträgt 30  106 h1
Bezeichnung der klimatischen Anwendungsklasse: R Beanspruchungsdauer von 100.000 h.
1. Kennbuchstabe Untere Grenztemperatur in °C. W Mechanische Beanspruchung:
2. Kennbuchstabe Obere Grenztemperatur in °C. Schwingen: 10 Hz bis 55 Hz mit 20 m=s2 ;
3. Kennbuchstabe Zulässige Feuchtebeanspruchung. Schock: 150 m=s2 für 11 ms.
Angaben zur Zuverlässigkeit: Z Luftdruck ist dem Datenblatt zu entnehmen.
4. Kennbuchstabe Ausfallquotient (Anteil ausfallender Z Sonderbeanspruchung ist dem Datenblatt zu
Bauteile in einer gegebenen Zeit). entnehmen.
5. Kennbuchstabe Beanspruchungsdauer.
Mechanische Anwendungsklasse:
6. Kennbuchstabe Mechanische Beanspruchung. 2.1.3 Zuverlässigkeit
7. Kennbuchstabe Luftdruck.
8. Kennbuchstabe Klimatische Sonderbeanspruchung
Die Zuverlässigkeit ist ein Maßstab für die
(Wasser, Luft, Staub, Sandsturm,
Eignung eines Bauelementes, bei einer gege-
Schimmel, Termiten, Sonnenbe-
strahlung, Höheneinsatz).benen Belastung innerhalb eines bestimmten
Zeitraums voraussichtlich fehlerfrei zu arbei-
ten. Von elektronischen Geräten, beispielsweise
in industriellen Fertigungsprozessen oder im
medizinischen Bereich, wird eine hohe Betriebs-
zuverlässigkeit erwartet. Ein Geräteausfall, sei
Beispiel 2.1-1 es durch Überbelastung oder durch Erreichen der
Ein Bauelement trägt die Kennzeichnung Lebensdauer, hat im Fertigungsbetrieb einen Pro-
GPGQRWZZ. Für welche Anwendungen ist duktionsausfall oder -ausschuss zur Folge, was
es geeignet? erhebliche Kosten verursacht; im medizinischen
2 Passive Bauelemente 97

Abb. 2.2 Einteilung der Bauelemente

Abbildung 2.3 zeigt, dass eine Erhöhung der


Zuverlässigkeit zwar die Betriebskosten sinken
lässt, aber die Herstellungskosten der Bauele-
mente sehr stark ansteigen. Deshalb nehmen zwar
die Kosten mit zunehmender Zuverlässigkeit zu-
nächst ab, steigen aber mit höheren Anforderun-
gen überproportional an. Es wird zwischen einem
Änderungsausfall und einem Totalausfall unter-
schieden.

Abb. 2.3 Kosten in Abhängigkeit von der Zuverlässigkeit 2.1.3.1 Ursachen eines Ausfalls
Außer den bereits bei der Herstellung entstan-
denen Fehlern, die sich häufig erst im Laufe der
Bereich können sogar Menschenleben gefähr- Zeit auswirken, kommen als Ausfallursachen in
det sein. Aus diesem Grunde ist die Auswahl Frage:
der richtigen Bauelemente und ihre geeigne-  Fertigungsfehler bei einem Bauteil („angetö-
te Beschaltung sehr wichtig. Die Erhöhung der tetes“ Bauteil),
Zuverlässigkeit eines Bauelementes kostet aber  Überbeanspruchung des Bauelements beim
ihren Preis (Abb. 2.3). Einbau (z. B. zu hohe Temperaturen beim Lö-
98 E. Hering und K. Bressler

ten oder zu hohe mechanische Beanspruchung


beim Abbiegen der Anschlüsse);
 Überbelastung (elektrisch oder thermisch)
während des Betriebes;
 Ende der Lebensdauer. Hierüber ist meistens
keine direkte Aussage möglich, da die Lebens-
dauer vor allem von der elektrischen, mecha-
nischen und klimatischen Belastung im Be-
trieb abhängt; Abb. 2.4 Ausfallrate im Verlauf der Einsatzzeit
 Beschädigungen infolge zu hoher elektrostati-
scher Entladungen (ESD; engl.: Electrostatic
Discharge). Bei allen modernen Halblei- elementen ist durchschnittlich jede Stunde mit
tern (außer bipolaren Leistungstransistoren) einem Ausfall zu rechnen.
besteht die Gefahr, dass isolierende Silicium-
schichten und Sperrschichten durch statische Früh- und Verschleißausfälle
Aufladungen beschädigt oder durchschlagen Die Ausfallrate ist häufig innerhalb der Lebens-
werden. Deshalb sind folgende Vorsichtsmaß- dauer nicht gleichbleibend. Abbildung 2.4 zeigt
nahmen erforderlich (s. auch Abschn. 3.5.7): die typische, sogenannte Badewannenkurve, d. h.
– Transport in antistatischer, d. h. hochohmig die Ausfallrate ist bei Einsatzbeginn des Bauele-
leitender Verpackung. mentes hoch (Frühausfälle) und steigt am Ende
– Lötkolben werden geerdet, und die Be- der Lebensdauer (Verschleißausfälle) an. In der
dienungsperson ist über ein hochohmiges Mitte sind die Zufallsausfälle gleichmäßig ver-
Armband geerdet. teilt. Die Zeit bis zum Beginn der Verschleißaus-
– Arbeitsplatz mit antistatischem Belag, ge- fälle nennt man Brauchbarkeitsdauer.
erdete Kupfernetze unter dem hochohmig
leitenden Kunststofffußbodenbelag, hoch- Frühausfälle
ohmig geerdete Stühle und Polster. Um Bauelemente mit Frühausfällen ausson-
– Arbeitsschuhe mit leitfähiger Sohle. dern zu können, sind die Eingangsprüfungen
zu verschärfen oder Voralterungen vorzunehmen
2.1.3.2 Mittlere Ausfallrate (entweder vor dem Einbau oder in der fertigen
Als Ausfallrate wird der Bruchteil von Ausfäl- Schaltung). Eine Voralterung ist eine auch burn in
len pro Zeiteinheit bezeichnet, bezogen auf die genannte Dauerprüfung, die man in Form von
Gesamtzahl der Bauelemente. Es gilt elektrischen und thermischen Belastungszyklen
beispielsweise über einen Zeitraum von 168
Anzahl der Ausfälle n Stunden durchführt.
D (2.1)
Gesamtzahl der funktionsfähigen
Zufallsausfälle
Bauelemente N  Testzeit t In diesem Bereich wird von einer konstanten
Ausfallrate ausgegangen. Die errechneten Wer-
Die Ausfallrate bezieht man auf eine Stunde te sind die Grundlage für die Berechnung der
(h1 ). Die Ausfallrate von einzelnen Bauele- Lebensdauer, auch Brauchbarkeitsdauer genannt,
menten wird in fit (engl.: failure in time) ange- und der Zuverlässigkeit von Bauelementen.
geben: 1 fit D 109 =h. Ein Schichtwiderstand
besitzt beispielsweise eine Ausfallrate D 0;2  Verschleißausfälle
109 h1 entsprechend 0,2 fit. Das bedeutet, der Gegen Ende der Betriebszeit nehmen die Ver-
Widerstand fällt in einer Stunde mit einer Wahr- schleißausfälle (z. B. wegen undichter Gehäuse,
scheinlichkeit von 0;2  109 aus, oder anders Korrosion, Materialversprödung) zu. Ein recht-
betrachtet: Bei 1=.0;2  109 / D 5  109 Bau- zeitiges Auswechseln von kritischen Bauelemen-
2 Passive Bauelemente 99

Tab. 2.1 Ausfallraten einiger Bauelemente Gesamtausfallrate


Bauelemente .109 h1 / Sind mehrere Bauelemente im Einsatz, dann er-
1. Widerstände rechnet sich die Gesamtausfallrate ges als Sum-
Kohleschicht 0,1 me der einzelnen Ausfallraten. Es gilt:
Draht 1
2. Kondensatoren ges D 1 C 2 C 3 C : : : C n (2.2)
Keramik 0,06
AI-Elektrolyt 5
2.1.3.3 Durchschnittliche Lebensdauer
3. Spulen
Bei der Berechnung der durchschnittlichen Le-
HF-Spulen 0,3
Transformatoren 1
bensdauer tm geht man von einer konstanten
4. Dioden Ausfallrate aus. Als durchschnittliche Lebens-
Si, normal 0,05 dauer tm benennt man die Zeit, die vergeht, bis
Si, Leistung 0,5 63 % aller Bauelemente ausgefallen sind. Mit der
LED 0,1 Ausfallrate besteht folgender Zusammenhang:
5. Transistoren
Si, normal 0,06 D 1=tm (2.3)
FET 0,5
6. Integrierte Schaltkreise In der Regel wird der mittlere Ausfallabstand
digital, bipolar (MSI) 2 (MTBF: Mean Time Between Failure) eines Ge-
digital, MOS (MSI) 1
rätes berechnet. Für n Bauelemente der gleichen
7. Sonstige Halbleiter
Ausfallrate beträgt er
Optokoppler 2
Thyristor 0,5
8. Verbindungen tm D 1=.n / (2.4)
Steckkontakt 0,1
Klemmkontakt 0,3 Beispiel 2.1-2
Lötverbindung 0,05 Ein Kofferradio besteht aus n D 120 Bauele-
9. Sonstige Bauelemente menten mit der gleichen Ausfallrate von 2000
Glimmlampe 102 fit ( D 2000  109 h1 ). Wie lange funktio-
Glühlampe 103 niert das Radio, wenn es täglich 3 Stunden in
Betrieb ist?

Lösung
ten (Bauelementen mit niedrigem ) verhindert Der mittlere Ausfallabstand ist nach Gl. 2.4:
diese Verschleißausfälle.
Tabelle 2.1 zeigt die Ausfallrate einiger Bau- tm D 1=.n /
elemente.
Zu dieser Tabelle ist kritisch anzumerken, D 1=.120  2  106 h1 /
dass sich die Ausfallraten für dieselben Bau- D 4167 h
3
teile bis zum Faktor 10 unterscheiden können.
Dies hängt vom Fertigungsverfahren, von der Bei einer täglichen Betriebsdauer von 3 h
Verarbeitung beim Zusammenbau, von den ist der mittlere Ausfallabstand 4167=3 D
klimatischen Verhältnissen und von den Ein- 1389 Tage oder 3,8 Jahre.
satzbedingungen (beispielsweise Flugzeug oder
klimatisierter Raum) ab. Den strengsten Maßstab I Hinweis: Da Bauelemente auch altern, ohne
legt das amerikanische militärische Handbuch dass diese ihre Funktion erfüllen (z. B. Lage-
MIL-HDBK-217 E an, dessen Zuverlässig- rung), sind bei genaueren Berechnungen die
keitswerte aber heute in der Praxis größtenteils dann gültigen, aber wesentlich kleineren -
übertroffen werden. Werte zu berücksichtigen.
100 E. Hering und K. Bressler

Abb. 2.5 Kosten in Abhängigkeit vom Qualitätsgrad


Abb. 2.6 Annahmewahrscheinlichkeit in Abhängigkeit
vom Fehleranteil in der Liefermenge (Operations-Charak-
teristik)

2.1.3.4 Herstellgrenzqualität
Eine der wichtigsten Voraussetzungen für den si-
cheren Einsatz und die Stabilität der Kennwerte fung als befriedigende durchschnittliche Herstel-
ist die Qualität der Bauelemente. Wie Abb. 2.5 lerqualität ansehen kann. In der Regel wird sie im
zeigt, sinken mit steigender Qualität die Ausfall- Kaufvertrag zwischen Abnehmer und Hersteller
kosten, während die Fehlerverhütungskosten der festgelegt. Im Indifferenzpunkt (in Abb. 2.6 bei
Produktion und die Prüfkosten für die Qualitäts- einer Fehlerrate von 0,6 %) ist die Annahmewahr-
sicherung ansteigen. scheinlichkeit 50 %, d. h. die Annahme und die
Mit den Verfahren der statistischen Qualitäts- Ablehnung ist gleich wahrscheinlich. Die Rück-
sicherung ist es möglich, einen Qualitätsstandard weisgrenzqualität (in Abb. 2.6 bei 1 % Fehleran-
zu garantieren sowie die Kosten für die Fehlerver- teil) sagt aus, dass bei einem so hohen Fehleran-
hütung in der Herstellung zu verringern und die teil die Annahmewahrscheinlichkeit lediglich bei
Prüfhäufigkeiten den tatsächlichen Erfordernis- 10 % liegt.
sen anzupassen. Die statistischen Verfahren und Die genauen Prüfpläne unterscheiden sich
die verwendeten Daten sind in DIN 40 080 nach- noch in der Prüfschärfe (I: reduziert, II: normal
zulesen. und III: verschärft, sowie Sonderprüfungen S1
Die statistischen Grundlagen sowie die Prüf- bis S4 für kleine Lose). Sie sind in DIN 40 080
bedingungen stellt man häufig als Operations- nachzulesen.
Charakteristik dar. Sie ist die Annahmewahr- Die Komplexität der Industrieprodukte hat
scheinlichkeit der Liefermengen in Abhängigkeit sehr stark zugenommen (z. B. befinden sich in
vom Fehlerprozentsatz und ist in Abb. 2.6 wie- einem PKW der Oberklasse 100 Rechner und
dergegeben. ebenso viele Elektromotoren sowie eine Vielzahl
Die Kennlinie zeigt, mit welcher Wahrschein- von Sensoren, die nach den unterschiedlichsten
lichkeit eine Liefermenge mit einem bestimm- physikalischen Prinzipien arbeiten). Diese kom-
ten prozentualen Fehleranteil angenommen wird. plexen Systeme müssen fehlertolerant sein. Das
Die Herstellgrenzqualität oder AQL (Acceptance bedeutet, die Software muss fehlerhafte Bau-
Quality Level: annehmbare Qualitätsgrenzlage) elemente erkennen und andere Informationen
ist der maximale Fehlerprozentsatz (in Abb. 2.6 verwenden, damit die Systeme weiterlaufen kön-
bei 0,3 %), den man bei einer Stichprobenprü- nen und nicht still stehen.
2 Passive Bauelemente 101

Tab. 2.2 Zahlenreihe E 6


p
E 6 10n D 10n=6 100=6
6
101=6 102=6 103=6 104=6 105=6
Wert 1 1,5 2,2 3,3 4,7 6,8

Tab. 2.3 Werte der Normreihen E 6, E 12, E 24, E 48,


E 96 und E 192 (Ausschnitt)
E6 E 12 E 24 E 48 E 96 E 192
˙ 20 % ˙ 10 % ˙ 5 % ˙ 2% ˙ 1% ˙ 0,5 %
100 100 100 100 100 100
101
102 102
104
105 105 105
106
107 107
109
110 110 110 110
111
113 113
114
Abb. 2.7 Zahlenreihe E 6
115 115 115
117
118 118
120 120 120
2.1.4 Normreihen 121 121 121
123
Die Nennwerte käuflicher Widerstände und Kon- 124 124
126
densatoren sind nach DIN 41 426 in Normreihen 127 127 127
abgestuft, um eine wirtschaftliche Fertigung und 129
Lagerhaltung zu ermöglichen. Die Normreihe hat 130 130 130
den Kennbuchstaben E und ist eine geometri- 132
133 133 133
sche Reihe, d. h. aufeinanderfolgende Werte un- 135
terscheiden sich immer um denselben Faktor q. 137 137
Damit gilt für die Reihe die Gleichung y D q x , 138
wobei y der Nennwert ist und x in ganzen Schrit- 140 140 140
142
ten
p von 0 ab läuft. Der Faktor q errechnet sich zu 143 143
E
10, wobei E die Nummer der Baureihe ist. In 145
Tab. 2.2 ist die Zahlenreihep E 6 berechnet. Der 147 147 147
Faktor q beträgt hier q D 6 10 D 1;467 : : :, d. h. 149
150 150 150 150 150
etwa 1,5. 152
Von Reihe zu Reihe werden die Werte ver- 154 154 154
doppelt, d. h. es gibt üblicherweise die Reihen 156
E 3, E 6, E 12, E 24, E 48 und E 92. Diese 158 158
160 160
Verdoppelung hat zur Folge, dass die Werte der 162 162 162
vorhergehenden Reihe in der folgenden enthalten 164
sind. Dies zeigt Tab. 2.3. 165 165
In Abb. 2.7 ist der Verlauf der Werte der ersten 167
169 169 169
drei Reihen grafisch dargestellt. 172
Zu den Bauelemente-Toleranzen ist zu bemer- 174 174
ken, dass beispielsweise bei der E 12-Reihe die 176
Werte um den Faktor 1,2 voneinander abweichen. 178 178 178
102 E. Hering und K. Bressler

Tab. 2.3 (Fortsetzung)


E6 E 12 E 24 E 48 E 96 E 192
˙ 20 % ˙ 10 % ˙ 5 % ˙ 2% ˙ 1% ˙ 0,5 %
180 180 180
182 182
184
Abb. 2.8 Klassifikation von Halbleiterbauelementen
187 187 187
189
191 191
193 Lagerhaltung zu vereinfachen, sollte man Wider-
196 196 196 stände mit Drahtanschlüssen mit E 24-Stufungen,
198 aber mit E 96-Werten wählen. Bei Chipwider-
200 200 200
203
ständen ist sogar die E 6-Stufung mit Werten
205 205 205 aus der E 96-Reihe zu bevorzugen. Hierdurch
208 kann man die Anzahl der benötigten Magazine
210 210 für einen Bestückungsautomaten einschränken.
213
215 215 215
220 220 220 218
221 221 2.1.5 Klassifikation von diskreten
223 Halbleiter-Bauelementen
226 226 226
229
232 232
Um Bauelemente mit den gewünschten Kenn-
234 werten verwenden zu können, sind diese von
237 237 237 der in Brüssel ansässigen Organisation PRO
240 240 ELECTRON klassifiziert worden. Der PRO
243 243
246
ELEKTRON-Typenschlüssel besteht aus drei
249 249 249 Feldern (Abb. 2.8), bestehend aus zwei Buch-
252 staben (erster Buchstabe: Material, zweiter
255 255 Buchstabe: Funktion) und einem Kennzeichen
258
261 261 261
(entweder dreistellige Zahl für Konsumelektro-
264 nik oder ein Buchstabe und eine zweistellige Zahl
270 270 267 267 für die Industrieelektronik). (Zu den Abkürzun-
271 gen und ihren Bedeutungen s. Abschn. 3.1.6).
274 274 274
277
280 280
284 2.1.6 Datenblätter
287 287 287
291 Datenblätter geben Auskunft über die mechani-
294 294
298
schen und elektrischen Eigenschaften des Bau-
300 301 301 301 elementes. Die Gliederung, der Inhalt und die
verwendeten Kurzzeichen sind in DIN 41 785
und DIN 41 791 festgelegt. Das Datenblatt ent-
Das entspricht einer Toleranz von ˙ 10 %. Ei- hält:
ne größere Toleranz für diese Reihe zuzulassen
wäre sinnlos, weil dann der Wert bereits im Be- 1. Kurzbeschreibung des Bauelementes Hier
reich der E 6-Reihe liegen würde. Das bedeutet, stehen die Typenbezeichnung, der Hersteller, die
dass die Reihen die größtmögliche Toleranz vor- Technologie und der Anwendungsbereich.
geben (z. B. für die Reihe E 24 eine Toleranz
von ˙ 5 %). Bei Metallschicht- und Metallglasur- 2. Gehäusedaten Man erkennt die Werkstoffe,
widerständen ist die E 96-Reihe üblich. Um die die Kenn-Nummer der Anschlüsse und bestimm-
2 Passive Bauelemente 103

te Markierungen (z. B. für die Polung und die 2.2 Widerstände


Lage von Pin Nummer 1).
Widerstände sind sehr häufig eingesetzte Bau-
3. Mechanische Daten Dazu gehören die elemente mit der Eigenschaft, den elektrischen
Abmessungen mit Toleranzen, die Montage- Strom zu begrenzen. Das bedeutet: Ein Wider-
bedingungen (z. B. maximale Löttemperatur, stand erzeugt bei einer vorhandenen Spannung
-verfahren und -zeit, kleinster Abstand vom Ge- den gewünschten Strom oder liefert bei einem ge-
häuse für das Abbiegen der Anschlussdrähte, gebenen Strom die gewünschte Spannung. Am
kleinste Länge der Anschlussdrähte). Widerstand wird elektrische Energie in Wärme
umgesetzt. Deshalb muss für den Einsatz der Wi-
4. Nennwerte Die Nennwerte beschreiben ent- derstände die Abhängigkeit seiner elektrischen
weder als Zahlenwerte oder als Kennlinien die Kenngrößen von der Temperatur bekannt sein.
Die Werte für den Widerstand umfassen einen
Eigenschaften oder die Funktion eines Bauele-
sehr großen Bereich von m bis zu 30 M.
mentes. Sie gelten ab Hersteller unter Beach-
Oberhalb von 30 M wird der Einsatz kritisch,
tung der Messbedingungen (z. B. Temperatur und
weil Kriechströme und Instabilitäten die Wider-
Frequenz). Die Toleranz ist entweder als pro-
standswerte erheblich verfälschen können. Diese
zentuale Abweichung oder als Grenzkurve einer
Hochohmbereiche sind Sonderfälle, die speziell
Kennlinie angegeben. Während die dynamischen
Nennwerte das zeitliche Verhalten der einzelnen behandelt werden müssen.
Größen beschreiben, berücksichtigen die stati- Um den angesprochenen großen Wertebereich
schen Nennwerte diese nicht. der Widerstände zu realisieren, muss man geeig-
nete Materialien verwenden und entsprechende
Geometrien auswählen. Dabei spielen folgende
5. Typische Werte Insbesondere bei Halbleiter- Kennwerte eine wichtige Rolle: der Widerstands-
bauelementen werden typische Werte angegeben. wert, die Toleranz, die Belastbarkeit, der Tempe-
Sie sind völlig unverbindlich und können sich raturkoeffizient, die Größe (möglichst klein) und
von Los zu Los unterscheiden. Für die Entwick- die Kosten. In den folgenden Abschnitten werden
lung von Schaltungen sind die minimalen und die
diese Kenngrößen ausführlicher beschrieben.
maximalen Werte zu berücksichtigen.

6. Garantiewerte Je nach Hersteller, Bauele- 2.2.1 Übersicht über die Widerstände


ment und Anwendung werden Maximal- und Mi-
nimalwerte (oder nur einer) garantiert. In Aus- Abbildung 2.9 zeigt eine Übersicht über die Wi-
nahmefällen kann der Kunde manche Bauele- derstände.
mente mit gewünschten Kennwerten bestellen. Aus Abb. 2.9 ist zu erkennen, dass Wider-
stände in Festwiderstände eingeteilt werden, die
7. Grenzwerte Die Grenzwerte sind Absolut- einen festen Widerstandswert liefern und in ein-
werte, die nicht überschritten werden dürfen. stellbare Widerstände, deren Widerstandswerte
Es handelt sich hierbei meist um Strom-, verstellbar sind. Die Festwiderstände können ein
Spannungs-, Leistungs- und Temperaturwerte so- lineares Strom-Spannungs-Verhalten nach dem
wie um mechanische Kenndaten. Im Hinblick auf Ohm’schen Gesetz (R D U=I ) aufweisen oder
eine gute Zuverlässigkeit ist es immer zweckmä- nicht. Bei den nicht linearen Widerständen hängt
ßig, die angegebenen Grenzwerte (engl.: absolute der Widerstand von einer physikalischen Größe
maximum rating) zu unterschreiten. Man spricht ab, beispielsweise von der Temperatur (Heißlei-
dann von einem Derating. Wird beispielsweise ter NTC und Kaltleiter PTC), von der Spannung
eine 100 V-Diode nur mit einer Sperrspannung (Varistor VDR) oder vom Magnetfeld (Feldplat-
von uR D 80 V betrieben, so ist der Derating- te). Die Lichtabhängigkeit der Widerstände (Fo-
Faktor d D 0;8. towiderstände LDR) wird im Kap. 6 (Optoelek-
104 E. Hering und K. Bressler

tronik) beschrieben. Die einstellbaren Widerstän-


de kann man in der Regel entlang einer Ge-
raden (linear) oder einer positiv oder negativ
logarithmischen Kurve verändern (dies für Fälle,
in denen große Widerstandsbereiche überstrichen
werden). Widerstände, die während des Betriebs
eingestellt werden können, nennt man Potenzio-
meter; Widerstände, die vor dem Betrieb zum
Abgleich einer Schaltung genau eingestellt wer-
den müssen, werden Trimmer genannt.
Alle diese Widerstände gibt es auch als
oberflächenmontierbare Bauteile (OMB: Ober-
flächenmontierbare Bauteile oder engl.: SMD:
Surface mounted Devices; Abschn. 1.9.3). In
diesen Fällen führen die Widerstände die entste-
hende Wärme nicht direkt über die Luft, sondern
über die Lötflächen der Leiterplatte ab. Das nor-
malerweise günstiger und erlaubt deshalb eine
geringere Baugröße der SMD-Widerstände.

2.2.2 Lineare Festwiderstände

Ein linearer Festwiderstand besitzt einen festen,


d. h. nicht veränderbaren linearen Widerstand.
Als Werkstoffe finden, wie Abb. 2.9 zeigt,
Drähte oder leitende Schichten (Kohleschich-
ten bzw. Metallschichten) Verwendung. Neben
den Edelmetall- und hochwertigen Metallglasur-
widerständen werden auch Mehrfachwiderstände
(arrays) auf ein Keramiksubstrat mit seitlichen Abb. 2.9 Einteilung der Widerstände
Lötanschlüssen aufgebracht. Ein Beispiel sind
Eingangs-Spannungsteiler von Messgeräten. Al-
le Widerstände werden in gleicher Technologie geben durch einen 6. Ring den Temperaturkoef-
in einem Arbeitsgang aufgebracht, wodurch fizienten des Widerstandes an. Dabei bedeuten
die Genauigkeit (vor allem der Widerstands- die Farben schwarz bis grau abnehmende Tem-
Verhältnisse) sehr groß ist. peraturkoeffizienten von 250  106 K1 bis 1 
106 K1 .
2.2.2.1 Farbcodierung
Der Nennwert eines Widerstandes ist meistens Beispiel 2.2-1
durch Farbringe verschlüsselt. Dies hat den Vor- Ein linearer Festwiderstand trägt folgende
teil, dass der Wert im eingebauten Zustand unab- Farbringe: blau, grau, braun, orange, grün.
hängig von der Lage zu lesen ist. In Tab. 2.4 ist Welchen Widerstandswert besitzt er und in
der Internationale Farbcode zusammengestellt. welche Toleranzklasse (und Baureihe) ist er
Bei Widerständen mit engen Toleranzen (z. B. einzuordnen?
bei Metallschichtwiderständen) werden für den
Vorzugswert drei Stellen benötigt. Der Farbcode I Hinweis: Werden die Farbringe in verkehrter
besitzt dann fünf Farbringe. Manche Hersteller Reihenfolge (z. B. grün, orange, braun usw.)
2 Passive Bauelemente 105

Abb. 2.9 (Fortsetzung)

entschlüsselt, dann ergeben diese keinen E- rechnet sich wie folgt:


Wert (Abschn. 2.1.4).
#0  #a
Pmax D (2.5)
Rth A
Lösung
wobei #0 die Temperatur an der Oberfläche des
Der Widerstandswert ist: R D 681 k, Tole-
Widerstandes und #a die Umgebungstemperatur
ranz ˙ 0,5 % (Baureihe E 96).
ist und Rth A der Wärmewiderstand in K=W (Kel-
vin pro Watt). Aus Gl. 2.5 ist ersichtlich, dass die
2.2.2.2 Belastbarkeit bei Dauerbetrieb Belastbarkeit umso größer ist, je größer die Tem-
Die Belastbarkeit Pmax ist die während der Be- peraturdifferenz #0  #a (bei gleichem Wärme-
triebszeit maximal umgesetzte Leistung und er- widerstand Rth A / oder je kleiner der Wärmewi-
106 E. Hering und K. Bressler

Tab. 2.4 Internatio- Kennfarbe Widerstandswert in  Toleranz Temperaturkoeffizient


naler Farbcode nach zählende Ziffern Multiplikator
DIN JEC 62 und JEC 115-
silber – 102 ˙10 % –
1-4.5
gold – 101 ˙5 % –
schwarz 0 1 – ˙200  106 =K
braun 1 10 ˙ 1% ˙100  106 =K
rot 2 102 ˙ 2% ˙50  106 =K
orange 3 103 – ˙15  106 =K
gelb 4 104 – ˙25  106 =K
grün 5 105 ˙ 0,5 % –
blau 6 106 ˙ 0,25 % ˙10  106 =K
violett 7 107 ˙ 0,1 % ˙5  106 =K
grau 8 – – ˙1  106 =K
weiß 9

derstand Rth A ist (bei konstanter Temperaturdif-


ferenz #0  #a /. In der DIN-Norm 44 051 ist fest-
gelegt, dass die Nennbelastbarkeit eines Wider-
standes für eine Umgebungstemperatur von #a D
70ı C anzugeben ist. Mit dieser Leistung P70 darf
der Widerstand dauernd betrieben werden.

2.2.2.3 Impulsbelastbarkeit
Widerstandswerkstoffe sind in der Lage, kurzzei-
tig wesentlich höhere Leistungen aufnehmen zu
können als im Dauerbetrieb. Dabei bestehen fol-
Abb. 2.10 Impulsbelastbarkeit von kappenlosen Wider-
gende Unterschiede: ständen

– Periodische Pulsfolge
Die Kurve beschreibt eine Widerstandsänderung
Hierbei können Pulsfolgen mit Spitzenspannun-
R=R < 0;25%.
gen bis zum 3,5-fachen der maximalen Betriebs-
spannung noch zulässig sein und eine Spitzenlast
2.2.2.4 Maximale Dauerspannung Umax
bis zur 6-fachen zulässigen Belastbarkeit (Einzel-
Die maximale Dauerspannung Umax ist durch die
heiten sind in den jeweiligen DIN-Normen für die
Spannungsfestigkeit (Überschläge zwischen den
Widerstände festgelegt, Abb. 2.9).
Anschlüssen oder Teilen der Wendel) bestimmt.
Sie hängt deshalb in hohem Maße von der Bau-
– Vereinzelte Impulse sehr hoher größe ab. Bei kleineren Widerstandsgeometrien
Spitzenleistung (z. B. Baugröße 0204 und 0207) liegen die Werte
Die Impulsbelastbarkeit hängt prinzipiell sehr zwischen 200 V und 350 V, bei größeren Baufor-
stark von der Impulsform und von der Impuls- men (z. B. Typ 0411 und 0617) bei 500 V bis
breite ab. 750 V. Bei speziellen Hochspannungswiderstän-
Die Beanspruchungen mit sehr energiereichen den sind wesentlich höhere Spannungen zulässig.
Einzelimpulsen sind nicht genormt, sondern sind
den jeweiligen Datenblättern der Hersteller zu 2.2.2.5 Kritischer Widerstandswert Rkrit
entnehmen. Der kritische Widerstandswert Rkrit ist ein be-
Abbildung 2.10 zeigt die maximale Impulss- stimmter, im Datenblatt angegebener Wert, der
pannung in Abhängigkeit vom Widerstandswert. sich aus der maximal zulässigen Dauerspannung
2 Passive Bauelemente 107

Umax und der Nennbelastbarkeit P70 nach folgen- Lösung


der Gleichung errechnet: Nach Gl. 2.7 ist: R=R D ˛ #, wo-
bei # D #U C PRth (Gl. 2.5). Damit ist:
Rkrit D 2
Umax =P70 (2.6)
R=R D 100  106 K1 .45 ı C C 0; 2 W 
Ist der Nennwiderstandswert RN > Rkrit , dann 150K=W/ D 0;75%
wird die zulässige Spannung durch Umax be-
stimmt, im anderen Fall durch die Verlustleistung 2.2.2.7 Stabilität
nach Gl. 2.6. Mit Stabilität bezeichnet man die relative Wi-
derstandsänderung R=R, die durch elektrische
2.2.2.6 Temperaturabhängigkeit Belastung oder andere Einflüsse in einem be-
Die Temperaturabhängigkeit eines Widerstandes stimmten Zeitraum verursacht wird. Der Wert
wird durch den Temperaturkoeffizienten ˛ be- hängt im Wesentlichen von den verwendeten Wi-
schrieben (auch TKR genannt), der definiert ist als derstandsmaterialien, der Oberflächentemperatur,
Widerstandsänderung R=R pro Temperaturdif- dem Widerstandswert und von Umgebungsein-
ferenz #. Seine Einheit ist deshalb 1=K. Somit flüssen (z. B. Klima, Feuchtigkeit oder starke
gilt: Temperaturwechsel) ab. Bei sonst gleichen
˛ D TKR D R=.R#/ (2.7) Bedingungen sind niederohmige Widerstände
stabiler, da die Schichtdicke größer ist.
Es ist darauf hinzuweisen, dass der Tem-
Die Messung der Stabilität erfolgt mit speziel-
peraturkoeffizient sowohl positiv (z. B. bei
len Tests, wie durch schnelle Temperaturwechsel,
reinen Metallen) als auch negativ (z. B. bei
Lagerung bei hoher und niedriger Temperatur
Kohle) sein kann (Angabe im Datenblatt mit
und Unterdruck, Feuchte, Langzeitprüfung und
C ). Bei Widerstandswerkstoffen aus Metall-
Überlast. Außer in der Präzisionsmesstechnik,
Legierungen (z. B. Drahtwiderständen oder
deren Abhandlung den Umfang dieses Buches
Metallschichtwiderständen) wird durch entspre-
übersteigen würde, prüft man nur maximale Ab-
chende Legierungszusammensetzung versucht,
weichungen.
einen möglichst kleinen Temperaturkoeffizienten
˛ zu erreichen.
Die Temperaturänderung #, die zur Wider- Rauschen
standsänderung R=R führt, ergibt sich aus der Das Wort „Rauschen“ ist aus der Akustik entlie-
Änderung der Umgebungstemperatur #U und der hen und bezeichnet in der Elektrotechnik ein Si-
Temperaturerhöhung aus der Belastung #Ü , wie gnal, das alle Frequenzen innerhalb einer großen
sie durch den Wärmewiderstand bestimmt wird Bandbreite enthält. Es ist auf dem Oszilloskop als
(Gl. 2.5). Üblich ist die Angabe des Tempera- breitbandiges, nichtperiodisches Signal zu erken-
turkoeffizienten TKR in ppm=K (ppm: parts per nen. Folgende Arten von Rauschen eines Wider-
million D 106 ). Bei den meisten Typen von standes sind zu unterscheiden:
Metallschichtwiderständen wird ein Temperatur-
koeffizient von TKR D ˙50ppm=K erreicht.
2.2.2.8 Thermisches Rauschen
Beispiel 2.2-2 Das thermische Rauschen wird durch Gitter-
Ein Nennwiderstand RN wird bei einer Umge- schwingungen im Werkstoff verursacht, welche
bungstemperatur von 25 °C gemessen. Es ist die Ladungsträger beim Weg durch das Material
der Wärmewiderstand Rth D 150 K=W, der in unregelmäßigen Abständen stören. Das ther-
Temperaturkoeffizient ˛ D ˙100  106 K1 , mische Rauschen nimmt mit der Temperatur zu.
die im Widerstand umgesetzte Leistung P D Nach Nyquist (NYQUIST, 1889 bis 1943) gilt für
0;2 W. Gesucht ist die maximale, relative Wi- die thermische Rauschleistung PR :
derstandsänderung für eine Umgebungstem-
peratur #U D 70 ı C. PR D 4 kT df (2.8)
108 E. Hering und K. Bressler

wobei k die Boltzmann-Konstante (k D


1;3806488  1023 J=K), T die absolute Tempera-
tur und df die Bandbreite der p Messeinrichtung
ist. Wegen der Beziehung U D PR gilt für die
thermische Rauschspannung UR :
p
UR D 4 k T df R (2.9)
Abb. 2.11 Ersatzschaltbild eines Widerstandes bei hohen
Stromrauschen Frequenzen
Wenn die Ladungsträger (meist Elektronen)
durch Widerstandsschichten wandern, deren
Material nicht homogen ist, entsteht Stromrau- kapazitiv. Abbildung 2.12 zeigt den Scheinwider-
schen. Drahtwiderstände weisen praktisch keine standsverlauf für Schichtwiderstände.
Stromrauschspannung auf, während die Strom-
rauschspannungen bei Kohleschichtwiderständen
hoch sind. 2.2.2.11 Drahtwiderstände
Die gesamte Rauschspannung eines Wider- Die wichtigsten Werkstoffe, technischen Werte
standes setzt sich aus dem thermischen Rauschen und Anwendungsfelder sind in Abb. 2.9 zu-
und aus dem Stromrauschen zusammen. sammengestellt. Bei den Drahtwiderständen ist
der Widerstandsdraht auf einen Keramik- oder
2.2.2.9 Nichtlinearität Glasfiberkörper gewickelt. Als Widerstandsdraht
Bei einem linearen Widerstand wird erwartet, verwendet man folgende Legierungen: Cu–Ni
dass nach dem Ohm’schen Gesetz die Spannung (Konstantan), Cu–Ni–Mn (Manganin), Ni–Cr
proportional zum Strom ist (U D R I ). Bei (Nickelin) und Au–Cr. Die Wicklungen und die
sehr genauer Betrachtung ist der Widerstands- Anschlüsse (Kappen oder Schellen) sind kontakt-
wert jedoch spannungsabhängig. Dieses ist im sicher verschweißt. Zum Schluss überzieht man
Allgemeinen jedoch zu vernachlässigen. die Widerstände zum Schutz gegen Umwelt-
einflüsse üblicherweise mit einer Lackschicht.
2.2.2.10 Hochfrequenzverhalten Aber auch andere Oberflächenbehandlungen
Je nach Aufbau der Widerstände und Einbau sind möglich. Für hohe Oberflächentemperatu-
in die Schaltung besitzt ein Widerstand R0 für ren (bis 450 °C) glasiert oder zementiert man die
hochfrequente Anwendungen eine Selbstindukti- Widerstände und umhüllt sie für hohe Isolations-
vität LS , eine Kapazität zwischen den Anschluss- Spannungsfestigkeiten (bis 2 kV) mit Keramik.
kappen CA und eine Teilkapazität bei einer vor- Kleine hochbelastbare Widerstände umgibt man
handenen Wendelung CW . Abbildung 2.11 zeigt mit einem Metallgehäuse und montiert sie auf
das Ersatzschaltbild. Kühlkörper.
Wegen der hohen Selbstinduktivität LS und Die wichtigsten Vorteile von Drahtwider-
den Kapazitäten der Wendelung CW sind Draht- ständen sind: hohe Belastbarkeit (0,25–200 W),
widerstände in der Regel für hochfrequente An- großer Temperaturbereich (55–450 °C) und ge-
wendungen nicht geeignet. ringer Temperaturkoeffizient (˛ D ˙106 K1
Bei ungewendelten Schichtwiderständen (Ab- bis ˙200  106 K1 ). Nachteilig wirken sich
schn. 2.2.2.12) – das sind meistens solche mit neben den hohen Preisen vor allem die hohen
niedrigen Widerstandwerten – weicht der Schein- Selbstinduktivitäten der Drahtwicklungen aus, so
widerstand bis etwa 100 MHz nicht wesentlich dass ein Einsatz dieser Widerstände im Hochfre-
vom ohm’schen Wert ab. Je nach Widerstands- quenzbereich nicht in Frage kommt. In der Praxis
wert und Frequenzbereich ist der Scheinwider- finden Drahtwiderstände bis zu einem Wert von
stand reell, induktiv, kapazitiv oder induktiv und R 200 k Verwendung.
2 Passive Bauelemente 109

fall von Kohlenwasserstoffen bei hohen Tempe-


raturen (900 ı C bis 1100 ı C). Die Schichtdicken
liegen dabei im nm- (hohe Widerstandswerte)
oder im m-Bereich (niedrige Widerstands-
werte). Kohleschichtwiderstände haben einen
negativen Temperaturkoeffizienten 1000 
106 =K ˛ 200  106 =K.
Die Metallschicht lässt sich entweder galva-
nisch abscheiden (dickere Schichten für niedrige
Widerstandswerte) oder im Vakuum aufdampfen
(Sputterverfahren; auch für dünnere Schichten,
d. h. für hohe Widerstandswerte; zum Verfahren
s. Abschn. 1.9.5). Eine Edelmetallschicht (z. B.
Au–Pt) entsteht durch Reduktion von Edelmetall-
salzen beim Einbrennen.
Da die aufgebrachten Widerstandsschichten
höchstens bis 10 % genau sein können, erfolgt
der genaue Widerstandsabgleich durch einen La-
serabgleich. Wenn der genaue Widerstandswert
erreicht ist, schneidet der Laser die restliche Wi-
derstandsschicht ab. Die SMD-Widerstände mit
einer Toleranz des Widerstandswertes von 1 %
sind sehr preiswert und besitzen einen hohen
Verbreitungsgrad. Metallschichtwiderstände sind
die am häufigsten eingesetzten Widerstände. Die
entsprechenden Daten sind in Abb. 2.9 zusam-
mengestellt.

2.2.2.13 Metallglasurwiderstände
Dieser Widerstandstyp heißt auch Dickschicht-
oder Cermetwiderstand. Die Widerstandsschicht
besteht aus Glasurpaste mit eingelagerten Metall-
teilen (Cermet) und wird in Dickschichttechnik
(Abschn. 1.9.4) beispielsweise als mäanderförmi-
ges Muster auf ein Keramiksubstrat aufgebracht,
getrocknet und bei etwa 1150 °C eingebrannt. Als
Abb. 2.12 Verlauf des Scheinwiderstandes Z für Schicht- leitende Materialien dienen am häufigsten Tantal,
widerstände; a R < 100 , b 100  < R < 1000 , c Tantalkarbid, Titan und Titankarbid sowie Wolf-
R > 1000 
ram. Den genauen Widerstandswert stellt man
auch hier durch Laserabgleich ein. Metallglasur-
widerstände sind auch in Chipform zur SMD-
2.2.2.12 Schichtwiderstände Bestückung im Handel. Ihren Widerstandswert
Schichtwiderstände (Abb. 2.9) bestehen aus gleicht man durch Einschnitte, die quer zur Wi-
Kohle- oder Metallschichten (Cr–Ni), die auf derstandsbahn verlaufen, mit einem Laserstrahl
Keramikkörpern aufgebracht sind. ab. Abbildung 2.13 zeigt Chipwiderstände un-
Bei Kohleschichtwiderständen entsteht die terschiedlicher Größe, die der Anwender selbst
Widerstandsschicht durch den thermischen Zer- abgleichen kann.
110 E. Hering und K. Bressler

Widerständen (NTD-Si) und Kaltleitern (PTC)


mit positivem Temperaturkoeffizienten. Die Va-
ristoren sind spannungsabhängige Widerstände
(VDR) und Feldplatten bieten magnetfeld-
abhängige Widerstände. Die lichtabhängigen
Fotowiderstände (LDR) werden in der Optoelek-
tronik (Kap. 6) beschrieben. Die Abhängigkeit
des Widerstandswertes von den physikalischen
Größen lässt sich durch Näherungsgleichungen
(Abb. 2.9) berechnen. Die tatsächlichen Abhän-
gigkeiten, die für den Einsatz in Schaltungen
wichtig sind, stellt man aber durch Kennlinien
dar.

2.2.3.1 Heißleiter (NTC-Widerstände)


Heißleiter besitzen einen negativen Temperatur-
koeffizienten (NTC: Negative Temperature Co-
efficient), d. h. die Leitfähigkeit ist im heißen
Zustand größer als im kalten (daher der Name
Heißleiter). Zum Einsatz kommen Mischkristal-
le aus Fe3 O4 (mit MgCr2 O4 oder Zn2 TiO4 ), aus
Fe2 O3 (mit TiO2 ) sowie NiO oder CoO (mit
Li2 O).

Temperaturabhängigkeit
Die Temperaturabhängigkeit eines Heißleiters
lässt sich näherungsweise durch folgende Glei-
chung beschreiben:

RT D RN eB.1=T 1=TN / (2.10)

dabei bedeuten RT , RN den Widerstand bei der


Temperatur T bzw. TN (Nenntemperatur) und B
Abb. 2.13 Abgleichbare Chipwiderstände in Dick-
schichttechnik Werkfoto Siegert electronic eine Materialkonstante (der „B“-Wert).
Durch Differenzieren der Gl. 2.10 ergibt sich
der Temperaturkoeffizient ˛ zu
2.2.3 Nichtlineare Widerstände 1 dR B
˛D D 2 (2.11)
R dT T
Wie Abb. 2.9 zeigt, sind die nichtlinearen
Widerstände zusätzlich von einer weiteren phy- Die Widerstands-Kennlinie zeigt Abb. 2.14.
sikalischen Größe abhängig. Diese Abhängigkeit
wird im Widerstandssymbol durch die schräge Stationäre Spannungs-Strom-Kennlinie
Linie verdeutlicht, an deren Ende die entspre- Trägt man die Werte für die Spannung bei kon-
chende physikalische Größe steht. Was die stanter Temperatur als Funktion des Stromes auf,
Temperaturabhängigkeit betrifft, unterschei- dann ergibt sich die Spannungs-Strom-Kennlinie
det man zwischen Heißleitern mit negativem eines Heißleiters. Wird der Heißleiter von einem
Temperaturkoeffizienten (NTC) sowie Silicium- elektrischen Strom durchflossen, dann gilt für die
2 Passive Bauelemente 111

Abb. 2.15 Spannungs-Strom-Kennlinie mit Belastungs-


und Widerstandslinien. Werkfoto: Siemens

doppelt-logarithmischen Maßstab werden die


Kurven gleicher Leistung (P D konst) und die
Kurven gleicher Widerstandswerte (RT D konst)
zu Geraden mit der Steigung von 45°. Abbil-
dung 2.15 zeigt die zugehörige Spannungs-
Strom-Kennlinie.
Wie die Kennlinie zeigt, ist der Widerstands-
Abb. 2.14 Widerstands-Temperatur-Kennlinie eines verlauf bei kleinen Strömen und Spannungen
Heißleiters im Aluminium-Gehäuse. Werkfoto: Philips linear, da die zugeführte Leistung so gering
ist, dass keine spürbare Eigenerwärmung auf-
tritt. In diesem Bereich wird der Widerstand
elektrische Leistung P : durch die Umgebungstemperatur oder die Fremd-
erwärmung bestimmt. Deshalb können hier
dT Fremdtemperaturen gemessen werden. Mit zu-
P D Gth .T  Tu / C Cth (2.12)
dt nehmender elektrischer Belastung wird der
Heißleiter selbst erwärmt (Eigenerwärmung) und
wobei Gth der Wärmeleitwert, T die Tempera-
der Widerstand beginnt zu sinken. In diesem
tur des Heißleiters, Tu die Umgebungstemperatur,
Bereich kann man den Heißleiter zur Spannungs-
und Cth die Wärmekapazität des Heißleiters ist.
stabilisierung einsetzen. Das Haupteinsatzgebiet
Wird dem Heißleiter elektrische Energie zu-
der Heißleiter liegt heute bei Schutz- und Kom-
geführt, dann erwärmt er sich zunächst. Nach
pensationsaufgaben; denn zur Messung der
einiger Zeit ist der stationäre Zustand erreicht,
Fremderwärmung gibt es präzisere Widerstände
d. h. er gibt die zugeführte elektrische Leistung
(z. B. Silicium-Widerstände, s. Abschn. 2.2.3.2).
durch Wärmeleitung oder Wärmestrahlung an die
Die Heißleiter werden beispielsweise sehr häufig
Umgebung ab. Dann wird dT =dt D 0, so dass
zur Begrenzung des Einschaltstromes eingesetzt.
sich aus Gl. 2.12 ergibt:
Ein geeigneter, großer NTC wird einem Elektro-
P D Gth .T  Tu / oder motor (die Leistung kann durchaus im unteren
kW-Bereich liegen) vorgeschaltet. Zuerst fällt ein
I RT D Gth .T  Tu / oder
2
(2.13) großer Teil der Netzspannung am NTC ab. Die-
U =RT D Gth .T  Tu /
2
(2.14) ser erwärmt sich innerhalb von 100 ms so stark,
dass der Widerstand niederohmiger wird. In die-
Die Gl. 2.13 und 2.14 sind die Parameterdar- ser Zeit hat sich der Motor in Bewegung gesetzt,
stellung der Spannungs-Strom-Kennlinie. Im eine Gegenspannung aufgebaut und zieht deshalb
112 E. Hering und K. Bressler

wenn sich die Arbeitstemperatur im Wendepunkt


der Kennlinie befindet. Für den Widerstandswert
des parallelen Widerstandes Rp gilt dann:

B  2 TM
RP D RMNTC (2.15)
B C 2 TM

Dabei ist RMNTC der Widerstandswert des Heiß-


leiters bei der mittleren absoluten Temperatur TM
(wird der Kennlinie entnommen) und B ist der
„B-Wert“ des Heißleiters.
Die Steilheit der Kennlinie dR=dT der Kom-
bination ist von der Temperatur in folgender Wei-
se abhängig:

dR B 1
D RMNTC
dT TM 2 Œ1 C .RMNTC =Rp /2

(2.16)
Aus Gl. 2.15 lässt sich der Quotient RMNTC =Rp
bestimmen. Wird er in Gl. 2.16 eingesetzt, dann
kann man für ein bekanntes dR=dT den entspre-
Abb. 2.16 Linearisierung der Heißleiter-Kennlinie (Kalt-
widerstand des NTC D 10 k/ durch einen Parallel- chenden Heißleiter-Widerstand berechnen.
Widerstand (Rp D 3 k/. Werkfoto: Siemens Allerdings ist Folgendes zu beachten: Wird
mit einem NTC die Kennlinie korrigiert, so
macht sich dessen Nichtlinearität bei Tempe-
weniger Strom. Die Sicherung muss nicht aktiv raturabweichungen von
˙10 K bemerkbar.
werden. Am heißen NTC fällt dann wenig Span- In diesen Fällen werden zur Korrektur besser
nung ab, die Verluste sind gering und der Motor Silicium-Widerstände eingesetzt, die im folgen-
läuft mit seiner angegebenen Leistung. Für diesen den Abschnitt behandelt werde.
Fall braucht man einen NTC mit großer Masse
und großer Wärmekapazität. 2.2.3.2 Silicium-Widerstände
Zum Einsatz kommt n-dotiertes Silicium mit Do-
Kennlinienkorrektur tierungskonzentrationen zwischen 1014 cm3 und
15 3
Für manche Schaltungen wird ein bestimmter 10 cm . Diese engen Toleranzen lassen sich
Kennlinienverlauf benötigt, den kein handels- im Wesentlichen nur mit NTD-Silicium (Neutron
üblicher Typ besitzt. In anderen Anwendungen Transmutated Doped) erreichen. Diese Silicium-
stört die starke Nichtlinearität der Widerstands- Widerstände haben einen positiven Temperatur-
Temperatur-Kennlinie. Diese Forderungen lassen koeffizienten, d. h., der Widerstand nimmt mit
sich durch eine Parallel- oder Reihenschaltung steigender Temperatur zu (Abb. 2.17). Dabei gilt
mit einem Festwiderstand erfüllen. Allerdings als Näherung:
ist der Temperaturkoeffizient ˛ der Kombination
aus Heißleiter und Festwiderstand immer kleiner R# D RS Œ1 C ˛ # C ˇ.#/2
(2.17)
als der des Heißleiters selbst. In Abb. 2.16 ist die
Linearisierung einer Heißleiter-Kennlinie durch mit R# als Widerstand bei der Temperatur #, RS
einen parallelen Festwiderstand zu sehen. als Widerstand bei #S D 25 ı C, ˛ als Temperatur-
Wie Abb. 2.16 zeigt, besitzt die neue Kenn- koeffizienten (˛ D 0;773  102 K1 ) und ˇ als
linie einen S-förmigen Verlauf mit einem Wen- Temperaturkenngröße (ˇ D 1;83  105 K2 ). Für
depunkt. Die beste Linearisierung erreicht man, die Dimensionierung einer Schaltung verwendet
2 Passive Bauelemente 113

Abb. 2.18 Schaltung zur Linearisierung der Kennlinie:


a Reihenschaltung, b Parallelschaltung, c Leitwert in Ab-
hängigkeit von der Temperatur bei einer Reihenschaltung
von 2370 
Abb. 2.17 Abhängigkeit des Widerstandes von der Tem-
peratur. Werkfoto Philips
ficient), d. h., die Leitfähigkeit ist im kalten Zu-
stand größer als im warmen (daher der Name
man häufig die Näherungsformel Kaltleiter), oder anders ausgedrückt: Der Wider-
stand steigt mit zunehmender Temperatur. Als
R D RS  eA (2.18) Werkstoff dient eine ferroelektrische Mischkera-
mik aus BaTiO3 oder SrTiO3 .
Dabei ist A der Temperaturkoeffizient ˛ bei # D
25 ı C (A D 0;773  102 K1 ). Abbildung 2.17 Temperaturabhängigkeit
zeigt die Abhängigkeit des Widerstandes von der Der Kaltleiter hat im Gegensatz zum Heißlei-
Temperatur. ter nicht immer einen positiven Temperaturko-
Die leicht gekrümmte Kennlinie kann man effizienten, wie Abb. 2.19 zeigt. Auch ist die
durch geeignete Festwiderstände – in Reihe Kurvenform nicht als mathematisch geschlosse-
oder parallel geschaltet – linearisieren. Ab- ne Funktion darzustellen.
bildung 2.18 zeigt mögliche Schaltungen und Der positive Temperaturkoeffizient beginnt
Teilbild 2.18c den Verlauf der Leitfähigkeit bei dem kleinsten Widerstand Rmin . Die Wi-
1=.R C R# / in Abhängigkeit von der Tempe- derstandsänderung erstreckt sich über mehrere
ratur bei einem Serienwiderstand von 2370 . Zehnerpotenzen.
Der Vorteil der Siliciumwiderstände gegen- Der Hersteller gibt eine Bezugstemperatur #b
über den Heißleitern ist die hohe Messgenauig- an, bei dem sich der kleinste Widerstandswert
keit bei sehr engen Toleranzen zu einem güns- Rmin verdoppelt hat. Ab dieser Bezugstempera-
tigen Preis. Aus diesen Gründen verdrängen sie tur #b beginnt der steile Temperaturanstieg, der
bei der Temperaturmessung und -kompensation für den Kaltleiter typisch ist, und endet bei der
zunehmend die NTC-Widerstände. Temperatur #e . Vom Verlauf der Kennlinie sind
folgende zwei Bereiche von Interesse:
2.2.3.3 Kaltleiter (PTC-Widerstände)  Im Bereich des linearen, steilen Anstiegs
Kaltleiter besitzen einen positiven Temperatur- können Temperaturmessungen vorgenommen
koeffizienten (PTC: Positive Temperature Coef- werden.
114 E. Hering und K. Bressler

schneller ab als Luft) führt zu unterschiedli-


chen Erwärmungen der Medien. Dies führt beim
Kaltleiter, entsprechend der Temperaturen, zu
unterschiedlichen Widerstandswerten. Diese sind
ein Maß für die Füllhöhe von Flüssigkeiten. Auf
die gleiche Weise kann festgestellt werden, ob
sich ein Kaltleiter in einem ruhenden oder in
einem strömenden Medium befindet.
Auch wenn die Kaltleiter für genaue Mes-
sungen aufwändige Beschaltungen benötigen, so
sind sie doch als kostengünstige Bauelemente
(keine Halbleiterfertigungstechnologien) in klei-
nen Bauformen in den oben erwähnten Einsatz-
gebieten häufig anzutreffen.
Wie Schaltungskombinationen mit Festwider-
ständen, Kaltleitern und Heißleitern bestimmt
werden, ist in Abschn. 1.4.3 ausführlich darge-
stellt.

2.2.3.4 Spannungsabhängige
Widerstände (Varistoren, VDR)
Spannungsabhängige Widerstände (VDR: Volta-
ge Dependent Resistor) werden auch Varisto-
Abb. 2.19 Widerstands-Temperatur-Kennlinie eines ren genannt. Ihre U=I -Kennlinie ist symmetrisch
Kaltleiters (Abb. 2.20), und der Widerstand nimmt mit stei-
gender Spannung ab, wie ein Nichtlinearitätsex-
ponent ˛ beschreibt.
 Der Bereich des Übergangs von geringen Wi- Den Verlauf der Spannungs-Strom-Kennlinie
derstandswerten zum steilen Anstieg zeigt ein beschreibt die Gleichung:
schlagartiges Umschalten vom niederohmigen
in den hochohmigen Bereich. I D K Ua (2.19)
Man unterscheidet zwischen der Eigenerwär-
I ist der Strom (in A) und U die Spannung
mung und der Fremderwärmung (Erwärmung
von außen). Fließt ein Strom durch den Kalt- (in V) des Varistors, K eine geometrieabhängi-
leiter, so erwärmt er sich (Eigenerwärmung). ge Konstante (in A V1 / und ˛ der Nichtlinea-
Dadurch steigt der Widerstand. Mit diesem Ef- ritätsexponent. Für den Widerstand R und die
Leistung P in Abhängigkeit der angelegten Span-
fekt kann der Strom begrenzt werden. Werden
nung U gilt der Zusammenhang
Kaltleiter beispielsweise in die Transformatoren-
wicklungen eingebaut, so erwärmen sie sich beim 1 1˛
Stromfluss (Außenerwärmung), der Widerstand R D U=I D U (2.20)
K
steigt und der Stromfluss wird verringert oder das P D UI D KU ˛C1 (2.21)
Aggregat sogar ganz abgeschaltet. In diesem Fall
dienen die Kaltleiter zur reversiblen Überstrom- Logarithmiert man Gl. 2.19 bis 2.21, so erge-
sicherung. Mit diesem Effekt werde sie auch als ben sich folgende Geradengleichungen, die eine
selbstregelnde Thermostate eingesetzt. Kaltlei- anschauliche Beschreibung der Zusammenhänge
ter werden auch als Flüssigkeits-Niveaufühler zulassen:
eingebaut. Die unterschiedliche Wärmeleitfähig-
keit der Medien (Flüssigkeit führt die Wärme log I D log K C ˛ log U (2.22a)
2 Passive Bauelemente 115

Abb. 2.20 Spannungs-Strom-Kennlinie eines Varistors

Abb. 2.21 Strom-, Widerstands- und Leistungsabhängig-


log R D log (1=K/ C .1  ˛/ log U (2.22b) keit von der Spannung
log P D log K C .˛ C 1/ log U (2.22c)

Abbildung 2.21 zeigt die entsprechenden Kurven eines Varistors im doppelt logarithmischen Maß-
in linearer und logarithmischer Darstellung. In stab wieder.
den Datenblättern wird meist die logarithmische Wie aus Abb. 2.22 zu ersehen ist, gibt es einen
Darstellung bevorzugt. Arbeitsbereich, in dem die Kennlinie im doppelt
Der Nichtlinearitätskoeffizient ˛ lässt sich aus logarithmischen Maßstab in guter Näherung ei-
Gl. 2.22a bestimmen, wenn man zwei Wertepaare ne Gerade mit ˛ D 38 ist. Nach Abb. 2.22
für Strom und Spannung (I1 =U1 und I2 =U2 ) aus liegt dieser Arbeitsbereich bei Strömen von 105
der Kennlinie nimmt und einsetzt, so dass sich ˛ bis 102 A, d. h. bei Spannungen im Bereich von
wie folgt errechnet: 170 V bis 300 V. Häufig gibt man in den Daten-
blättern die Varistorspannung an, die der Span-
log I2  log I1 nung eines Varistorstromes von 1 mA entspricht
˛D (2.23)
log U2  log U1 (in Abb. 2.22 sind dies 250 V). Diese Spannung
dient zur Klassifikation des Varistors. In der Pra-
Die ˛-Werte liegen zwischen 30 und 60 (für ZnO- xis rechnet man nicht mit der Kurve, sondern
Varistoren). sucht den Varistor so aus, dass seine Verlustleis-
Während Abb. 2.21 den theoretischen Zusam- tung bei der höchsten vorkommenden Betriebs-
menhang zwischen Strom und Spannung zeigt spannung klein ist, gefährliche Überspannungen
(Bild rechts oben), gibt Abb. 2.22 den tatsäch- aber durch sehr hohe Ströme im Varistor begrenzt
lichen Verlauf der Strom-Spannungs-Kennlinie werden.
116 E. Hering und K. Bressler

Abb. 2.23 Charakteristik einer Feldplatte: a Strompfad


ohne Magnetfeld, b Strompfad mit Magnetfeld, c Kenn-
Abb. 2.22 Strom-Spannungs-Kennlinie im doppelt loga- linie
rithmischen Maßstab. Werkfoto: Philips

die Spannungsstabilisierung und die Absorption


Die Varistoren sind wie Kondensatoren auf- von Schaltenergie (z. B. beim Funkenlöschen).
gebaut (s. Schema in Abb. 2.9). Zwischen den
beiden Elektroden befindet sich gesintertes ZnO 2.2.3.5 Magnetfeldabhängige
(früher SiC) mit anderen Oxiden. Während die Widerstände (Feldplatten)
Körner aus ZnO gut leiten, besitzen die Kör- Bei den magnetfeldabhängigen Widerständen
ner aus den anderen Oxiden eine geringe Leit- steigt der Widerstand bei Erhöhung des Magnet-
fähigkeit, d. h. einen hohen Widerstand. An den feldes an. Ursache ist der Halleffekt, bei dem
Stellen, an denen die ZnO-Körner zusammen- ein transversales Magnetfeld die Stromrichtung
stoßen, bilden sich Mikro-Varistoren mit einer zu drehen vermag. Als Material dient Indium-
Ansprechspannung (Spannung für den leitenden Antimonid (InSb), in dem in Querrichtung gut
Zustand) von 3,8 V. Das elektrische Verhalten leitende Nickel-Antimonid-Nadeln (NiSb) bei
eines Varistors ergibt sich durch Reihen- und der Herstellung ausgeschieden werden. Ohne äu-
Parallelschaltung solcher Mikro-Varistoren. Der ßeres Magnetfeld leitet der Kristall gut, weil der
steile Anstieg des Widerstandes (Abb. 2.20) und Strom über die eingebetteten NiSb-Nadeln fließt
die schnellen Schaltzeiten tS < 25 ns machen (Abb. 2.23a). Beim Anlegen eines Magnetfel-
den Varistor zu einem sehr guten Schutzelement. des werden die Ladungsträger abgelenkt, so dass
Für kleine Leistungen (z. B. bei Telekommuni- sich der Widerstand erhöht (Abb. 2.23b). Die
kationsleitungen) werden SMD-Bauteile einge- Änderung des Widerstandes mit zunehmender
setzt und bei großen Leistungen (z. B. für Blitz- Induktion B zeigt Abb. 2.23c.
Stoßspannungen in Versorgungsnetzen) Varisto- Der Widerstand besteht aus zwei Anteilen:
ren in Scheibenform. Andere Einsatzgebiete sind zum einen aus dem Grundwiderstand R0 der
2 Passive Bauelemente 117

Abb. 2.24 Potenziometer:


a als Spannungsteiler, b als
veränderbarer Widerstand

Feldplatte (Widerstand ohne Magnetfeld) und Für Potenziometer sind, wie Abb. 2.24 zeigt,
dem zusätzlichen Widerstand aufgrund der ma- hierzu mindestens drei Anschlüsse notwendig
gnetischen Induktion RB . Der Grundwiderstand (Abb. 2.24), der Anfang (a) und das Ende (c)
R0 wird bestimmt durch die des Widerstandes sowie der Schleiferabgriff (b).
 Leitfähigkeit  des Materials, für die es je Abbildung 2.25 zeigt ein Drehpotenziometer mit
nach Dotierung (mit Tellur) drei Grundtypen den Drehbereichen und den Anschlüssen.
gibt: Widerstandskurven nach DIN 41450 geben
D-Material: Undotiert mit einer Leitfähigkeit an, wie sich der Widerstandswert Rab =Rac in
von  D 200 . cm/1 ; Abhängigkeit von der Schleiferstellung ˛ ändert
L-Material: Dotiert mit einer Leitfähigkeit von (maximal etwa 270°). Abbildung 2.26 zeigt die
 D 550 . cm/1 ; möglichen Widerstandskurven. Ein positiver lo-
N-Material: Dotiert mit einer Leitfähigkeit garithmischer Widerstandsverlauf wird beispiels-
von  D 800  cm1 ; weise zur Einstellung der Lautstärke benötigt,
 Breite des Mäanderstreifens (meist 80 m); da das Ohr die Lautstärkeänderung logarithmisch
 Dicke des Mäanderstreifens (meist 25 m); wahrnimmt. Dann ergibt sich pro Drehwinkel
 Länge des Mäanderstreifens. eine als gleichmäßig empfundene Lautstärkezu-
Da die Veränderung des Widerstandes durch das nahme.
Magnetfeld trägheitslos erfolgt, kann man Feld- Als Werkstoffe für Potenziometer eignen sich
platten in der Hochfrequenztechnik einsetzen. Drahtwicklungen, Kohleschichten oder Schich-
Der Temperaturkoeffizient ˛ ist bei diesen ten aus Cermet (Metallteilchen in Keramik
Bauelementen sowohl von der Temperatur als
auch von der magnetischen Induktion B abhän-
gig. Genauere Angaben sind in den Datenblättern
der betreffenden Hersteller zu finden.
Wie Abb. 2.9 zeigt, finden Feldplatten vor-
nehmlich als kontaktlose Potenziometer, zur
Drehzahlmessung und als berührungslose Ge-
schwindigkeitsmesser Verwendung, ferner zur
Ansteuerung von bipolaren Transistoren (Kap. 3).

2.2.4 Einstellbare Widerstände


(Potenziometer und Trimmer)
Einstellbare Widerstände dienen als veränderli-
che Spannungsteiler (Abb. 2.24a) oder als verän-
derliche Widerstände (Abb. 2.24b). Wie Abb. 2.9
zeigt, unterscheidet man zwischen Widerständen,
die während des Betriebs eingestellt werden kön-
nen (Potenziometer) und solchen, die als Wider-
stände vor dem Betrieb eingestellt werden müs- Abb. 2.25 Schnitt durch ein Drehpotenziometer. Werkfo-
sen (Trimmer). to: Bourns
118 E. Hering und K. Bressler

 Schiebepotenziometer
Der Schleifer ist von außen durch eine Schie-
benase zu betätigen. Solche Schiebepotenzio-
meter benutzt man häufig in der Unterhal-
tungselektronik.
 Spindel-Potenziometer
Im Prinzip handelt es sich dabei um ein Schie-
bepotenziometer, das aber den Schleifer ganz
fein mit einem Spindelantrieb einstellen kann.
 Trimmer-Potenziometer
Bei einem Trimmer-Potenziometer stellt man
meist mit Hilfe eines Schraubendrehers die
Arbeitspunkte oder Spannungspegel in elek-
tronischen Schaltungen ein. Üblicherweise
handelt es sich um Kohleschicht-Potenzio-
meter. Da man die Potenziometerstellung nur
Abb. 2.26 Widerstandskurven nach DIN 41 450
selten verändert, prüft man diese Bauelemente
nur mit 100 Zyklen. Trimmer-Potenziome-
ter sind auch als SMD-Bauteile verfügbar
oder Glasmasse). Einfache Schichtpotenziome- (Abb. 2.9).
ter sind nicht sehr genau (Abweichungen von Die Potenziometer sind (außer bei Trimmern)
˙ 20 %). Wesentlich genauer sind Drahtpo- vorwiegend als Stellglieder zur Umsetzung
tenziometer oder Präzisionspotenziometer, die von Weg- und Winkelinformationen für die
jedoch sehr teuer sind. Die Widerstandsbahn aus Bedienung von Geräten in Gebrauch. Die da-
einer Hartkohleschicht verläuft kreisförmig um bei auftretenden Informationen gehen als Ist-
die Drehachse. oder Sollgröße in elektronischen Schaltungen
Bei der einfachsten Ausführung befindet sich zu Steuer- und Regelzwecken ein.
die Kohleschicht auf einer Hartpapierplatte, bei
hochwertigeren Potenziometern ist es eine Kera- 2.2.5 Zur Übung
mikscheibe.
Folgende Bauformen sind üblich: Ü 2-2-1 Ein Kohleschichtwiderstand der Grö-
 Draht-Drehpotenziometer ße 0207 besitzt laut Datenblatt folgende Werte:
Ein ringförmiger Keramikkörper wird mit Wi- P70 D 0;33 W, Umax D 250 V und Rkrit D
derstandsdraht bewickelt. Den Schleifer be- 200 k.
wegt man von Windung zu Windung; deshalb a) Wie groß ist der kritische Widerstand Rkrit ?
weist der Widerstand Stufen auf, die umso b) Es sind die Widerstandswerte RN1 D 100 k
kleiner sind, je mehr Windungen die Wicklung und RN2 D 470 k vorhanden. Entscheiden
hat. Sie, welche Widerstandswerte auf Grund der
Als Präzisions-Potenziometer findet das Viel- Spannungen Umax nicht mit der vollen Leis-
gang-Potenziometer Verwendung, wobei der tung P70 belastet werden dürfen.
Widerstandskörper nicht ringförmig, sondern
schraubenförmig ist. Der Schleifer folgt der
2.3 Kondensatoren
Schraube (Abb. 2.9, rechts unten).
Die Potenziometer aus Draht sind am höchs- Ein Kondensator besteht prinzipiell aus zwei
ten belastbar (bis zu 100 W). Dem Trend von elektrisch leitfähigen Flächen (auch Elektroden
der Analog- zur Digitaltechnik folgend, ersetzt oder Beläge genannt), die durch einen Isola-
man die genauen Potenziometer zunehmend tor (Dielektrikum) voneinander getrennt sind
durch Schaltungen mit AD-Wandlern. (Abb. 2.27).
2 Passive Bauelemente 119

" ist die Permittivität des Dielektrikums (" D


""r ; dabei ist " die elektrische Feldkonstante:
" D 8;854187817  1012 (As)=(Vm) und "r die
Permittivitätszahl), A die wirksame Elektrodeno-
berfläche und d der Abstand der Elektroden (oder
die Dicke d des Dielektrikums). Die Kapazi-
tät C eines Kondensators ist nach Gl. 2.24 um-
so größer, je höher die Permittivität " oder die
Permittivitätszahl "r ist, je größer die Elektroden-
fläche A und je kleiner der Elektrodenabstand d
Abb. 2.27 Aufbau eines Kondensators
ist. In der Technik wählt man deshalb folgen-
de Maßnahmen zur Erhöhung der Kapazität und
Ein Kondensator kann Ladung und damit auch kombiniert sie miteinander, soweit dies möglich
elektrische Energiespeichern. Die Kapazität C ist:
als Maß für das Speichervermögen des Konden-  Dielektrika mit sehr hohen "r -Werten (z. B.
sators gibt an, wieviel Ladung Q pro Spannungs- Keramik-Kondensator),
einheit U gespeichert werden kann (C D Q=U ).  Vergrößerung der Fläche A durch Aufwi-
Die Einheit der Kapazität ist das Farad F (M. ckeln der Elektroden und des Dielektrikums
FARADAY, 1791 bis 1867): 1 F D 1 As=V. Das (Wickelkondensator), durch mehrere Schich-
heißt, ein Kondensator besitzt die Kapazität C ten (Schichtkondensator) oder Aufrauen
von 1 F, wenn bei einem Strom von 1 A innerhalb der Elektrodenoberfläche durch Ätzen (z. B.
von 1 Sekunde (s) die Spannung U auf 1 V an- Aluminium-Elektrolytkondensator) oder Sin-
steigt (Definition nach DIN 1301). Das Farad ist tern (z. B. Tantal-Elektrolytkondensator),
eine sehr große Einheit. In der Praxis eingesetzte  Verringern der Dicke d durch dünne Foli-
Kondensatoren besitzen nur Bruchteile eines Fa- en (Wickelkondensator, Schichtkondensator)
rads (mF, F, nF oder pF). Der Kondensator kann oder durch dünne Oxidationsschichten (Alu-
im Gleichstrom- und im Wechselstromkreis ein- minium- und Tantal-Elektrolytkondensator).
gesetzt werden und erfüllt dabei im Wesentlichen Aus diesen Möglichkeiten lassen sich entspre-
folgende Funktionen: chende Bauformen ableiten, die immer auch für
 Ladungs- und Energiespeicher im Gleich- die speziellen Einsatzbedingungen geeignet sein
stromkreis und müssen.
 frequenzabhängiger Widerstand im Wechsel- Bei Kondensatoren steigen, trotz ständiger
stromkreis. Verkleinerung des Kondensatorvolumens, die
Mit der gespeicherten Ladung bei der Span- Belastungen zunehmend. Darum muss man
nung U besitzt der Kondensator den Energiein- die Belastbarkeitsgrenzen der Materialien und
halt Wel D 1=2Q  U D 1=2C  U 2 . Das Bauformen (z. B. für Spannungen, Ströme,
bedeutet, je höher die Kapazität C ist, desto Betriebstemperaturen, Eigenerwärmung oder Ka-
mehr Ladung Q und elektrische Energie Wel pazitätsstabilität) experimentell genau ermitteln,
kann ein Kondensator bei einer konstanten Span- und die Anforderungen der Anwender genau ken-
nung U speichern. Für den frequenzabhängigen nen. Die Angabe von Kapazität und Spannung
Widerstand gilt: Xc D 1=.! C / in . Wie in allein genügt deshalb nicht, um den geeigne-
Abschn. 1.6.3.3 (Abb. 1.48) ausführlich darge- ten Kondensator für den jeweiligen Einsatzfall
stellt wurde, eilt beim verlustfreien Kondensator herauszufinden. Dazu sind weitere Daten erfor-
der Strom I der Spannung U um 90° voraus. derlich, wie beispielsweise
Die Kapazität C eines Platten-Kondensators  Spannungsform, Betriebsfrequenzen, Ströme,
errechnet sich nach  Einsatztemperaturen und Kühlbedingungen,
 Zuverlässigkeitsforderungen (Lebensdauer,
C D "A=d (2.24) Ausfallrate, Kapazitätsstabilität),
120 E. Hering und K. Bressler

Abb. 2.28 Übersicht über die Kondensatoren (Luft-/Keramik-Trimmer)


2 Passive Bauelemente 121

Abb. 2.28 (Fortsetzung)


122 E. Hering und K. Bressler

 mechanische Anforderungen (Anschlussele- darf. Die Toleranz muss bei 20 °C im Neuzustand


mente, Schwingungen), des Kondensators eingehalten werden. Durch La-
 klimatische Beanspruchung, gerung und/oder Betrieb kann die Toleranz grö-
 Einbaulage und die ßer werden. Die Toleranzangabe ist meist auf das
 Beanspruchung bei der Verarbeitung (z. B. Gehäuse oder die Umhüllung des Kondensators
Lötbedingungen). aufgedruckt.
Datenblätter für die einzelnen Kondensatoren ge-
ben zumindest teilweise Auskunft über die ent- Verlustfaktor tan ı Jeder Kondensator enthält
sprechenden zulässigen Werte. im Betrieb verlustbehaftete Komponenten: Ohm-
sche Widerstände der Elektroden und Zuleitun-
gen sowie Dipolumlagerungen und Ionenleitung
2.3.1 Übersicht über die im Dielektrikum. Diese Verluste gibt der Ver-
Kondensatoren lustfaktor tan ı D Wirkleistung=Blindleistung
an. Abbildung 2.29 zeigt das zugehörige Ersatz-
Abbildung 2.28 zeigt eine Einteilung der schaltbild des Kondensators.
Fest-Kondensatoren sowie die einstellbaren Kon- Die Verluste im Dielektrikum sind dargestellt
densatoren. In dieser Übersicht sind die einzelnen durch R (in der Regel kein ohmscher Wider-
Typen und an Hand von Schnittbildern ihr prinzi- stand). Parallel dazu liegt der Isolationswider-
pieller Aufbau angegeben, ferner die wichtigsten stand Risol , der jedoch nur bei niedrigen Fre-
Kennwerte wie Nennspannungs- und Kapazi- quenzen wichtig ist. Die ohmschen Verluste er-
tätsbereiche, Verlustfaktor, gespeicherte Energie geben sich aus der endlichen Leitfähigkeit der
pro Volumen und Frequenzbereich. Auch wird Elektroden und aus Widerständen der inneren
auf umfangreiche Normen und Qualitätsvor- Zuleitungen sowie aus Kontaktwiderständen zwi-
schriften verwiesen (weltweit IEC: International schen Elektroden und Anschlussdrähten (darge-
Electrotechnical Commission; für Europa CE- stellt durch den Reihenwiderstand r). Jeder tech-
NELEC: Comite Européen de Normalisation nische Kondensatoraufbau besitzt eine Eigenin-
Electrotechnique; CECC: CENELEC Electronic duktivität L.
Components Committee sowie die nationalen
Normen VDE und DIN). Aus der Übersicht sind
zusätzlich die wichtigsten Anwendungsbereiche Isolationswiderstand und Zeitkonstante Für
und die häufigsten Bauformen zu erkennen. Das die Güte eines Kondensators wird der Isolations-
Diagramm rechts zeigt, in welchen Spannungs- widerstand Risol in M angegeben als Verhältnis
und Kapazitäts-Bereichen die einzelnen Konden- von angelegter Gleichspannung zum Isolations-
satoren Anwendung finden. strom (meist eine Minute nach Aufladung des
Zum Verständnis des Verhaltens von Konden- Kondensators gemessen). Die Selbstentladungs-
satoren sind folgende Kenngrößen von Bedeu- Zeitkonstante  D Risol C in M  F D s
tung: gibt an, wieviel Sekunden nach Abtrennung von
der Spannungsquelle die Spannung zwischen
Nennspannung Mit dieser Gleichspannung den Anschlüssen eines geladenen Kondensa-
kann man den Kondensator im Dauerbetrieb oh- tors auf 37 % abgesunken ist. Typische Werte
ne Schaden betreiben. Dies gilt allerdings nur für Kondensatoren mit Papierdielektrikum sind
für eine eingeschränkte Betriebstemperatur (z. B.   5000 s und mit Kunststoffdielektrikum
C85 ı C/, da bei höheren Temperaturen bei-   50:000 s, gemessen bei Raumtemperatur.
spielsweise die zulässige Dauergrenz-Spannung
abfällt (Spannungs-Derating). Temperaturkoeffizient der Kapazität Die
Kapazität C bei einer bestimmten Umgebungs-
Kapazitätstoleranz Sie gibt an, um wieviel Pro- temperatur errechnet sich aus der Kapazität C20
zent der Kapazitätswert vom Sollwert abweichen bei 20 °C, der Umgebungstemperatur # und dem
2 Passive Bauelemente 123

Kontakte gefährdet. Deshalb gibt man in den Da-


tenblättern für jeden Typ die zulässige Flanken-
steilheit FN für den Spannungshub um die ganze
Nennspannung UN in V=s an. Nach Gl. 2.27
ist für gleiche Werte des Integrals die gleiche
Kontaktbelastung zu erwarten (bei konstant ge-
haltenem rK und C ). Dann gilt: Je kleiner der
Spannungshub und damit die Impulsdauer T ,
Abb. 2.29 Ersatzschaltbild für einen realen Kondensator umso größere Werte darf dU =dt annehmen. Die
maximale Flankensteilheit Fmax errechnet sich
aus der Nenn-Flankensteilheit FN und den ent-
Temperaturkoeffizienten ˛ wie folgt sprechenden Spannungen wie folgt:

C D C20 Œ1 C ˛.#  20/


(2.25) Fmax D .UN =UB /FN (2.28)

Somit gibt der Temperaturkoeffizient ˛ an, um Beispiel 2.3-1


welchen Bruchteil sich der bei 20 °C gemessene Für eine Nennspannung UN D 63 V beträgt
Kapazitätswert reversibel ändert, wenn die Um- die Flankensteilheit FN D 45 V=s. Die Be-
gebungstemperatur um 1 K ansteigt. Der Tempe- triebsspannung UB liegt bei 8 V. Wie groß ist
raturkoeffizient ˛ kann positiv oder negativ sein die maximale Flankensteilheit Fmax ?
(Abb. 2.30 und 2.31). Sein Verlauf beeinflusst vor
allem die Resonanzfrequenz eines Schwingkrei- Lösung
ses. Nach Gl. 2.28 ergibt sich für Fmax D
.63 V=8 V/45 V=s D 354;4 V=s.
Impulsbelastbarkeit Eine Spannungsänderung
du verursacht am Kondensator eine Ladungszu-
nahme dQ D i dt nach der Gleichung i dt D 2.3.2 Kondensatoren mit dünnen
C du. Wird diese Gleichung nach dem Strom i Folien als Dielektrikum
umgestellt, so ergibt sich
2.3.2.1 Aufbau
i D C .du=dt/ (2.26) Bei den Kondensatoren mit Metallfolien liegt
zwischen den Metall-Elektroden (meist aus
Deshalb bewirken Spannungsimpulse mit ei- Aluminiumfolie) ein Dielektrikum aus imprä-
ner Flankensteilheit F D du=dt impulsförmi- gniertem Papier oder aus Kunststoff. Metallfolien
ge Ströme i durch den Kondensator. Bei einem und Dielektrika werden aufgewickelt; im
Spannungsimpuls der Dauer T wird am Kon- abgewickelten Zustand stellen sie einen Platten-
taktwiderstand rK (Teil von r in Abb. 2.29) die kondensator dar. Die Kunststoff-Folien haben das
Energie Papier wegen ihres niedrigeren Verlustfaktors, ih-
rer großen Homogenität und ihrer Herstellbarkeit
ZT Z in kleinen Dicken teilweise verdrängt. Von den
E D rK i 2 dt D rK C 2 .du=dt/2 dt Kunststoffen (K) sind als Dielektrikum vor allem
Polycarbonat (KC), Polypropylen (KP), Polysty-
(2.27) rol (KS) und Polyester (Polyethylenterephtalat
in Wärme umgesetzt. Zu hohe Ströme können so KT) im Einsatz (Abb. 2.28 und Tab. 2.5).
die Kontaktierung zwischen Elektroden und An- Bei Kondensatoren mit metallisierten Belägen
schlussdrähten schädigen. Besonders Kondensa- werden die Dielektrika (Papier oder Kunststoff)
toren mit dünnen metallisierten Elektroden (Ab- mit Metall (häufig Aluminium oder Zink) be-
schn. 2.3.2.1) sind durch Abbrand solcher dünner dampft und so die Elektroden sehr platzsparend
124

Abb. 2.30 Relative Kapazitätsänderung in Abhängigkeit von der Temperatur


E. Hering und K. Bressler
2 Passive Bauelemente 125

Tab. 2.5 Eigenschaften von Dielektrika aus Kunststoff


Eigenschaft Kunststoff
Polycarbonat Polypropylen Polyester
Permittivitätszahl "r 2,8 2,2 3,3
(1 kHz; 23 °C) (temperaturkonstant) (bei zunehmender Tempe- (bei zunehmender Tempe-
ratur negativ) ratur positiv)
Spezifischer Durchgangs- 2  1017 6  1018 1018
widerstand =( cm)
(23 °C)
Durchschlagsfestigkeit in 535 V 650 V 580 V
V=m (23 °C)
Temperaturbereich 55 °C bis 100 °C 55 °C bis 85 °C 55 °C bis 100 °C

Abb. 2.32 Kennzeichnung des Außenbelages von Kapa-


zitäten

Kondensatoren mit Metallfolien und für die me-


Abb. 2.31 Relative Kapazitätsänderung in Abhängigkeit tallisierten Typen).
von der Temperatur Wie aus Abb. 2.30 zu entnehmen ist, hängt
die Kapazität mehr oder weniger stark von der
Temperatur ab. Das Temperaturverhalten ist aber
erzeugt (Abschn. 2.3.2.3). Metallisierte Papier- weitgehend reversibel und zwischen den Tem-
folien haben meist die Abkürzung MP, metal- peraturen von etwa 20 °C und C70 °C annä-
lisierte Kunststoff-Folien MK. Bei den Kunst- hernd linear. Eine vergleichende Zusammenstel-
stoffen dient ein weiterer Buchstabe zur Kenn- lung zeigt Abb. 2.31. Daraus ist zu ersehen (rote
zeichnung der Kunststoffart (z. B. MKP: metalli- Linie), dass der Kondensator mit einer Polycar-
sierte Kunststoff-Folie aus Polypropylen und ein bonat-Folie (KC, MKC) einen annähernd kon-
Kondensator mit der Bezeichnung KP: Alumini- stanten Temperaturverlauf aufweist.
umfolie mit Polypropylen als Dielektrikum). Die In Tab. 2.5 sind die wichtigsten Kennwerte für
Kunststoff-Folien werden in Dicken unter 2 m einige Dielektrika aus Kunststoff zusammenge-
verwendet. Eine wichtige Eigenschaft der MK- stellt.
und MP-Kondensatoren ist die Fähigkeit zur Aus- Der mit dem außen liegenden Kondensatorbe-
heilung nach erfolgten Durchschlägen. lag verbundene Anschlussdraht wird durch einen
Strich oder einen Ring auf dem Gehäuse gekenn-
zeichnet (Abb. 2.32).
2.3.2.2 Eigenschaften Verbindet man in hochohmigen Kreisen den
In Abb. 2.30 sind Diagramme für die wichtigs- Außenbelag des Kondensators mit dem jeweils
ten Kenngrößen der Kondensatoren mit Folien- niederohmigeren Teil („Masse“) der Schaltung,
Dielektrikum zusammengestellt (die roten Linien so wirkt er wie ein Faraday’scher Käfig als Ab-
gelten für die Folien, die schwarzen Linien für schirmung gegen äußere elektrische Störfelder,
126 E. Hering und K. Bressler

wie beispielsweise das elektrische Feld der Netz-


spannung.

2.3.2.3 Selbstheilende Kondensatoren


(MP und MK)
Bei selbstheilenden Kondensatoren bestehen die
Elektroden (Beläge) nicht aus Metallfolien (Di-
cke etwa 6 m bis 20 m), sondern aus sehr
dünnen (0,02 m–0,05 m), im Hochvakuum
aufgedampften Metallbelägen (metallisierte Pa-
pierfolie: MP oder metallisierte Kunststoff-Folie:
MK). Die Selbstheilung kommt folgendermaßen
zustande: Der bei einem Durchschlag entstehen-
de Lichtbogen verdampft den Metallbelag in der
Umgebung der Durchschlagstelle. Dadurch wird
die Durchschlagstelle vom elektrisch aktiven
Belag abgetrennt. Der Selbstheilvorgang dauert
weniger als 10 s; die Strom- und Energiezufuhr
zur Durchschlagstelle ist begrenzt. Da der Me-
tallbelag nur in der unmittelbaren Umgebung der
Durchschlagstelle verdampft, ist die Kapazitäts- Abb. 2.33 Aufbau eines metallisierten, ausheilfähigen
abnahme auch nach vielen Selbstheilvorgängen Kondensators mit erfolgtem Durchschlag. a Bedampfte
gering (z. B. etwa 1 % nach 1000 Durchschlä- Folien, b Wickelbereich mit Durchschlag
gen).
Im Gegensatz zu Elektroden aus Metallfolien
kann der aufgedampfte Metallbelag nicht durch
Kontaktstreifen kontaktiert werden. Selbstheilen- 2.3.2.4 Kondensatoren für die
de und impulsfeste Kondensatoren werden des- Leistungselektronik
halb an den Wickel-Stirnseiten vollflächig kon- Ein spezielles Anwendungsgebiet für Kondensa-
taktiert. Dazu ist es erforderlich, dass das Dielek- toren mit Folien als Dielektrikum ist die Leis-
trikum (Papier oder Kunststoff) auf einer Seite tungselektronik im Spannungsbereich von 50 bis
nicht bis zum Rand bedampft wird, um die Iso- 10.000 V (VDE 0560, Teil 12). In Schaltungen
lierung der beiden Beläge gegeneinander sicher- mit Leistungshalbleitern erfüllen die Kondensa-
zustellen. Abbildung 2.33 zeigt den Aufbau. In toren folgende Aufgaben:
Abb. 2.33a sind der Belag 1 und der Belag 2  Filtern von unerwünschten Oberwellen; die
jeweils mit unbedampftem Rand dargestellt. Ab- Kondensatoren werden zwischen dem Gleich-
bildung 2.33b zeigt einen Wickel-Teilbereich mit stromnetz und dem Gleichstromsteller einge-
einem erfolgten Durchschlag. setzt.
Auf die Stirnseite des fertigen Wickels wird  Stützen der Gleichspannung im Zwischenkreis
im Flammspritzverfahren Metall (häufig Zink) bei spannungsgeführten Umrichtern (periodi-
aufgespritzt (Schoopen). Dadurch sind alle Be- sche Abgabe kurzer und hoher Stromimpulse).
lagwindungen kontaktiert. Zuleitungswiderstand  Aufnahme oder Abgabe starker Stromstöße
und -induktivität sind sehr gering (dämpfungs- (z. B. Laser; Kopierer, Blitzlicht).
arme Kondensatoren). Dies ist Voraussetzung,  Bedämpfen oder Unterdrücken unerwünschter
wenn der Kondensator bei höheren Frequenzen Spannungsspitzen an Halbleiterbauelementen
oder bei Spannungen mit hochfrequenten Antei- (Trägerstaueffekt in Leistungsdioden, Leis-
len im Einsatz ist. tungsthyristoren, GTO-Thyristoren, s. Kap. 5).
2 Passive Bauelemente 127

Abb. 2.34 MKV-Kondensator: a Schnitt durch den Wickel, b Schnitt durch den Kondensator

 Kommutieren, d. h. Löschen des leitenden Zu- zeit). Der MKP-Kondensator hat sich durchge-
standes von Thyristoren in Gleichstromstel- setzt für den Spannungsbereich 250 V bis 600 V
lern und zwangsgeführten Wechselrichtern. und für einfachere Anwendungen.
Für diese Einsatzgebiete müssen die Kondensato-
ren folgende Eigenschaften aufweisen:
a) Hohe Spitzenstrom-Belastbarkeit.
b) Hohe Spannungsfestigkeit. MKV-Kondensator
c) Niedrige Eigeninduktivität. Dieser Kondensatortyp besitzt einen besonderen
d) Hohe Energie-Speicherfähigkeit. Aufbau. Ein Kondensatorpapier wird als Elek-
e) Große Zuverlässigkeit auch bei thermi- trode beidseitig mit Metall bedampft und liegt
scher Belastung. Zum Einsatz kommen je dadurch nicht im elektrischen Feld. Als Dielektri-
nach Anforderungsprofil die Bauformen: kum dient eine Polypropylenfolie, die dafür sorgt,
Metallfolien-Kondensator, MP-Kondensator, dass vom aufgedampften Metall keine Spitzen-
MKP-Kondensator und MKV-Kondensator. wirkungen ausgehen können und deshalb an jeder
Die letzten beiden Bauformen werden im Folgen- Stelle dieselbe Durchschlagfestigkeit herrscht.
den näher beschrieben. Abbildung 2.34 zeigt im Teilbild a den schemati-
schen Aufbau des Wickels und im Teilbild b das
Schnittbild eines Leistungskondensators.
MKP-Kondensator Der MKV-Kondensator weist folgende Vortei-
Wie die Kurzbezeichnung aussagt, handelt es sich le auf:
dabei um einen Kondensator mit einem Dielek-  niedrige Verluste im Dielektrikum,
trikum aus einer metallisierten Polypropylenfolie.  höhere Spannungsfestigkeit durch Imprägnie-
Die Vorteile dieser Bauform sind: rung,
 niedrige Verluste im Dielektrikum,  hohe Kapazitätsstabilität durch Imprägnie-
 trockener Aufbau, d. h. kein flüssiges Imprä- rung,
gniermittel,  hohe Spitzenstrom-Belastbarkeit, da Papier als
 kleines Volumen, Träger von zwei Metallbelägen die Kontaktie-
 preisgünstiges Material für das Dielektrikum. rung verbessert.
Nachteilig sind die relativ geringe Spitzenstrom- Nachteilig ist seine Empfindlichkeit gegenüber
belastbarkeit und die nur mäßige Kapazitätsstabi- Temperaturwechseln. Der MKV-Kondensator
lität (Kapazitätsabnahme im Laufe der Betriebs- ist geeignet für Nennspannungen bis etwa 3 kV
128 E. Hering und K. Bressler

Tab. 2.6 Bestandteile von Elektrolyt-Kondensatoren


Ventilmetall: Aluminium Tantal
Dielektrikum: Al2 O3 Ta2 O5
Elektrolyt: nass Salzlösung Schwefelsäure
trocken Mangandioxid

(durch Serienschaltung von Wickeln oder in den


Wickeln) und für erhöhte Anforderungen.

Abb. 2.35 Ersatzschaltung eines Elko

2.3.3 Elektrolyt-Kondensatoren

Jeder Kondensator besteht aus zwei elektrisch misch gebundene Sauerstoff notwendig und der
leitfähigen Elektroden (Belägen), die durch ein Reststrom IR unvermeidlich.
dazwischenliegendes Dielektrikum voneinander Im Abb. 2.35 ist das Ersatzschaltbild von
isoliert werden. Einen Kondensator, dessen Belag Elektrolyt-Kondensatoren dargestellt. Der ohm-
von einem Elektrolyten anstelle einer metalli- sche Anteil der Ersatzserienschaltung hat die
schen Elektrode gebildet wird, bezeichnet man Bezeichnung ESR (Equivalent Series Resistor).
als Elektrolyt-Kondensator oder auch kurz als El- Der Parallelwiderstand RP , der den Reststrom bei
ko richtiger Polung darstellt, ist zusätzlich noch von
Der Elko ist in der Regel gepolt. Ein elek- der Spannung und von der Temperatur abhän-
trisch leitfähiges Material, der Elektrolyt, bildet gig. Durch eine Falschpolung, hier als verbotener
die negative Elektrode, Kathode genannt. Der Betrieb gekennzeichnet, fließt der Inversstrom
Elektrolyt besteht aus Salzen, die in organischen IRR über die vom Ventilmetall gebildete Diode
oder anorganischen Flüssigkeiten gelöst sind und D und kann durch Bildung von Knallgas zu ei-
eine geringe Menge Wasser ( 2 %) enthalten. ner Explosion des Kondensators führen. Beim
Die von dem Elektrolyten gebildete Kathode wird Elko mit flüssigem Elektrolyten sind die Kapa-
mit dem gleichen Metall kontaktiert, aus dem die zität C und der ESR von der Frequenz und von
Anode besteht. der Temperatur abhängig. Nur die äquivalente
Die positive Elektrode eines Elkos (Anode) Serieninduktivität ESL (Equivalent Series induc-
besteht aus einem sogenannten Ventilmetall. Die tor L) ist konstant. Dieser Zusammenhang ist im
Oxide von Ventilmetallen sperren den Strom in Abb. 2.36 dargestellt.
einer Richtung, lassen ihn aber in der anderen Der ESR, der Verlustfaktor tan ı und die Ka-
Richtung durch. Technisch genutzt werden die pazität C hängen wie folgt zusammen
Metalle Aluminium und Tantal, deren Oxide
Aluminiumoxid (Al2 O3 ) oder Tantalpentoxid
tan ı
(Ta2 O5 ) das Dielektrikum des Elkos bilden ESR D f C (2.29)
(Tab. 2.6). 2
Aluminium- und Tantal-Elektrolyt-Kondensa-
toren stellt man sowohl mit festem als auch mit Der Elko ist ein recht preisgünstiges Bauelement,
flüssigem Elektrolyten her; man spricht deshalb das eine sehr große Volumenkapazität besitzt.
auch von flüssigen und trockenen Elektrolyt-Kon- Abgesehen von Spezialtypen, bei denen beide
densatoren. Der Elko mit flüssigem Elektrolyten Beläge eine große Oberfläche besitzen, beispiels-
ist selbstheilend; denn Störstellen im Dielektri- weise bei Kondensatoren für Lautsprecherwei-
kum werden bei angelegter Gleichspannung an- chen und zum Motoranlauf, ist der Elko nur für
odisch oxidiert. Hierzu ist der im Wasser che- Gleichspannung geeignet.
2 Passive Bauelemente 129

Abb. 2.36 Idealisierter Scheinwiderstand Z eines Elko in


Abhängigkeit von der Frequenz f

Abb. 2.37 Aufbau der Wicklung eines Al-Elko

2.3.3.1 Nasse Aluminium-Elektrolyt-


Kondensatoren
Der Aluminium-Elektrolyt-Kondensator mit des nassen Alu-Elkos gehört die etwas instabile
flüssigem Elektrolyten, nachfolgend Al-Elko ge- Oxidschicht. Wenn er lange Zeit spannungslos
nannt, findet am häufigsten Verwendung. Den ist, bildet sich die Aluminium-Oxidschicht etwas
Al-Elko stellt man für Spannungen UN 450V zurück. Das hat zur Folge, dass die Kapazität
und mit Kapazitätswerten bis zu CN 220 mF steigt, aber die Spannungsfestigkeit sinkt. Des-
her. Seine Hauptbestandteile sind zwei Alu- halb ist dieser Elko-Typ für Zeitglieder nicht
miniumfolien und mit Elektrolyt getränktes geeignet. Wird dieser Kondensator mit einer
Fließpapier, die zu einem Wickel aufgerollt sind. Spannung belastet, dann wird die Oxidschicht
Flüssige oder pastöse Elektrolyte werden durch durch einen erhöhten Reststrom wieder verstärkt
Fließpapier (Separator) fixiert, wodurch meistens (nachformiert). Dabei sinkt die Kapazität und die
ein von der Lage unabhängiger Einbau des Elko Spannungsfestigkeit steigt. Ist der Reststrom zu
möglich ist und die beiden Folien gegeneinander groß, dann wird der Kondensator heiß und kann
isoliert werden (Abb. 2.37). platzen. Um Schäden vorzubeugen, sollte man
Um die wirksame Oberfläche der Alumi- die Nennspannung nur zu 70 % ausnutzen. Das
niumfolie, welche die Kathode bildet, stark erhöht auch die Zuverlässigkeit.
zu vergrößern, erhält diese durch Ätzen eine Der Betriebstemperaturbereich des Al-Elko ist
schwammartige Oberflächenstruktur. Die das Di- durch das Elektrolytsystem und die Abdichtung
elektrikum bildende Oxidschicht Al2 O3 erzeugt seines Gehäuses festgelegt. Die Gehäusetempera-
man anschließend durch anodische Oxidation tur und die Wechselstrombelastung eines Al-Elko
(Formierung). Diese Oxidschicht braucht nur bestimmen maßgeblich dessen Brauchbarkeits-
sehr dünn zu sein (1;2 nm=V d 2;2 nm=V). dauer. In modernen Elektrolytkondensatoren fin-
Durch die große Oberfläche, die dünne Oxid- den die in Tab. 2.7 aufgeführten Elektrolyte Ver-
schicht und die Permittivitätszahl "r (9,5 für wendung.
Al2 O3 ) realisiert man große Kapazitätswerte Diese Elektrolyte sind chemisch sehr stabil.
bei kleinem Volumen. Man spricht von einer Mit ihnen sind gute elektrische Werte, wie bei-
großen Volumenkapazität. Zu den Eigenheiten spielsweise niedrige Impedanz, kleine Restströ-
130 E. Hering und K. Bressler

Tab. 2.7 Elektrolyte für den Al-Elko Als Kategorietemperatur bezeichnet man
Substanz obere Grenztemperatur die Temperatur, auf die sich die spezifizierte
Dimethylformamid (DMF) 85 °C (105 °C) Brauchbarkeitsdauer, beispielsweise 3000 Stun-
Dimethylamid (DMA) 85 °C (105 °C) den für einen GP-Typ und 10.000 Stunden für
Dimethylacetamid (DMAC) 85 °C einen LL-Typ, des Kondensators bezieht. Üblich
Gamma-Butyrolakton (BGL) 105 °C sind die Kategorietemperaturen 85 °C, 105 °C
Butyrolakton 125 °C und 125 °C. Abgesehen von dem Bereich der
Frühausfälle ist die Ausfallrate während der
Brauchbarkeitsdauer konstant.
Hersteller geben für sogenannte LL-Typen
me und ein gutes Langzeitverhalten, zu erreichen. Ausfallraten zwischen 2 fit 20 fit an,
Den guten elektrischen Eigenschaften steht eine während die GP-Typen ein von 50 fit errei-
problematische Entsorgung entgegen. chen. Bei der in den Datenblättern angegebenen
Nach der Rahmenspezifikation DIN IEC 384- Belastung für die Bezugszuverlässigkeit darf die
4/CECC30300 stellt man an den Al-Elko entspre- Übertemperatur des Kondensatorbechers um 3 K,
chend des Anwendungsbereiches unterschiedli- manche Hersteller geben auch 5 K an, ansteigen.
che Anforderungen. Typen für erhöhte Anforde- Wird der Kondensator mit den für die Bezugszu-
rungen werden mit Long Life grade (LL oder Typ verlässigkeit angegebenen Werten belastet, dann
I) bezeichnet. Für allgemeine Anwendungen gibt ist seine Brauchbarkeitsdauer gleich der Bezugs-
es die General Purpose-Typen (GP oder Typ II). zuverlässigkeit.
Den Al-Elko setzt man hauptsächlich zur Sie- Die Angaben in den Datenblättern beziehen
bung ein, vor allem in Stromversorgungen. Dazu sich in der Regel auf eine Messfrequenz von
wird er mit Gleichspannung betrieben, der ein 100 Hz und eine Temperatur von 20 °C. Für hö-
Wechselstrom IW überlagert ist. here Frequenzen und abweichende Temperaturen
Für die Dimensionierung in der Praxis ist zu gibt man Korrekturwerte an. Mit der Wahl von
beachten, dass die wichtigen Parameter, wie der Frequenzen f > 100 Hz und vor allem von
Ersatz-Serien-Widerstand ESR, die Kapazität C kleineren Strömen kann man die Brauchbarkeits-
und damit die Impedanz Z von der Frequenz dauer wesentlich vergrößern. Die angelegte Be-
und von der Temperatur abhängig sind. Bedeu- triebsspannung hat, entgegen früheren Angaben,
tend ist vor allem die sehr starke Zunahme des bei neueren Elko-Typen mit den in der Tab. 2.8
ESR bei tiefen Temperaturen, die erheblich unter genannten Elektrolyten einen nur unwesentlichen
dem Gefrierpunkt liegen (20 °C). Hier friert der Einfluss auf die Brauchbarkeitsdauer. Typisch für
Elektrolyt allmählich ein und wird hochohmig. den nassen Al-Elko sind Änderungsausfälle, die
Die Wechselstrom-Belastbarkeit wird bei durch das Austrocknen des Elektrolyten und das
niedrigen Frequenzen durch die maximal zulässi- dadurch verursachte Driften seiner Kennwerte C ,
ge Spannung, die am Kondensator anliegen darf, Z, IR und tan ı verursacht werden.
begrenzt. Jede auch nur kurzzeitige Verpolung Verwendet man den Elko in zeitbestimmen-
des Kondensators ist wegen der Zersetzung des den Schaltungen, so sind folgende Effekte zu
Elektrolyten zu Gas und der damit verbundenen berücksichtigen: Der stark von der Temperatur
Explosionsgefahr unbedingt zu vermeiden. Bei und der Spannung abhängige Reststrom  kann
hohen Frequenzen bestimmt die vom Wechsel- durch einen Widerstand parallel zur Kapazität
strom am ESR verursachte Erwärmung Pmax D dargestellt werden. Der über die Ladungsmen-
2
IW ESRmax die Strombelastbarkeit des Elkos. ge mit einer Gleichspannung ermittelte Kapazi-
Der in den Datenblättern angegebene Nennwert tätswert heißt Gleichspannungskapazität CG und
der Wechselstrombelastung IW darf ausgenutzt kann deutlich über der Wechselspannungskapazi-
werden, solange die Umgebungstemperatur TA tät CW liegen (CG 1;5 CW v).
des Kondensators die sogenannte obere Katego- Stehende Bauformen des Elkos sind vorteil-
rietemperatur TOK nicht übersteigt. hafter als axiale Typen, die liegend eingebaut
2 Passive Bauelemente 131

werden. Die auf der Leiterplatte beanspruchte zum Abblocken von Versorgungsspannungen ge-
Fläche ist klein. Da die Anschluss-Stifte nahe eignet, da diese einen Vorwiderstand von 3 =V
beieinander liegen, lässt sich das Layout für die benötigen, um zuverlässig zu arbeiten. Ihr nied-
Elko-Anschlüsse so gestalten, dass diese eine riger Reststrom ist für zeitbestimmende Kreise
sehr kleine Leiterschleife bilden. Dadurch kann vorteilhaft. Prinzipiell sind die trockenen Tantal-
der Kondensator-Wechselstrom nur kleine hoch- Elkos zuverlässiger und teurer, aber auch größer,
frequente Magnetfelder erzeugen. da sie eine deutlich niedrigere spezifische Kapa-
zität pro Volumen aufweisen.
2.3.3.2 Trockene Aluminium-Elektrolyt-
Kondensatoren 2.3.3.4 Nasse Tantal-Elektrolyt-
Diese Kondensatoren sind ähnlich aufgebaut wie Kondensatoren
solche mit nassem Elektrolyten. Der mit Glas- Hier wird die Ladung in der sehr dünnen Grenz-
fasergewebe fixierte Elektrolyt besteht aus Man- schicht der porösen und damit extrem großflä-
gandioxid (MnO2 ), das auch Braunstein genannt chigen Kohlestaub- oder Kohlefaserelektroden
wird. Trockene Aluminium-Elektrolyt-Konden- zum elektrolytgetränkten Separator gespeichert.
satoren, vom Hersteller SAL-Kondensator (SAL Mit 2,3 V bis 5,5 V Betriebsspannung und 0,1 F
D Solid Aluminium) genannt, sind nur für er- bis 1000 F stehen Energiespeicher für die Lücke
höhte Anforderungen und mit einem Nennspan- zwischen Kondensator und Batterie bereit. Diese
nungsbereich UN 40 V im Einsatz. Kondensatoren sind lediglich für den militäri-
SAL-Kondensatoren kann man in niederoh- schen und medizinischen Bereich von Bedeutung.
migen Kreisen betreiben. Sie sind für Wechsel-
strombelastung gut geeignet. Selbst eine Verpo-
lung mit einer Spannung von 0,3 UN ist zuläs-
sig. SAL-Kondensatoren haben im Vergleich zum 2.3.4 Keramik-Kondensatoren
nassen Elko sowohl bei hohen als auch bei niedri-
gen Betriebstemperaturen hervorragende Eigen- 2.3.4.1 Werkstoffe und Einteilung
schaften. Bei diesen Kondensatoren besteht das Dielek-
Da der feste Elektrolyt nicht austrocknen trikum aus einer Keramik, d. h. einer anorgani-
kann, ist ein Beginn von Verschleißausfällen schen, nicht metallischen, polykristallinen Sub-
nicht bekannt, so dass deren Ausfallrate kon- stanz, die durch einen Brennprozess bei hohen
stant klein (108 109 ) bleibt und die Temperaturen (1200 °C bis 1400 °C) entsteht. Für
Brauchbarkeitsdauer nahezu unbegrenzt ist. We- die Kondensatorkeramik kommt meist Titandi-
gen ihrer hohen Zuverlässigkeit finden trockene oxid (TiO2 ) mit einer Permittivitätszahl "r von
Aluminium-Elektrolyt-Kondensatoren auch in etwa 100 zum Einsatz. Durch Verwendung ande-
der Raumfahrt Verwendung. rer Oxide (vor allem BaO im Verhältnis 1 W 1)
kann man die Permittivitätszahl wesentlich stei-
2.3.3.3 Trockene gern. Sie beträgt für die ferroelektrische Substanz
Tantal-Elektrolyt-Kondensatoren Bariummetatitanat (BaTiO3 ) bis zu 10.000. Für
Trockene Tantal-Elektrolyt-Kondensatoren ha- die Keramik-Kondensatoren gelten die Normen
ben eine sehr hohe Volumenkapazität und heißen DIN EN 60384-8/9/21/22.
im Sprachgebrauch nur Tantal-Kondensatoren.
Ihre Anode besteht aus einem mit Tantal-Pulver 2.3.4.2 Eigenschaften
hergestellten Sinterkörper. Tantal-Kondensatoren Die Keramik-Kondensatoren teilt man nach IEC
stellt man mit Nennspannungen UN 50 V, mit 384-9/CECC 30 600 und 30 700 sowie nach
hermetisch dichtem Gehäuse bis UN 75 V DIN 45 910 – je nach dielektrischem Werkstoff –
und mit Kapazitätswerten bis zu 330 F her. in drei Klassen ein. Die einzelnen Keramikwerk-
Trockene Tantal-Elektrolyt-Kondensatoren sind stoffe, die zugehörigen Kennwerte, die sonstigen
prinzipiell nicht für niederohmige Kreise, etwa Eigenschaften sowie die bevorzugten Einsatzge-
132 E. Hering und K. Bressler

Tab. 2.8 Eigenschaften und Anwendungen von Keramik-Kondensatoren der verschiedenen Klassen
Eigenschaften Klasse
Klasse 1 Klasse 2 Klasse 3
(NDK: Niedrige Permittivität) (HDK: Hohe Permittivität) (Sperrschicht)
Keramik- TiO2 ferroelektrisches BaTiO3 ferroelektrisches BaTiO3 mit
Werkstoff Halbleitersperrschichten
(mit BaOP, La2 O3 , Nd2 O5 /
Permittivitätszahl 13 bis 470 103 bis 104 bis 50  104
"r
Verlustfaktor tan ı < 1; 5  103 < 30  103 60  103
Keramikart COG X7R Z5U
Temperaturkoeffi- konstant (0 ˙ 30) groß sehr groß nicht konstant
zient ˛  106 K1
Alterung keine 2 % 5 % 2%
je log. Zeitdekade je log. Zeitdekade
Sonstige Eigen-  Kapazitätsänderung linear  Kapazitätsänderung nicht-  geringer Isolationswider-
schaften von der Temperatur abhängig linear von Temperatur und stand
 Kapazität und Verlustfaktor Spannung abhängig  kleine Nennspannung (max.
nicht spannungsabhängig  hoher Isolationswider- 100 V)
 hoher Isolationswiderstand stand bei Gleichstrom  höchste Kapazitätswerte pro
niedrige dielektrische Verluste  große Kapazitätswerte bei Volumen
(bis in den UHF-Bereich) kleinen Abmessungen  hoher Verlustfaktor
 enge Kapazitätstoleranzen  Abnahme der Permittivi-
 keine Alterung tät bei höheren Frequenzen,
bei Alterung
Einsatzgebiete  Schwingkreis  Kopplung Stützkondensator sonst wie
 Filterschaltung  Entkopplung Klasse 2, aber geringere An-
 Messverstärker  (Sieben, Abblocken) sprüche an Kapazitätskonstanz
 Zeitglied  Funkentstörung bei klei- NF-Anwendungen
 Kopplung und Siebung nen Spannungen
 (besonders bei HF)

biete der verschiedenen Klassen nach DIN 45 910 Kapazitäten, die genau und stabil sind auf klei-
sind in Tab. 2.8 vergleichend gegenübergestellt. nem Volumen zu erträglichen Preisen.
Es sei darauf hingewiesen, dass viele dieser
genannten Kenngrößen von Umgebungseinflüs- 2.3.4.3 Bauformen
sen, beispielsweise von der Temperatur oder der
Spannung abhängen. Die Diagramme für die Einschicht-Kondensator (Klasse 1 und 2)
Kapazitätsänderung in Abhängigkeit von der Um- Der Einschicht-Kondensator besteht aus einem
gebungstemperatur oder der Betriebsspannung dünnen Keramikplättchen mit beidseitig auf-
zeigt Abb. 2.38. Die anderen Abhängigkeiten sind gebrachten Kupfer-Belägen, an die Anschluss-
den Datenblättern zu entnehmen. drähte angelötet sind. Die im Tauchverfahren
Deutlich erkennbar in Abb. 2.38 ist beispiels- aufgebrachte Epoxidharz-Umhüllung verleiht
weise der völlig unterschiedliche Verlauf der Ka- dem Kondensator große mechanische Festigkeit,
pazitätsänderung in Abhängigkeit von der Tem- einen guten Feuchteschutz und ist widerstands-
peratur innerhalb derselben Klasse 2, zum einen fähig gegen alle verwendeten Lösungsmittel.
für die Keramikart X7R und zum andern für Abbildung 2.39 zeigt einen Einschichtkondensa-
die Keramikart Z5U. Mit der SMD-Technik (Ab- tor im Schnitt und schematisch.
schn. 1.9.3) und dem Zwang zur Miniaturisierung Für den Einbau sind die Vorschriften über die
hat die Bedeutung der Keramik-Kondensatoren mindestens einzuhaltende Länge der Anschluss-
deutlich zugenommen. Die Vorteile sind: große drähte (markiert durch Stauchteller oder Sicke)
2 Passive Bauelemente

Abb. 2.38 Kapazitätsänderung in Abhängigkeit von der Temperatur und der Betriebsspannung für verschiedene Klassen Keramik-Kondensatoren. Werkbild: Siemens
133
134 E. Hering und K. Bressler

Abb. 2.39 Einschichtkondensator. a Schnitt, b Schema

sowie die Lötparameter (Löttemperatur maximal


270 °C, Lötdauer maximal 10 s) einzuhalten.

Vielschicht-Kondensator (Klasse 1 und 2)


Keramische Vielschicht-Kondensatoren (MLCC:
Multi Layer Ceramic Capacitor) bestehen aus
kammartig ineinandergreifenden Elektroden, die
in einem monolithischen Keramikblock einge-
sintert sind. Die seitlich zueinander versetzten
Elektroden werden von den Stirnseiten herausge-
führt und dort kontaktiert. Die so hergestellten
Vielschicht-Kondensatoren sind für konven-
tionelle Bestückung radial bedrahtet. In Chip-
Ausführung kann man diese Bauform als SMD
direkt auf die Platine löten. Abbildung 2.40a zeigt Abb. 2.40 Schematischer Aufbau eines Vielschicht-Kon-
den Aufbau eines Keramik-Vielschicht-Chip- densators: a Chip, b bedrahtete Ausführung
Kondensators und Abb. 2.40b den Aufbau eines
bedrahteten Vielschicht-Kondensators.
Inzwischen gibt es Keramik-Vielschichtkon-
densatoren auch mit hohen Kapazitätswerten,
bis 100 F und kleinen Betriebsspannungen
von 6,3 V. Ebenso werden hochwertige Präzi-
sionskondensatoren mit 1 % Toleranz bis in den
nF-Bereich angeboten.
Eine spezielle Bauform mit vier Abschlüssen Abb. 2.41 Aufbau eines keramischen Sperrschicht-Kon-
densators
pro Gehäuse (X2Y-Kondensator) wird besonders
zur Filterung von hochfrequenten Signalen und
zur Störunterdrückung von schnellen digitalen Reduktion wird die Keramik leitfähig gemacht.
Schaltungen eingesetzt. Dann bildet sich durch oberflächliche Oxidation
eine sehr dünne Dielektrizitätsschicht, die Sperr-
Sperrschicht-Kondensator (Klasse 3) schicht. Zwei Arten Sperrschichtkondensatoren
Die Forderung nach hoher Kapazität pro Volumen sind zu unterscheiden: Bei der ersten befindet
oder Oberfläche führte zur Entwicklung des kera- sich die Sperrschicht direkt an der Oberfläche
mischen Sperrschicht-Kondensators (Abb. 2.41). der Keramik, d. h. unter den Metallelektroden.
Er besteht vorwiegend aus Barium- oder Die Sperrschicht wird beim zweiten Typ an den
Strontiumtitanat mit einer extrem hohen Per- Korngrenzen der Keramik erzeugt. Dadurch gibt
mittivitätszahl "r D 50:000. Durch chemische es innerhalb der Keramik viele Miniaturkonden-
2 Passive Bauelemente 135

satoren, die in Reihe oder parallel geschaltet sind Tab. 2.9 Materialien für variable Kondensatoren und ihre
und nach außen wie eine große Kapazität wirken. Eigenschaften (Quelle: Amidon)
Durch diesen Aufbau ist bei gleicher Dicke d Material Permittivi- Durch-
und Fläche A die Kapazität etwa fünfmal höher. tätszahl schlags-
festigkeit
Die Sperrschichtkondensatoren weisen folgende
"r bei 1 kHz kV=mm
Besonderheiten auf:
Vakuum 1 20 bis 500
 große Kapazitätswerte bei geringem Bauvolu- Luft 1 2 bis 5
men, SchwefelhexafluoridSF6 2 8
 niederer Isolationswiderstand, Glimmer 7 25 bis 200
 hohe frequenzabhängige Verluste, Keramik Klasse 1 6 bis 200 20
 große Frequenzabhängigkeit und Hartpapier, Pertinax 4 20 bis 80
 starke Alterung. Polystyrol (PS) 2,5 25 bis 200
Der Sperrschichtkondensator wird häufig als Polyester (PET) 3,3 25 bis 580
Stützkondensator für Halbleiterspeicher bei Polycarbonat (PC) 3,0 35 bis 535
Stromausfall (Gold Caps) eingesetzt, ferner wer- Polyethylennaphtalat (PEN) 3,0 25 bis 500
den sie zum Übernehmen großer Stromspitzen Polypropylen (PP) 2,2 100 bis 650
Teflon (PTFE) 2,1 100 bis 250
bei Gleichstrom (> 1000 A) eingesetzt.

2.3.5 Einstellbare Kondensatoren


Empfänger abzustimmen oder den frequenzun-
Mit einstellbaren oder variablen Kondensatoren
abhängigen Spannungsteiler am Y-Eingang eines
können Kapazitäten innerhalb bestimmter Gren-Oszilloskops abzugleichen. In Abb. 2.28 ist das
zen mechanisch (per Hand oder durch eine Mo- Schema eines Drehkondensators zu sehen, des-
torsteuerung) stufenlos verändert werden. Prin-
sen parallel geschaltete Platten ineinandergreifen
zipiell können Kondensatoren gemäß Gl. 2.24 (die maximale Kapazität ist 500 pF). Die früher
durch die Permittivitätszahl "r , den Abstand d
besonders in Rundfunkgeräten weit verbreiteten
zwischen den Elektroden oder durch die wirk- Drehkondensatoren werden heute durch Kapazi-
same Elektrodenfläche A verändert werden. In tätsdioden ersetzt, die elektrisch steuerbar und
der Regel geschieht die Änderung der Kapazität
billig herzustellen sind. Für Leistungsanwen-
durch die Änderung der Elektrodenfläche A. Indungen und im Hochfrequenzbereich sind noch
Tab. 2.9 sind die Materialien zusammengestellt,
elektronisch über Motoren gesteuerte Drehkon-
die für variable Kondensatoren Einsatz finden so-
densatoren im Einsatz. Diese Drehkondensatoren
wie deren Permittivitätszahl "r (bei 1 kHz) und
haben als Dielektrikum meistens Vakuum (ho-
ihrer Durchschlagsfestigkeit in kV=mm. he Spannungsfestigkeit von 40 kV=mm) oder
Schutzgas (meist SF6 /. Die SF6 -Schutzgas-
Je nach Einsatzgebiet unterscheidet man da-
Drehkondensatoren überstreichen einen Win-
bei zwischen Kondensatoren, deren Kapazität öf-
kel > 360°. Sie werden für den Abgleich der
ters verändert werden kann (Drehkondensatoren)
Schwingkreise bei Mittel- und Langwellensen-
und Kondensatoren, deren Kapazität bei der Erst-
dern eingesetzt. Sie arbeiten bei einem Druck von
inbetriebnahme oder endgültig eingestellt wird
(Trimmer-Kondensatoren). 3 bar bis 7 bar und können Kapazitäten von 50
Die Prüfverfahren sind in DIN EN 134 000 bis zu 5000 pF einstellen, ertragen Prüfspannun-
festgelegt, die Spezifikationen in DIN EN 134gen bis zu 80 kV und Stromstärken bis 800 A bei
101, DIN EN 134 102 und DIN EM 134 104. minimalen dielektrischen Verlusten. Drehkonden-
satoren im Vakuum werden für Sendeleistungen
2.3.5.1 Drehkondensatoren über 10 kW eingesetzt, überstreichen eine Ka-
Veränderbare Kapazitäten sind beispielsweise pazitätsbereich von 3 pF bis 6600 pF, halten
notwendig, um in der Nachrichtentechnik die Spannungen bis zu 90 kV und Stromstärken bis
136 E. Hering und K. Bressler

1000 A aus. In diesen Fällen werden sie mit Was- eine Induktivität. Maßgebend für die Größe der
ser gekühlt. Induktivität L ist das mit der Leiterschleife ver-
kettete Magnetfeld. Die wichtigsten Gleichungen
2.3.5.2 Trimm-Kondensatoren des Magnetismus sind in der Tab. 2.10 wieder-
Trimm-Kondensatoren (Abb. 2.28) dienen zum gegeben. Induktivitäten stellt man durch Auf-
einmaligen oder selten veränderbaren Feinab- wickeln eines Drahtes auf einen Spulenkörper
gleich der Kapazität beim Ersteinsatz oder nach mit vorzugsweise rundem Querschnitt her und
der Reparatur eines Gerätes und lassen sich nur benennt sie auch mit dem Sammelbegriff Wickel-
mit einem entsprechenden Werkzeug einstellen. güter. Induktivitäten sind, im Gegensatz zu Kon-
Als Dielektrikum werden Luft (Luftplatten- Luft- densatoren und Widerständen, keine genormten
rohr- und Tauchtrimm-Kondensatoren), Kunst- technischen Bauelemente. Es gibt kleine Baufor-
stoff-Folien (Folientrimm-Kondensatoren) oder men, die in ihrem Aussehen Widerständen ähneln
Keramik (Rohr-, Scheiben- und SMD-Trimm- und sich für kleine Ströme eignen. Überwiegend
Kondensatoren) eingesetzt. In Abb. 2.28 ist ein entwickelt und fertigt man Induktivitäten spezi-
solcher SMD-Trimm-Kondensator als Schnitt- ell für die jeweilige Anwendung. Wie Abb. 2.42
zeichnung zu sehen. Eine metallisierte Elektrode zeigt, gibt es Induktivitäten mit Kern und ohne
ist als Stator fest in der Grundplatte verankert, magnetisch wirksamen Kern.
während die andere Elektrode als Rotorelektrode
drehbar montiert ist. Über sie ist die Kapazi-
tät einstellbar. Der Wert für die Kapazität wird
aber immer häufiger durch Wegbrennen von Flä- 2.4.1 Kerneigenschaften
chenteilen durch einen Laser (Laserabgleich)
eingestellt. Dies geschieht mit einer sehr großen 2.4.1.1 Luftspulen
Genauigkeit. Trimmer-Kondensatoren werden Induktivitäten ohne Kern heißen auch Luftspulen.
bevorzugt zur Feinabstimmung der Kapazitäten Die Vorteile von Luftspulen sind deren fast kon-
in Hochfrequenz-Filtern, Schwingkreisen und stante Induktivität: Da es keine Hystereseschleife
Oszillatoren eingesetzt. (Abb. 2.45) gibt, besteht ein linearer Zusammen-
hang zwischen der magnetischen Feldstärke H
und der magnetischen Induktion B. Ohne einen
2.3.5.3 MIS-Kondensatoren
magnetisch wirksamen Kern gibt es keine ma-
In der Halbleitertechnik integriert man Konden-
gnetische Sättigung. Auch wirken keine stören-
satoren häufig als Bauelemente (integrierter Kon-
den Eisenverluste. Nachteilig sind deren niedri-
densator) (MIS-Kondensator in Abb. 2.28; MIS:
ge Induktivität, d.h. man benötigt für die glei-
Metall-Isolator-Halbleiter-Struktur). Die beiden
che Induktivität mehr Windungen als für Spulen
Elektroden bestehen in diesem Fall aus der Me-
mit Kernen. Dadurch steigt der ohmsche Wider-
tallschicht an der Oberfläche und dem Halblei-
stand. Weil der magnetische Fluss nicht gezielt
tergebiet (z. B. p-dotiertes Silicium). Dazwischen
durch einen Kern geführt wird, entsteht ein ho-
befindet sich als Dielektrikum eine dünne SiO2 -
her magnetischer Streufluss L ; Transformatoren
Schicht. Der Aufbau ähnelt dem eines MOS-
für niedrige Frequenzen (f D 50 Hz) können
Feldeffekttransistors (Abschn. 3.3.2) und wird
deshalb auch nicht mit Luftspulen gebaut wer-
auch als MOS-Kondensator bezeichnet.
den. Luftspulen werden nur noch in speziellen
Fällen eingesetzt, so beispielsweise bei Frequenz-
weichen in Mehrweg-Lautsprecherboxen (L D
2.4 Induktivitäten
0;1 mH oder 6,8 mH), für sehr hohe Frequenzen,
Eine Induktivität L ist ein passiver Zweipol, der – bei denen Ferritkerne versagen oder als Rah-
ähnlich wie ein Kondensator – Energie speichern menantennen (Luftspulen mit besonders großem
und wieder abgeben kann. Jeder Leiter besitzt Durchmesser; L von 100 nH bis 100 H).
2 Passive Bauelemente 137

Abb. 2.42 Bauarten von Induktivitäten

2.4.1.2 Induktivitäten mit Kern nichtlinearer Zusammenhang zwischen der ma-


Induktivitäten mit Kern nutzen die Permeabi- gnetischen Induktion B und der magnetischen
litätszahl r des verwendeten Kernmaterials, Feldstärke H . Diese Abhängigkeit ist aus ei-
um mit kleiner Windungszahl N eine möglichst ner Magnetisierungskurve, die auch BH-Schleife
große Induktivität L zu erhalten. Die Permea- oder Hysteresekurve genannt wird, zu entnehmen
bilitätszahl r ist ein Proportionalitätsfaktor. (Abb. 2.45).
Dieser gibt an, um wie viel sich die Induktivi-
tät L gegenüber einer Spule ohne Kern erhöht. 2.4.1.3 Kernformen
Bei Spulen, die einen Kern aus ferro- oder fer- In Tab. 2.11 sind die gebräuchlichsten Bauformen
rimagnetischem Material besitzen, besteht ein von Kernen zusammengestellt. Die Benennung
138 E. Hering und K. Bressler

Tab. 2.10 Grundgleichungen magnetischer Kreise


Gleichungen Einheit
(Analogie)
Durchflutung  (engl.: magneto motive force)
H R
H ds D  allgemein; H ds D V (magnetische Spannung) A
 gerader Leiter:  D H 2 r; Spule:  D NI (U)
Magnetische Feldstärke H (engl.: magnetic field strength)
H D = le , lange Zylinderspule: H D N I= le A=m
Magnetische Feldkonstante 0
0 D 4107 V s=A m
Induktion B (engl.: magnetic flux density)
B D H , wobei  D r 0 und damit: B D r 0 N I =le T D V s=m2
Magnetischer Fluss (engl.: total flux)
R H
 D BdA; B dA D 0 Wb D Vs
A
 D BA;  D =Rm , ohne Luftspalt:  D  N I Ae =le , ges D Li (I)
Magnetischer Formfaktor ˙ le =Ae mm1
Magnetischer Widerstand Rm A=Wb
Rm D = D le = Ae D ˙ le =Ae =0 r ()
Magnetischer Leitwert AL (Induktivitätsfaktor) nH
RL D 1=Rm D 0 r =˙ le =Ae D L=N 2 (S)
Induktivität L (engl.: inductance)
L D Ae N 2 =le 0 r N 2 =˙ le =Ae H=V s=A D Wb=A
Gespeicherte Energie W
W D 1=2Li 2 ; W D 1=2 L I 2 J D Ws
Sprungantwort des Stroms bei einer Reihenschaltung L; R
I.t / D U=R.1  et= /; Zeitkonstante  D L=R

Tab. 2.11 Kernformen


KernformenRohmaterial EE EI EF EK ETD M P PM RM U Ring Stab
Blech    
Blechpaket  
Band 
Schnittband  
Massiv: Ferrite          
Verbund  

erfolgt meist nach der Formgebung wie EE, EI Vorteile sind: runder Mittelschenkel, konstanter
(oft EJ geschrieben), EK, U, Ring und Stab. Kernquerschnitt längs des Eisenwegs und großes
Der Buchstabe „M“ steht für Mantelkern und Spulenfenster. Außerdem sind diese Spulenkör-
„P“ für Pot (Topf). Pot Module kürzt man mit per für eine Automatenbewicklung geeignet. Mit
PM, und Rectangular Module (rechteckiges Mo- U-Kernen kann man durch das Aneinanderfügen
dul) mit RM ab. Die aus Schnittband gefertigten mehrerer Kernpaare große magnetische Quer-
Varianten heißen SM und SU. Schnittbandkerne schnitte verwirklichen.
fertigt man aus aufgewickeltem und mitein-
ander verklebtem Band. Die Kernform ETD
ist eine neuere Entwicklung. Die Abkürzung 2.4.1.4 Ersatzschaltbilder
bedeutet Economic Transformer Design (wirt- Die Ersatzschaltbilder von Induktivitäten sind in
schaftliche Transformator-Konstruktion). Seine Abb. 2.43 dargestellt.
2 Passive Bauelemente 139

Abb. 2.44 Scheinwiderstand Z einer Induktivität in Ab-


hängigkeit von der Frequenz f
Abb. 2.43 Ersatzschaltbilder von Induktivitäten. a Bei
gleich- und niederfrequenter Wechselspannung, b bei
Wechselspannung mit Frequenzen f fges
quenz fres verhält sich der Scheinwiderstand Z
ideal, nämlich rein induktiv, und hat den Verlauf
Der Parallelwiderstand RFe charakterisiert Z D j ! L.
die sogenannten Eisenverluste eines magnetisch An der Resonanzstelle fres wird der Scheinwi-
wirksamen Kerns. Diese setzen sich aus den derstand Z von der Güte Q bestimmt. Oberhalb
Wirbelstrom- und den Hystereseverlusten zusam- der Resonanzfrequenz fres ist der kapazitive An-
men und sind Materialeigenschaften, die von teil der Induktivität Z D 1=j! C wirksam. (s.
der Induktion B, der Materialtemperatur #C , der zum Vergleich Abb. 2.36: Idealisierter Schein-
Frequenz f und dem spezifischen Widerstand  widerstand Z eines Elko in Abhängigkeit der
abhängen. Metalle haben einen niedrigen spe- Frequenz f ). Die Eisenverluste werden in der
zifischen Widerstand . Deshalb setzt man zur Praxis als Verlustleistung PFe in W=kg ange-
Verringerung der Wirbelstromverluste gegenein- geben. Bei höheren Frequenzen kann man die
ander isolierte Bleche ein. Der Absolutwert des parasitäre Parallelkapazität Cp und den durch den
Scheinwiderstandes jZj von Spulen errechnet Skin Effekt (Stromleitung nur in der Außenhaut
sich bei niedrigen Frequenzen näherungsweise des Leiters und die damit verbundene Stromver-
zu p drängung) verursachten Anstieg des Kupferwi-
jZj D .! =L/2 C R2 derstandes RCu nicht mehr vernachlässigen. Die
Darstellung der Wicklungskapazität als eine ein-
Das Verhältnis des Blindwiderstandes !L zum zelne Parallelkapazität stellt eine Näherung dar,
Gesamtwiderstand R einer Spule bezeichnet da Kapazitäten zwischen Windungen und Wick-
man als Güte Q (Quality) und dessen Kehrwert lungen existieren.
als Spulenverlustfaktor oder auch Verlustwinkel
tan ı:
!L 1 2.4.1.5 Hysteresekurve
QD D
R tan ı Die Hysteresekurve, auch Hystereseschleife ge-
Der Verlauf des Scheinwiderstandes Z in Ab- nannt, stellt die nichtlineare Abhängigkeit der
hängigkeit von der Frequenz ist in Abb. 2.44 magnetischen Induktion B von der magnetischen
dargestellt. Bis in die Nähe zur Resonanzfre- Feldstärke H dar. Hysteresekurven beschreiben
140 E. Hering und K. Bressler

manenz Br nennt. Bei Kernen mit Luftspalt ist


die Remanenz deutlich niedriger und der Kern
kann, wie in Abb. 2.45c dargestellt, auch für uni-
polare Aussteuerung verwendet werden. Um wie-
der einen unmagnetischen Zustand des Materials
(B D 0) zu erreichen, muss man eine Gegenfeld-
stärke, die Koerzitivfeldstärke HC , einstellen. Bei
einem weiter zunehmenden Gegenfeld wird das
Material entgegengesetzt bis zur Sättigung (BS )
aufmagnetisiert. Lässt man die magnetische Feld-
stärke H wieder zu null (H D 0) werden,
dann sinkt die magnetische Induktion wieder bis
zur Remanenz (BR ) ab. Erst ein positives Ma-
gnetfeld (HC ) erzeugt wieder ein unmagnetisches
Material. Die Hystereseverluste sind die Diffe-
renz zwischen der Energie, die in einer Periode,
also mit einem vollständigen Umlauf der Hyste-
reseschleife, aufgenommen und wieder abgege-
ben wird. Sie entsprechen dem Flächeninhalt der
Hystereseschleife. Wird die Aussteuerung herab-
gesetzt, dann verringert sich auch die von der
Hystereseschleife gebildete Fläche (Abb. 2.45b).
Die Gesamtverluste setzen sich aus den Hyste-
rese-, den Wirbelstrom- und den Kupferverlusten
zusammen. Die statischen Hysteresekurven sind
schmaler als die bei höheren Frequenzen. Um die
Hystereseverluste möglichst klein zu halten, sol-
len die für Induktivitäten in Frage kommenden
Werkstoffe eine möglichst schmale Hysterese-
schleife haben. Man spricht von weichmagneti-
schen Werkstoffen; Permanentmagnete dagegen
werden aus hartmagnetischem Material herge-
stellt, das eine möglichst große Koerzitivfeldstär-
ke HC besitzt (HC
103 A=m).
Abb. 2.45 Hysteresekurven. a Mit und ohne Luftspalt,
b mit verschiedenen Induktionen, c mit unipolarer Induk-
tion 2.4.1.6 Ferrimagnetisches Material
Ferrimagnetisch werden die Eigenschaften von
Ferriten genannt. Es sind weichmagnetische
die Eigenschaften von ferro- oder ferrimagneti- Werkstoffe mit einer kristallinen Struktur, die
schen Materialien. In Abb. 2.45 sind Hysterese- hauptsächlich aus Eisenoxid (Fe2 O3 ) und Bei-
kurven von weichmagnetischen Werkstoffen dar- mengungen von Oxiden aus Zink, Nickel,
gestellt. Die erstmalige Aufmagnetisierung folgt Mangan (ZnO, NiO, MnO) oder anderen Metal-
der sogenannten Neukurve. Die maximal mög- len bestehen. Ferrite erzeugt man durch Sintern
liche Induktion ist die sogenannte Sättigungs- vorgeformter Teile. Dieser Vorgang ähnelt dem
induktion BS . Bei ihr sinkt die Permeabilitäts- Brennen von Keramik, deshalb spricht man auch
zahl r bis auf 1 (Abb. 2.45a oben rechts). Wird von schwarzer Keramik. Im Vergleich zu Me-
die magnetische Feldstärke H zu null (H D 0), tallen haben Ferrite einen hohen spezifischen
dann bleibt eine Restinduktion übrig, die man Re- Widerstand , so dass selbst bei hohen Frequen-
2 Passive Bauelemente 141

Tab. 2.12 Eigenschaften Eigenschaft Wert


von Ferriten Linearer Ausdehnungskoeffizient ˛ 105 =K
Wärmeleitfähigkeit 5  103 J=(mm s K)
Spezifische Wärme cW 103 J=(kg K)
Spezifischer Gleichstromwiderstand  0,1 bis 4  m
Induktion B nahe der Sättigung (H 5000 A m)
Filtermaterial (nutzbarer Wert) 380 mT (10 mT)
Leistungsübertragermaterial (nutzbarer Wert) 500 mT (350 mT)
Hochfrequenzmaterial 110 mT B 390 mT
Anfangspermeabilität i (Ringkern) 10:000

zen nur kleine Wirbelstromverluste entstehen werden (Tab. 2.11). Soweit dies für die Anwen-
können. Der spezifische Widerstand  von Fer- dung erforderlich ist, gibt es alle Kerne auch
riten liegt um 106 bis 1010 höher als der von mit verschieden großem Luftspalt. Je größer der
Ferromagnetika. Die wichtigsten Eigenschaften Luftspalt, desto kleiner ist der AL -Wert (kleiner
sind in Tab. 2.12 zusammengefasst. AL -Wert bedeutet niedrige Permittivitätszahl r ;
Die Angabe  m für den spezifischen Wider- Abschn. 2.4.2.5), der dafür aber umso genauer
stand  lässt sich folgendermaßen umrechnen: eingehalten werden kann.

 mm2 2.4.1.7 Ferromagnetika


1  m D 106 Außer Eisen (Fe) haben vor allem Nickel (Ni)
m
und Kobalt (Co) ferromagnetische Eigenschaf-
Auf weitere physikalische Unterschiede zwi- ten. Nickel und Kobalt verwendet man als
schen ferro- und ferrimagnetischen Werkstoffen Legierungsbestandteile für Kerne mit weich-
wird nicht näher eingegangen, da sich für die magnetischen Eigenschaften. Eisen mit einem
Anwendung keine wesentlichen Unterschiede er- Anteil von 3 % Silicium (Siliciumeisen) findet als
geben. Die angebotene Typenvielfalt an Ferriten Dynamoblech eine vielfältige Anwendung. Eine
und Kernformen ist groß. Ferrite zum Bau von Übersicht über die wichtigsten ferromagneti-
Breitbandüberträgern und Schwingkreisen sind schen Werkstoffe ist in Tab. 2.13 wiedergegeben.
für kleine Induktionen (B 10 mT) ausgelegt,
während Ferrite für Leistungsanwendungen mit Bleche
Induktionen von B 350 mT betrieben werden Da weichmagnetische Metall-Legierungen im
können. Gegensatz zu Ferriten einen wesentlich niedrige-
Die herkömmlichen Netzteile (z. B. 50 Hz- ren spezifischen Widerstand  aufweisen, müssen
Transformator, Gleichrichter, Ladekondensator diese, um die Wirbelstromverluste bei Wechsel-
und Regler) werden immer mehr durch getak- strom niedrig zu halten, zu dünnen Blechen oder
tete Netzteile ersetzt. Die Netzspannung wird Folien ausgewalzt werden. Für Anwendungen
gleichgerichtet und zu Wechselspannung im bei Frequenzen von f D 50 Hz sind Blechdi-
Frequenzbereich zwischen 100 kHz und 1 MHz cken von d 0;35 mm üblich. In Abb. 2.46
zerhackt. Wegen der wesentlich kleineren Haupt- sind die wichtigsten Geometrien der Dynamo-
induktivität ist der Trafo viel kleiner und leichter. bleche für Transformatoren und der bewickelten
Das magnetische Material muss allerdings für Schenkel schematisch dargestellt.
die hohen Frequenzen (bis 100 MHz) geeignet Sind bei einem ferromagnetischen Material
sein. Dynamoblech ist das nicht. Das erklärt auch die magnetischen Eigenschaften in allen Rich-
den Rückzug der konventionellen Trafos und tungen gleich, so spricht man von einem isotro-
den verstärkten Einsatz der Kleintransformatoren pen Verhalten. Durch Walzen von beispielsweise
mit Ferritkernen bei hohen Frequenzen. Ferrite Siliciumeisen (SiFe) erreicht man eine paralle-
können in verschiedenen Kernformen hergestellt le Ausrichtung der Kristallachsen (Anisotropie)
142 E. Hering und K. Bressler

Tab. 2.13 Eigenschaften von Ferromagnetika


Kristallines Gefüge
i Hc =A=M Bs .Js /=T Bemerkung
(Verwendung)
Dynamoblech, isotrop (DIN 46400) 100 2; 1 (Trafos)
Silicium-Eisenblech (3 % Si) anisotrop, 2000
20 2 (Trafos)
kornorientiert
Reineisen 2300
12 2; 1 (Polschuhe)
% Ni
Nickel-Eisen 36–40 9  103
20 1; 4 rechteckige und flache
(NiFe) (IEC 404-2) Hysteresekurven möglich
45–50 15  103
5 1; 6
54–68 60  103
5 1; 5
72–83 250  103
2; 5 1
Cobalt-Eisen % Co hohe Sättigungsinduktion
(CoFe)
47–50 1; 2  103
100 2; 3
Amorphes Gefüge
Legierungsbestandteile: i Hc =A=m Bs .Js /=T Schleife
Fe, Co, Ni, sowie B, C, P und Si als Glas- 8  103 0; 7 D B flach
bildner

50  103 0; 8 0; 8 rund
600  103
0; 4 0; 55 rechteckig
nanokristallin: 4  103 bis <3 1,2 Band
Basis: Fe, Si, B; Zusätze von Nb und Cu 15  104

Verfügung. Es gibt auch Bänder aus amorphem


Metall (s
17 m), auch metallisches Glas ge-
nannt. Zur Herstellung von amorphen Metallen
lässt man deren Schmelze sehr schnell erkal-
ten, so dass sich kein kristallines Gefüge bilden
kann. Hierzu spritzt man das schmelzflüssige
Metall auf eine oder zwischen zwei sich sehr
schnell drehende Walzen. Damit sich kein kris-
Abb. 2.46 Kernbleche. a EI-Schnitt b M-Schnitt c UI-
Schnitt tallines Gefüge bilden kann, ist die Schmelze
mit einer Geschwindigkeit von bis zu 106 K=s
abzuschrecken. Deshalb lassen sich nur ver-
gleichsweise dünne Bänder (d 0;05 mm)
und damit in Walzrichtung eine magnetische Vor- herstellen. Mit nanokristallinen Legierungen
zugsrichtung (hohes , und rechteckige Hyste- können mit der oben beschriebenen Technolo-
reseschleife). Man spricht von kornorientiertem gie ebenfalls dünne Bänder mit einer Dicke von
Blech. Solche Dynamobleche mit 3 % Si verwen- 20 m hergestellt werden. Diese Legierungen
det man für die meisten Netztransformatoren. haben eine große Bedeutung erlangt, weil sie
eine geringe Banddicke aufweisen, eine sehr
Bänder hohe Permittivitätszahl r , (bis zu 150.000),
Für Spulen und Transformatoren mit kleinem Vo- einen relativ hohen spezifischen elektrischen
lumen bei höheren Frequenzen (f 20 kHz) ste- Widerstand  (1,1 m bis 1,2 m), einen
hen Ringkerne aus dünnen Bändern (s
30 m) sehr guten Frequenzgang sowie günstige ther-
mit Legierungen von hohem Nickelanteil zur mische Eigenschaften (z. B. konstantes r , im
2 Passive Bauelemente 143

Bereich von 40 °C bis C120 °C). Das be- mal ausnutzen. Wird nach dem Wickeln jeder La-
deutet unter anderem, dass hohe Induktivitäten ge eine sogenannte Lagenisolation aufgebracht,
mit relativ wenigen Windungen verwirklicht dann spricht man von einer Lagenwicklung. Bei
werden können. Dadurch kann das Bauvolu- einer Präzisionswicklung ist zusätzlich die Win-
men um einen Faktor 3 verkleinert werden. dungszahl jeder Lage vorgeschrieben.
Besondere Anwendungsfeder sind: Solarwech-
selrichter, Frequenzumrichter, Schaltnetzteile, 2.4.2.2 Wicklungskapazität
Windgeneratoren, EMV-Filter, unterbrechungs- In den meisten Fällen liegen die Windungen dicht
freie Stromversorgungen, Induktionskochfelder aneinander und die Lagen sind über die gesam-
und Anwendungen im Automobilbereich. te Breite des Spulenkörpers gewickelt. Bei dieser
allgemein üblichen Wickeltechnik liegt der Wick-
Massekerne lungsanfang auf dem Ende der jeweils darüber
Diese Kerne stellt man aus sogenannten Pulver- liegenden Wicklung; die Lagen sind also mä-
verbundwerkstoffen her. Sie bestehen meistens anderförmig angeordnet, wie beispielsweise die
aus Nickel-Eisen-Pulver mit einem Nickelanteil gefalteten Seiten von Endlos-Druckerpapier. Da
von bis zu 80 %. Eine Kunststoffumhüllung der die Spannung zwischen dem Wicklungsanfang
einzelnen Nickeleisen-Partikel bewirkt einen und dem darüber liegenden Wicklungsende am
dreidimensionalen und um den ganzen Kern- höchsten ist, wirkt sich hier die Eigenkapazität
umfang homogen verteilten Luftspalt, welcher der Wicklung stark aus.
Wirbelströme in allen drei Achsen unterdrückt. Die Wicklungskapazität und die Hauptinduk-
Vorteilhaft sind deren lineare Magnetisierungs- tivität der Spule bilden einen Schwingkreis. Die
kurven mit möglichst konstanter Permeabilität Grenzfrequenz fg einer Induktivität ist erreicht,
selbst bis zu hohen Induktionen. Diese eignen wenn der Serienwiderstand R gleich groß ist wie
sich gut für Drosseln, denen ein Gleichstrom der Betrag des komplexen Widerstandes der In-
überlagert ist (Speicherdrosseln in Stromver- duktivität, wenn also gilt: R D j!L, (! D 2 f ).
sorgungen s. Abschn. 17.3). Nachteilig sind Bei der Resonanzfrequenz fres sind die Beträge
deren recht hohe Koerzitivfeldstärke HC und die der komplexen Widerstände der Induktivität und
vergleichsweise geringe Permittivitätszahl r . der Kapazität gleich groß. Es gilt: j!L D 1=j! C .
Massekerne haben überwiegend die Form von Hieraus ergibt sich die Resonanzfrequenz zu
Ringkernen und kleinen Stabkernen (Stiftker- r
1 1
nen). fres D
2   LC
Die Eigen-Resonanzfrequenz fres des Schwing-
2.4.2 Wicklungseigenschaften kreises, der aus der Induktivität L und der parasi-
tären Eigenkapazität Cp gebildet wird, ist niedrig.
2.4.2.1 Zylinderwicklung (Solenoid) Deshalb ist die Verwendung in einem Schwing-
Die am häufigsten verwendete Art der Wicklung kreis oder als Wicklung eines Transformators für
hat die Form eines Zylinders und ist mehrlagig. hohe Frequenzen oft nicht möglich.
Einlagige Spulen sind einfach herzustellen und Um den Einfluss der Eigenkapazität Cp einer
finden wegen ihrer kleinen Eigenkapazität Cp in Spule zu verringern, ist diese, oder die Span-
Hochfrequenzschaltungen Verwendung. Wenn an nung zwischen sich berührenden Windungen und
die wirksame Eigenkapazität der Spule keine be- Wicklungen, so klein wie möglich zu halten. Eine
sonderen Anforderungen gestellt werden (z. B. Verbesserung der kapazitiven Bürde erreicht man
bei Relais), kann der Kupferdraht ungeordnet auf durch eine Vergrößerung des Abstandes zwischen
den Spulenkörper gewickelt werden. Mit dicht den einzelnen Lagen, mittels einer Polycarbonat-
aneinander anliegenden Windungen und voll be- folie (PC) als Lagenisolation einer Lagenwick-
wickelten Lagen lässt sich der Wickelraum opti- lung.
144 E. Hering und K. Bressler

den. Nachteilig ist die sehr aufwändige Herstel-


lung.

2.4.2.3 Scheibenwicklung
Von einer Scheibenwicklung wird gesprochen,
wenn die Höhe der Wicklung größer als deren
Breite ist. Scheibenwicklungen finden bei-
spielsweise für Hochspannungstransformatoren
Verwendung, um die Spannung pro Lage genü-
Abb. 2.47 Lagenwicklung. a normale Lagenwicklung,
b gleichgerichtete Lagenwicklung gend klein zu halten. Eine Scheibenwicklung
kann auch als gedruckte Leiterplatte gestaltet
sein, wobei die magnetische Achse senkrecht zur
Plattenoberfläche verläuft. Vor allem kleine Wer-
Eine weitere Verbesserung der kapazitiven
te der Induktivität lassen sich in dieser Form auf
Bürde ist durch eine gleichgerichtete Lagen-
einer gedruckten Leiterplatte realisieren.
wicklung zu erreichen. Die gleichgerichtete
Lagenwicklung ist eine Präzisionswicklung, bei
der die Anfänge und die Enden der einzelnen La- 2.4.2.4 Ringkernspule (Toroid)
gen jeweils am selben Flansch des Spulenkörpers Mit einem Ringkern lassen sich besonders streu-
liegen. In Abb. 2.47 ist die Lagenwicklung und arme Induktivitäten aufbauen. Niedrige magne-
die gleichgerichtete Lagenwicklung schematisch tische Ausstreuungen erreicht man, wenn mög-
anhand einer Hälfte eines durchgeschnittenen lichst alle Feldlinien innerhalb des Kerns ver-
Spulenkörpers und mit rot gekennzeichneter La- laufen. Zu diesem Zweck muss die Wicklung
genisolation dargestellt. gleichmäßig über den gesamten Umfang verteilt
Bei einer gleichgerichteten Lagenwicklung sein, und der Außendurchmesser des Kerns sollte
wickelt man eine Lage voll und dann mit nur nicht wesentlich kleiner als der Innendurchmes-
wenigen Windungen zurück bis an den Anfang ser des Rings sein. Auch Ringkernspulen haben
der Wicklung, wobei nach jeder Windung ei- ein Streufeld. Werden die Lagen in nur einer
ne Lagenisolation eingelegt wird. Die parasitäre Drehrichtung gewickelt, so wirkt jede Lage ähn-
Kapazität Cp wird umso niedriger, je weniger lich wie eine Windung, die auf dem äußeren
Windungen jede Lage hat. Kernumfang aufgebracht ist. Daraus ergibt sich
Eine kostengünstige Möglichkeit zur Verrin- ein Streufeld in axialer Richtung. Für hohe Fre-
gerung von Cp ist die Verwendung von Mehrkam- quenzen, für die kein geeigneter Ferrit mehr zur
mer-Spulenkörpern, deren Wickelraum in mehre- Verfügung steht, verwendet man magnetisch neu-
re Kammern aufgeteilt ist. Hierdurch kann man in trale Keramikringe.
den meisten Fällen auf eine Lagenwicklung oder Ringkerne aus Ferrit sind bevorzugt für Funk-
eine gleichgerichtete Lagenwicklung verzichten. entstördrosseln im Einsatz, da deren Eisenweg
Sehr kleine parasitäre Kapazitäten Cp lassen nicht von einem Luftspalt unterbrochen wird und
sich mit einer sogenannten Kreuzwicklung errei- deshalb hohe Induktivitäten zu verwirklichen
chen. Bei ihr liegen die Lagen nicht parallel, sind. Auf den Kern bringt man mindestens zwei
sondern im spitzen Winkel übereinander, so dass vollkommen identische Wicklungen auf. Diese
sich die Kupferdrähte von übereinanderliegen- Drosseln schaltet man so, dass in beiden Wick-
den Lagen nur an einzelnen Punkten gegenseitig lungen der entgegengesetzt gleiche Strom fließt
berühren. Die Kreuzwicklung weist auch wegen und sich dessen Wirkungen im Kern kompensie-
des stetigen Wicklungsaufbaus eine sehr gleich- ren. Es sind die sogenannten stromkompensierten
mäßige Spannungsaufteilung zwischen den La- Drosseln. Da die Kerne keinen Luftspalt besitzen,
gen auf. Dadurch werden Überschläge vermie- ist eine hohe Permeabilität leicht zu erreichen,
2 Passive Bauelemente 145

so dass gute Dämpfungen von hochfrequenten Induktion B erhöht. Die magnetische Feldkon-
Gleichtakt-Störströmen zu erzielen sind. stante 0 ist eine Naturkonstante und besitzt fol-
genden Wert:
2.4.2.5 Induktivität einer Zylinderspule
Wb
Die Induktivität einer Zylinderspule wird nach- 0 D 4   107 (2.33)
folgend anhand der Induktionsgesetze erläutert. Am
Wie M. FARADAY (1791 bis 1867) erkann- Die magnetische Feldstärke H einer langen Zy-
te, wird durch jede zeitliche Änderung dt linderspule (Solenoid) ist beispielsweise
des magnetischen Flusses d eine elektrische
Spannung uind induziert, die proportional zur N I
Windungszahl N ist: H D (2.34)
le

d wenn deren Länge l D le  d ist (le ist die


uind D N (2.30)
dt effektive magnetische Weglänge). Ersetzt man d
durch die Gl. 2.31, 2.32 und 2.34, so erhält man
Der magnetische Fluss  ist wie folgt definiert:
Z Z N 2 dI
uL D  Ae (2.35)
D B dA D B cos ' dA le dt

Der Ausdruck vor der Stromänderung (dI =dt)


und besitzt die Einheit Wb (Weber), wobei bestimmt die Induktivität L einer Spule, so dass
1 Wb D 1 Vs ist; dabei ist ' der Winkel zwischen gilt
der Normalen der Fläche A und der Richtung des Ae N 2
magnetischen Flusses B. Bei einer ebenen Fläche L D  0  r (2.36)
le
und senkrecht darauf stehender Induktion kann
Die Selbstinduktionsspannung uL ist:
man vereinfacht schreiben
dI
 D BAe (2.31) uL .t/ D L (2.37)
dt

wobei Ae die effektive magnetisch wirksame Flä- Setzt man die beiden Gl. 2.35 und 2.37 gleich,
che und B die magnetische Induktion sind. Es dann erhält man die Induktivität L einer Zylin-
gilt: derspule (Solenoid) zu:

 Ae N 2
BD oder allgemein LDf (2.38)
A le
d
BD Der Faktor f ist 1, wenn die effektive Län-
dA
ge le für die Spule wesentlich größer ist als deren
Die magnetische Induktion B ist mit der magne- Durchmesser. Außerdem darf die Frequenz nur
tischen Feldstärke H durch die Permeabilität  so niedrig sein, dass man den Skin-Effekt noch
verknüpft. Sie ist das Produkt aus der magneti- vernachlässigen kann. Die Induktivität eines über
schen Feldkonstanten  und der Permeabilitäts- den Umfang gleichmäßig bewickelten Toroids
zahl r : (Ringkernspule) erhält man durch Einsetzen der
mittleren Weglänge des Toroids le D 2   r.
B D H; mit  D 0 r (2.32) Die Einheit der Induktivität L ist das Henry
(H), wobei 1 H D 1 Vs=A D 1  s ist.
Die Permeabilitätszahl r ist eine dimensionslo- Die Induktivität beträgt 1 Henry, wenn bei ei-
se Zahl, die im Vakuum den Wert 1 hat. Sie gibt ner Änderung der Stromstärke um 1 A innerhalb
an, um welches Verhältnis sich die magnetische von 1 s eine Spannung von 1 V induziert wird.
146 E. Hering und K. Bressler

In der Praxis verwendet man die sogenannten Zur Dimensionierung von Speicherdrosseln
AL -Werte. Dazu formt man die Gl. 2.36 so um, verwendet man unterschiedliche Verfahren. Man-
dass auf der rechten Seite nur N 2 steht und die che Hersteller von Ferriten geben eine Hanna-
restlichen Größen in der Größe AL zusammenge- Kurve an, die für eine Kernform mit einem Ma-
fasst sind. Es gilt: terial gültig ist. In den Hanna-Kurven werden
Li 2 über der optimalen Durchflutung D D NI
Ae N 2 als Funktion des Luftspaltes dargestellt. Nicht
L D 0 r D AL  N 2 :
le berücksichtigt wird die fast ausschließlich durch
Im Faktor AL sind demnach die Permeabilität den Kupferwiderstand verursachte Eigenerwär-
( D r ), der effektive Querschnitt Ae , die mung (Kupferverluste) der Drossel. Deshalb ist
Länge des Eisenweges l und eines eventuell vor- eine tabellarische Angabe von optimierten Wer-
handenen Luftspalts berücksichtigt. Üblicherwei- ten für eine vorgegebene Temperaturerhöhung
se wird AL in nH pro Windung zum Quadrat an- # praxisgerecht. Hierin sind die Werte für LI 2
gegeben. Der AL -Wert entspricht nach Tab. 2.10 und die dazugehörigen optimalen Werte für NI
dem Leitwert bzw. dem Kehrwert des magneti- enthalten, sowie die Breite des Luftspalts sL und
schen Widerstandes Rm , so dass gilt: die effektive Überlagerungspermeabilität eff ./
bei einer definierten Temperaturerhöhung #.
AL D 1=Rm oder L D N 2 =Rm Andere Hersteller beschränken sich auf die Anga-
be der reversiblen Permeabilität in Abhängigkeit
Kennt man den AL -Wert einer Spule, so kann von der Feldstärke H mit der effektiven Permea-
man die Windungen N ausrechnen, die man zu bilität als Parameter. Diese Kurven gestatten eine
einer bestimmten Induktivität L benötigt. Abschätzung des Verlaufs der Induktivität bei
Besteht die Rückleitung eines gestreckten Lei- zunehmender Gleichstrom-Vormagnetisierung.
ters aus einer metallischen Wand mit einem Ab-
stand, der ein Vielfaches des Leiterdurchmessers
beträgt, dann lässt sich die Induktivität des ge- 2.5 Dioden
streckten Leiters definieren.
Die Induktivität einer einzelnen kreisförmi- Dioden sind unsymmetrisch aufgebaute Zweipo-
gen Leiterschleife lässt sich mit folgender Nähe- le, deren Widerstand von der Polarität und der
rungsformel beschreiben: Größe der angelegten Spannung abhängt. Heu-
   te ist Silicium das wichtigste Grundmaterial für
L D D=cm
D2  ln I Dioden. Germanium wird nur noch für Sonderfäl-
nH cm d=cm
le im Hochfrequenzbereich verwendet. Gallium-
Spulendurchmesser D und Drahtdurchmesser d arsenid eignet sich durch die hohe Beweglichkeit
in cm. der Ladungsträger gut für Halbleiter im GHz-
Bereich, es wird aber wegen seines hohen Preises
Hinweise zur Dimensionierung von Drosseln noch wenig eingesetzt.
mit überlagertem Gleichstrom (z. B. Speicher- Dioden bestehen aus zwei unterschiedli-
drosseln in Stromversorgungen) Kerne für In- chen Werkstoffen, meistens p- und n-dotiertem
duktivitäten mit einem überlagertem Gleichstrom Silicium, wobei der Widerstand der Grenz-
benötigen einen Luftspalt, damit dieser nicht sät- schicht unter anderem von der Richtung und
tigt. Wie in Abb. 2.45a dargestellt, reichen bei dem Betrag des angelegten elektrischen Feldes
Kernen ohne Luftspalt schon kleine magnetische abhängt. Schottky-Dioden bestehen aus einem
Feldstärken H aus, um den Kern zu sättigen. Halbleiter-Metall-Übergang, der eine ähnli-
Dies gilt insbesondere für Ringkerne. Je größer che Ventilwirkung wie ein pn-Übergang hat.
der Luftspalt ist, umso höher kann die Feldstär- In Abschn. 1.8.7 ist der pn-Übergang und in
ke werden, ohne dass der Wert der Induktivität L Abschn. 3.1.3.1 die Diodenkennlinie als Ein-
abfällt. gangswiderstand eines Transistors beschrieben.
2 Passive Bauelemente 147

Abb. 2.48 Aufbau einer Diode; a pn-Übergang ohne angelegte Spannung; b pn-Übergang in Sperrrichtung vorge-
spannt; c pn-Übergang in Durchflussrichtung betrieben

Eine Diode besteht, wie Abb. 2.48 zeigt, aus der Dioden durch folgende Parameter bestimmt:
zwei verschieden dotierten Schichten eines Halb- die Fläche der Sperrschicht, ihre mögliche Dicke
leitermaterials. Dabei enthält p-leitendes Silicium und die Art der Kontaktierung sowie die Do-
Störstellenatome mit drei Valenzelektronen, bei- tierung. Bei der Dotierung beeinflussen die ver-
spielsweise Aluminium und n-leitendes Silicium wendeten Elemente und ihre Konzentration die
und Störstellenatome mit fünf Valenzelektronen, elektrischen Daten erheblich. Mit zunehmender
beispielsweise Phosphor. Im n-Material neutra- Störstellenkonzentration wird der Halbleiter nie-
lisieren die zusätzlichen Elektronen die höhere derohmiger, da mehr Ladungsträger vorhanden
positive Ladung des Kerns; sie haben aber keinen sind. Gleichzeitig sinkt die maximale Sperrspan-
festen Platz im Kristallgitter und können un- nung, da im stärker dotierten Halbleiter auch in
ter dem Einfluss der Wärmebewegung in das Sperrrichtung eher Ladungsträger aktiviert wer-
p-Material diffundieren, wo zwar keine ent- den als in einem schwach dotierten Material. Die
sprechenden Kernladungen, aber die Plätze im Gleichstromkennlinien in Abb. 2.50 weisen auf
Kristallgitter vorhanden sind. Dadurch entsteht diesen Zusammenhang hin, wobei nur einige Di-
im stromlosen Zustand am Rand der Sperrschicht odentypen dargestellt sind.
im p-Material eine negative und im n-Material
eine positive Raumladung (Abb. 2.48a). Eine
zwischen n- und p-Material angelegte positi- 2.5.1 Schaltdioden
ve Spannung vergrößert diese Raumladungen
auf beiden Seiten. Das elektrische Feld drängt Schaltdioden sind schnelle Dioden mit kleiner
die Ladungsträger aus der Sperrschicht, und Leistung. Liegt innerhalb der Schaltung an der
der Stromfluss ist weitgehend unterbrochen Diode eine Spannung in Durchlassrichtung an,
(Abb. 2.48b). Minoritätsträger, das sind Elek- dann ist die Diode niederohmig und sie leitet
tronen in der positiven und Löcher in der den Strom und das Signal weiter. Ist die Dioden-
negativen Raumladungszone, werden vom elek- spannung in Sperrrichtung gepolt, dann sperrt
trischen Feld durch die Sperrschicht getrieben die Diode den Strom und das Signal. Diese Di-
und verursachen den Reststrom in Sperrrichtung. oden werden in großer Stückzahl preisgünstig
Liegt eine positive Spannung zwischen p- und hergestellt und vielfältig eingesetzt. Sie wer-
n-Material (Abb. 2.48c), dann unterstützt das den deshalb auch Universaldioden genannt. Die
elektrische Feld die aus Abb. 2.48a bekannte wichtigsten typischen Daten sind: Sperrspannung
Diffusion der Elektronen und der Strom steigt 50 V bis 100 V, Dauerdurchlass-Strom 50 mA bis
exponentiell mit der angelegten Spannung an 200 mA, Schaltzeiten zwischen 2 ns und 20 ns.
(Gl. 1.138). Die Restströme können meistens vernachlässigt
Für die vielen verschiedenen Anwendungs- werden.
bereiche wurden unterschiedliche Diodentypen Es gibt verschiedene Typen der Schaltdioden,
entwickelt. Abbildung 2.49 zeigt eine Übersicht. deren Eigenschaften für den jeweiligen Anwen-
Dabei werden die die elektrischen Eigenschaften dungsfall optimiert sind. Die Verbesserung ei-
148

Abb. 2.49 Übersicht über Dioden und Gleichrichter


E. Hering und K. Bressler
2 Passive Bauelemente 149

Abb. 2.50 Abnahme der Sperrspannung mit zunehmender Dotierung. a Schalterdiode, b Gleichrichterdiode mit kon-
trolliertem Durchbruch, c Z-Diode, d Backwarddiode, e Tunneldiode

Abb. 2.51 Schaltverzögerung einer Diode; a Messschaltung; b Spannungen und Ströme an der Diode

ner Eigenschaft, beispielsweise eine sehr kurze Das elektrische Feld in der Sperrschicht be-
Schaltzeit, verschlechtert im Allgemeinen ande- schleunigt und bewegt die Ladungsträger. Ändert
re Daten und kann zu einer Diode mit kleine- sich das Feld, dann ändert sich die Leitfähigkeit
rer Sperrspannung und einem höheren Reststrom der Sperrschicht erst, wenn die Ladungsträger
führen. Da normalerweise nur ein oder zwei Para- ihre neue Lage haben. Der Strom folgt der Span-
meter wichtig sind, lässt sich aus den Datenblät- nung nicht unmittelbar, sondern mit einer kleinen
tern im Internet immer der passende Halbleiter nichtlinearen Verzögerung (Abb. 2.51).
finden. Abbildung 2.51a zeigt die prinzipielle Mess-
Die Durchlasskennlinie entspricht der Ein- Schaltung, Abb. 2.51b die Ansteuerspannung und
gangskennlinie eines bipolaren Transistors (Abb. den zeitlichen Verlauf des Diodenstroms. Beim
3.7). Sie besitzt auch denselben Temperaturgang, Einschalten liegt die volle Generatorspannung
und der Sperrstrom bei 25 °C Sperrschichttempe- kurzzeitig in Durchlassrichtung an der Diode an.
ratur kann fast immer vernachlässigt werden. Mit Sobald genügend Ladungsträger in der Sperr-
zunehmender Temperatur steigt der Sperrstrom schicht sind, sinkt die Spannung auf die normale
stark an. Erhöht sich die Sperrschichttemperatur Durchlassspannung ab. Die erforderliche Zeit
um 125 K, dann steigt der Reststrom ungefähr heißt Einschaltverzögerungszeit (engl.: forward
um den Faktor 1000. recovery time tfr ); sie dauert 0,5 ns bis 50 ns.
150 E. Hering und K. Bressler

2.5.2 Schottky-Dioden

Schottky-Dioden (engl.: Schottky-Barrier-Di-


odes) haben keinen pn-Übergang sondern einen
Metall-Halbleiter-Übergang. Abbildung 2.53a
zeigt den Aufbau, Abb. 2.53b die Wirkungs-
weise einer Schottky-Diode im Sperrbetrieb und
Abb. 2.53c im Durchlassbetrieb. In Schottky-
Dioden tragen nur Majoritätsträger zur Strom-
leitung bei. Wechselt das elektrische Feld an der
Sperrschicht die Richtung, dann bildet sich sehr
schnell eine isolierende Sperrschicht. Die Sperr-
Abb. 2.52 Entkopplung der Eingangssignale mit Schalt- verzögerungszeit trr verkürzt sich auf 100 ps bis
dioden 10 ps (ps: Picosekunden D 1012 s). Abhängig
von ihrem Aufbau und der Kontaktierung eig-
nen sich Schottky-Dioden bis zu Frequenzen von
Wird die Spannung an der Diode umgepolt, dann 40 GHz. Wegen der hohen Schaltgeschwindig-
ändert sich die Stromrichtung und die Diode keit heißen sie im Englischen auch Hot-Carrier-
verhält sich während der Sperrverzögerungszeit Diodes.
(engl.: reverse recovery time trr ) wie ein ohm- Die Durchlass-Spannung einer Schottky-Si-
scher Widerstand. Während dieser Zeit räumt das gnaldiode ist bei kleinen Strömen (0,1 mA bis
elektrische Feld die Ladungsträger aus der Sperr- 1 mA) wesentlich kleiner (0,35 V bis 0,4 V) als
schicht aus. Die Sperrverzögerungszeit hängt bei Sperrschichtdioden (0,6 V). Die hohen Ar-
vom Diodentyp und dem Durchlassstrom ab und beitsfrequenzen erfordern ein System mit kleiner
dauert 2 ns bis 100 ns. Dioden halten kurzzei- Kapazität, die beispielsweise durch eine kleine
tig Stoßströme iFstoß aus, die bis zum 50-fachen aktive Fläche des Chips realisiert wird. Dadurch
des zulässigen Dauerdurchlassstroms IF betra- steigt der Durchlasswiderstand der Diode, die
gen können. Maßgebend ist die Wärmekapazität Kennlinie ist weniger steil als bei einer pn-Si-
des Halbleiterchips sowie die Impulsdauer und gnaldiode, wie Abb. 2.54 zeigt. Nachteilig ge-
-wiederholrate. Die zulässigen Stoßströme kön- genüber Sperrschichtdioden ist die auf 50 V bis
nen den Datenblättern entnommen werden. 100 V begrenzte Sperrspannung; höhere Sperr-
spannungen sind selten. Auch der Sperrstrom ist
vor allem bei höheren Temperaturen ungewöhn-
Beispiel 2.5-1
lich hoch. Die zulässigen Sperrschichttempera-
Ein Transistor wird aus drei verschiedenen
turen sind mit 125 °C deutlich niedriger als bei
Quellen mit dem logischen Pegel 0 oder 1
Dioden mit pn-Übergang.
angesteuert; die Signalquellen dürfen sich ge-
Tabelle 2.14 zeigt einige Beispiele aus der
genseitig nicht beeinflussen. Die Eingangs-
großen Bandbreite verschiedener Schottky-
signale sollen durch Schaltdioden entkoppelt
Dioden.
werden.
Schottky-Dioden finden als sehr schnelle
Schaltdioden für Signal-Gleichrichter, Mischer
Lösung und weitere Zwecke bei hohen und höchsten Fre-
In Abb. 2.52 werden die verschiedenen Ein- quenzen Verwendung. Dioden bis 1 GHz werden
gangssignale einer Logikschaltung durch in gängige SMD-Gehäuse eingebaut, beispiels-
Schaltdioden entkoppelt: Zwischen zwei Ein- weise SOT143 (SOT: Small Outline Transistor:
gangssignalen liegen stets zwei gegeneinander Transistor mit kleinem Grundriss als SMD-Bau-
gepolte Dioden, die einen Stromfluss und da- teil) oder SOD323 (SOD: Small Outline Diode:
mit eine Verkopplung verhindern. Diode mit kleinem Grundriss als SMD-Bauteil).
2 Passive Bauelemente 151

Abb. 2.53 Aufbau und Arbeitsweise einer Schottky-Diode; a Metall-Halbleiter-Übergang; b Metall-Halbleiter-


Übergang in Sperrschicht vorgespannt; c Metall-Halbleiter-Übergang in Durchlassrichtung vorgespannt

Tab. 2.14 Einige Schottky-Dioden für verschiedene Anwendungen


Diodentyp UR IF UF IR C Gehäuse Bemerkung
V mA mV A pF Abmessungen
1PS66SB17 4 30 360 0,25 0,8 SOD523 Hochfrequenzdemodulator,
1;7 1;3 Mischer
1PS66SB82 15 30 340 0,2 1 SOT666 Hochfrequenzdemodulator,
1;6 1;2 Mischer
BAT15-05 4 110 320 0,5 0,35 SOT323 Hochfrequenzdemodulator,
2 1;25 Mischer bis 12 GHz
BAS40-06 40 120 450 1 5 SOT143 Schalter, Klemmdiode,
2;9 1;3 (Clamping)
BAS3010B- 30 1A 420 10 40 SOD323 Schaltregler
03 W 2;5 1;25
16CTQ100 100 2 8 A 720 600 500 TO220AB Schaltregler
15 10

Dioden für höhere Frequenzen baut man in klei-


nere Gehäuse mit kurzen, breiten und deshalb
induktionsarmen Zuleitungen ein, beispielsweise
SOD523 oder SOT666 oder direkt als Chip in ei-
ne Schichtschaltung. Werden für eine Schaltung
mehrere Dioden benötigt, sind diese häufig in ei-
nem Gehäuse erhältlich, beispielsweise SOT323.
Durch das Herstellverfahren weichen ihre Daten
wenig voneinander ab. Der gute Wärmekontakt
sorgt für die gleiche Temperatur aller Dioden,
so dass sich Temperatureinflüsse bei richtiger
Beschaltung weitgehend kompensieren.
Abbildung 2.55a zeigt ein Schaltungsbeispiel
für einen einfachen Hochfrequenzdemodulator,
der aus einer Diode und einem Kondensator als
Tiefpass besteht. Sinkt der Spitzenwert der Ein-
gangsspannung des Hochfrequenzmodulators un-
Abb. 2.54 Durchlasskennlinien von Signal-Dioden: ter die Schwellspannung UD der Diode, dann
1 Shaltdiode mit pn-Übergang; 2 Schottky-Diode; liefert der Demodulator keine Spannung mehr.
3 Zero-Bias-Diode Die Schaltung nach Abb. 2.55b vermeidet die-
152 E. Hering und K. Bressler

Abb. 2.55 Hochfrequenz-Demodulatorschaltung; a Einfache Demodulatorschaltung; b HF-Demodulatorschaltung mit


unterdrückter Schwellspannung UD der Diode D

sen Nachteil: Hier fließt ein Vorstrom ID2 durch  Niedrige Fluss-Spannung UF , auch bei nied-
die Diode D2 und spannt sie um ihre Durchlass- rigen Kristalltemperaturen Tj , um die Durch-
spannung UD2 vor. Der gleiche Strom fließt durch lassverluste Pv klein zu halten.
die Diode D1 und verursacht an ihr die Durch-  Hohe Sperrspannung UR:
lassspannung UD1 . Die Anode D1 ist über C2 für  Niedriger Sperrstrom IR:
Hochfrequenz geerdet. Die positiven Halbwellen  Hohe Stoßstromfestigkeit (Surge Current)
der HF laden C3 über R1 auf. Die vorgespann- IFSM .
te Diode D1 schließt die negativen Halbwellen  Schnelles Ein- und Ausschalten (trr ) beim
schon bei kleinen HF-Spannungen kurz. Wenn Gleichrichten von Wechselspannungen mit
die Gleichströme ID1 und ID2 und die Tempe- Frequenzen f  50 Hz.
ratur beider Dioden gleich sind, kompensieren Gleichrichterdioden werden als Einzelelement,
sich die Durchlassspannungen UD beider Dioden Doppeldiode und als Vollbrücke angeboten. In
und der Demodulator arbeitet linear, auch wenn Tab. 2.15 wird ein kleiner Ausschnitt über Di-
die Hochfrequenz-Eingangsspannung kleiner als oden für verschiedene Anwendungen mit Daten
die Diodenspannung UD ist. Der Widerstand R1 und Gehäusen gegeben. Bei den Gehäusen ist
entkoppelt den Hochfrequenz führenden Eingang die Grundform angegeben: Hier gibt es zahlrei-
vom hochfrequenzfreien Ausgang. Bei R1  R che Varianten. Sofern nichts anderes vermerkt ist,
fallen an R1 Spannungen in Höhe von 100 mV sind Schaltregler die vorwiegende Anwendung.
bis 200 mV ab. Die Diodenschwellspannung UD Vollbrücken bestehen aus Einzeldioden, die
ist nur dann kompensiert, wenn R1 D R2 ist. zusammengeschaltet und in einem mit Gießharz
ausgefüllten Gehäuse eingebaut sind. Metallische
Gehäuse oder metallische Montageflansche von
2.5.3 Gleichrichterdioden
Plastikgehäusen haben in der Regel Kathoden-
Gleichrichterdioden dienen als Ventil und rich- potenzial, da die Kathode, mit Ausnahme von
ten Wechselströme in eine gleiche Richtung. Mit Dioden mit axialen Drahtanschlüssen, auf einem
ihnen werden Wechselspannungen möglichst metallischen Träger aufgelötet ist. Liegt die An-
verlustarm in Gleichspannungen umgeformt. ode am Gehäuse, dann ist dies bei Dioden, die
Gleichrichter sollen mehrere, teilweise einander nach dem Pro Electron-Schlüssel gekennzeichnet
widersprechende Forderungen erfüllen: sind, durch den Zusatz R in dem Typenaufdruck
2 Passive Bauelemente 153

Tab. 2.15 Daten und Gehäuse einiger Gleichrichter und Dioden


Typ Sperrspan- Durchlass- Durchlass- Erholzeit von Wärmewider- Gehäuse
Verwendung nung strom spannung IFAV =trr =ns stand Abmessungen
UR =V IFAV =A UFAV =V TC =K=W = mm
1N4148 75 V 0,2 1,0 4 240 DO-35
LL4148 75 V 0,2 1,05 4 300 4; 2 1; 8 d
Signaldiode 3; 5 1; 6 d
SOD27
1N4007 1000 1 1,1 lang 50 DO-41
Netzgleich- 1000 1 1,1 45 5; 0 2; 7 d
richter 5; 0 2; 5 d
SM4007 DO214AB
MBR7H45 45 7,5 0,63 <10 3 TO220AC
Schottkydiode 15 10
VS-4EW 200 4 0,9 23 2,7 TO252
H02FN-M3 7 6
BYW29EB/ED 150 8 0,9 < 25 2,7 SOT428
7 6
BYV25FX-600 600 5 1,3 18 5,5 TO220F
Boden isoliert 15 10
IDP15E60 600 15 1,5 100 1,8 TO220AB
15 10
IDW75E60 600 75 1,65 150 0,5 TO247
21 16
BYC15-600 600 15 1,4 19 1,5 TO220AC
15 10
BYV44 400 30 1,1 60 1,4 TO220AB
15 10
SKN3F20 1200 20 1,3 600 1,2 E7
SKR3F20

gekennzeichnet. R steht für reverse, der eng- Spannung am pn-Übergang. Der Spannungsab-
lischen Bezeichnung für invers. Beispielsweise fall wird durch die Herstellung nach dem Epita-
lautet eine Typenbezeichnung SKN3F20 und für xie-Verfahren klein gehalten. Dabei geht man
den invers gepolten Typ SKR3F20. Doppeldi- von einem hochdotierten und deshalb niederoh-
oden haben in der Regel eine gemeinsame Ka- migen Siliciumsubstrat aus. Gasförmige Silici-
thode, da beide Gleichrichterkristalle auf einem umverbindungen, beispielsweise SiCl4 , werden
gemeinsamen Träger sitzen. darüber zu Silicium reduziert, das als einkris-
Die Sperrströme IR von bipolaren Silicium- talline Schicht aufwächst und die aktive Schicht
Dioden sind vergleichsweise niedrig. Sie dürfen bildet. Ihre Stärke und ihre Dotierung richten
aber nicht vernachlässigt werden, da sie bei hö- sich nach den erwünschten Eigenschaften des
heren Sperrschichttemperaturen zusätzliche Ver- Halbleiters. Die Güte der aktiven Schicht wird
luste verursachen. nicht durch eine hochohmige Trägerschicht ver-
Die Durchlass-Spannungen UF von Gleich- schlechtert.
richterdioden erreichen UF D 2 V. Die Durch- Die Typen von Gleichrichterdioden können
lass-Spannung einer Diode setzt sich aus der typi- nach der Art ihrer Anwendung voneinander un-
schen Spannung am pn-Übergang (Gl. 1.138 und terschieden werden. Es sind:
Abb. 1.83b) und dem Spannungsabfall am ohm-  Gleichrichter für ein- oder mehrphasige Wech-
schen Widerstand im Chip zusammen. Die Geo- selspannung mit 40 Hz < f < 400 Hz.
metrie und die Dotierung der Diode beeinflus- Bei 230 V spricht man von Netzgleichrich-
sen den Sperrsättigungsstrom IS und damit die tern.
154 E. Hering und K. Bressler

 Schnelle Gleichrichterdioden, beispielsweise stoß ohne Zerstörung aufnehmen kann. Es


zur Verwendung in getakteten Stromversor- dient zur Dimensionierung einer Sicherung
gungen. als Kurzschluss-Schutz. Das Grenzlastintegral
der Sicherung muss kleiner sein als das des
Gleichrichters. Als Integrationszeit ist t D 1 ms
2.5.3.1 Netzgleichrichter ausreichend. Als Vorwiderstand eignet sich auch
Gleichrichterschaltungen für Wechselspannun- ein NTC (Abschn. 2.2.3.1), ein Widerstand
gen mit f D 50 Hz bestehen meistens nur aus mit negativem Temperaturkoeffizienten, des-
den Gleichrichtern selbst und einem Elektrolyt- sen Widerstand in der ersten Sekunde nach dem
kondensator (Abb. 2.37). Da der Kondensator Einschalten deutlich sinkt, wobei der große Wi-
in jedem Halbzyklus (t D 10 ms) nur teilweise derstand zu Beginn den Einschaltstrom begrenzt,
entladen wird, ergibt sich bei jedem Maximum der niedrigere Widerstand im warmen Zustand
der Spannung ein hoher Spitzenstrom. Es ist der geringere Verluste verursacht.
periodische Spitzenstrom IFRM . Der Wert des Die Sperrspannung ist eine wichtige Größe der
periodischen Spitzenstroms IFRM , mit dem ei- Diode oder des Gleichrichters. In Datenblättern
ne Gleichrichterdiode belastet werden darf, wird wird oft zwischen mindestens zwei verschie-
von den Herstellern spezifiziert. Der Spitzen- denen Sperrspannungen unterschieden. Es ist
strom IFRM darf je nach Diodentyp bis zu 22 mal die höchstzulässige periodische Scheitelspan-
höher als der Mittelwert IAV sein. Zur Ermittlung nung URWM und die höchstzulässige periodische
der in einem Gleichrichter verbrauchten Leistung Spitzensperrspannung URRM oder die höchstzu-
ist der Effektivstrom IFRMS maßgebend. RMS lässige Gleichsperrspannung UR . Bei Dioden, die
steht für root mean square, der engl. Bezeichnung im Lawinen-Durchbruch (Avalanche) betrieben
für die Quadratwurzel bzw. den Effektivwert. werden dürfen, gibt man anstelle von URRM nur
Der zulässige Durchlass-Strom kann zu- der Wert für UR an. Die Indizierung RWM ist
sammen mit der Durchlass-Spannung eine die Abkürzung für die englischen Ausdrücke Re-
Verlustleistung erzeugen, die innerhalb der zu- verse (inverse), Working (Arbeit) und Maximum
lässigen Übertemperatur nicht abgeführt werden und RRM bedeutet Repetitive (sich wiederho-
kann. Die Verlustleistung ist das Produkt aus lend) Reverse Maximum. Die höchstzulässige
dem Durchlass-Strom, der Durchlass-Spannung periodische Scheitelspannung URWM reicht bis zu
und der prozentualen Einschaltdauer. Die Ver- 1200 V, während die höchstzulässige periodische
lustleistung muss soweit begrenzt werden, dass Spitzensperrspannung URRM sogar bei 1600 V
die Wärme über den Boden der Leistungsdiode liegt. Die höchstzulässige periodische Spitzen-
und den Kühlkörper innerhalb der zulässigen sperrspannung URRM ist die Spitzenspannung,
Temperaturgrenzen abgeführt wird. die bei sinusförmiger Eingangsspannung am
Werden Gleichrichterschaltungen direkt vom Gleichrichter auftreten darf. Die dem Sinus even-
230-V-Netz betrieben, dann muss der Dioden- tuell überlagerten kurzzeitigen Spannungsspitzen
stoßstrom IFSM (FSM, engl.: Foreward Surge dürfen den für die periodische Spitzensperrspan-
Maximum) berücksichtigt werden. Werden beim nung URRM gegebenen Wert nicht überschreiten.
Einschalten eines Gerätes die beispielsweise Dabei sind Spannungsspitzen mit einem Tastver-
noch leeren Elektrolytkondensatoren aufgela- hältnis von d 0; 01 zulässig. Die periodische
den, so muss entweder der Innenwiderstand der Spitzensperrspannung URRM sollte beispiels-
speisenden Quelle (Netz oder Transformator) weise auch beim Abschalten von unbelasteten
ausreichend hoch sein, oder es muss ein entspre- Transformatoren nicht überschritten werden. Zur
chender Widerstand vorgeschaltet werden, um Bedämpfung sind dem Transformator RC-Glieder
den Diodenstoßstrom IFSM , auch IFStoß genannt, parallel zu schalten. Da Dioden mit kontrolliertem
zu begrenzen. R 1 ms Durchbruchverhalten in der Lage sind, die Sperr-
Das Grenzlastintegral 0 I 2 dt gibt an, verlustleistung PRSM aufzunehmen, braucht diese
welche Arbeit der Halbleiter als kurzen Strom- entsprechend weniger bedämpft zu werden.
2 Passive Bauelemente 155

Die Serienschaltung von Gleichrichtern erfor- Temperaturen und Verlustleistungen parallel ge-
dert Schutzmaßnahmen gegen die Überspannung. schalteter Dioden pendeln sich in der Nähe des
Normale Gleichrichterdioden darf man nur mit Maximums ein. Im Datenblatt wird auf die einfa-
einer Schutzbeschaltung in Reihe schalten. Da che Parallelschaltung hingewiesen.
die Sperrströme IR in jeder der beteiligten Di- An die Sperrerholzeit trr (rr: engl.: reverse
oden unterschiedlich sein können, teilen sich die recovery, d. h. inverse Erholung) von Netzgleich-
Sperrspannungen undefinierbar auf und führen richtern werden keine besonderen Ansprüche ge-
zu einer Zerstörung der Dioden. Außerdem muss stellt. Deshalb wird für Dioden, die zur Gleich-
man die sich dynamisch ändernde Sperrschicht- richtung von niederfrequenten und sinusförmigen
kapazität der Dioden durch Parallelkondensatoren Spannungen bestimmt sind, die Sperrerholzeit trr
CP überbrücken. Keine Schutzbeschaltung ist in der Regel auch nicht spezifiziert. In der Praxis
erforderlich, wenn Avalanche-Dioden verwen- haben solche Dioden Sperrerholzeiten von trr D
det werden. Avalanche-Dioden oder Controlled 2 s. Obwohl Gleichrichterdioden relativ lang-
Avalanche-Dioden werden solche Gleichrichter sam sein dürfen, muss in der Praxis darauf geach-
genannt, die ein kontrolliertes Lawinen-Durch- tet werden, dass die Dioden während ihrer Sperr-
bruchverhalten aufweisen. Man spricht auch von erholzeit keinen abrupten Stromabriss haben, da
einem kontrollierten Lawinendurchbruch (engl.: dieser im Zusammenwirken mit den Schaltungs-
controlled avalanche). Sie können im Durchbruch induktivitäten L zu unerwünschten Überschwin-
betrieben werden, solange die in den Datenblät- gungen entsprechend uL D Ldi=dt führt.
tern angegebenen Sperrverlustleistungen PR nicht
überschritten werden. Beispielsweise darf die
Substratdiode moderner MOSFET-Leistungs- 2.5.3.2 Schnelle Gleichrichterdioden
transistoren auch im Avalanche-Durchbruch Um einen hohen Wirkungsgrad zu erreichen,
betrieben werden (Abschn. 3.4.9). Für Controlled- benötigen beispielsweise getaktete Stromver-
Avalanche-Dioden werden in den Datenblättern sorgungen Gleichrichterdioden, die vor allem
folgende zusätzliche Angaben gemacht: in der Lage sind, schnell auszuschalten. Bei
Mittelwert der höchstzulässigen Sperrverlust- schnellen Gleichrichtern werden zwei Arten
leistung PRAV , höchstzulässige periodische Spit- unterschieden: Die Planartypen mit Sperrver-
zen-Sperrverlustleistung PRRM und die höchstzu- zugszeiten trr > 100 ns und mit Sperrspannungen
lässige Stoß-Sperr-Verlustleistung PRSM . Die pe- UR 1300 V und die Epitaxialtypen mit
riodische Sperr-Verlustleistung gilt für die Netz- trr 50 ns. Die Sperrverzugszeit trr nimmt
frequenz f D 50 Hz. Die Spitzen- und die mit der Sperrspannung UR und dem zulässigen
Stoß-Sperr-Verlustleistung gelten für Zeitinter- Durchlassstrom IF zu. Dieser Zusammenhang ist
valle von 10 s. in Tab. 2.16 angegeben.
Eine Parallelschaltung von herkömmlichen Die „schnellen“ Planartypen werden im an-
Gleichrichtern sollte vermieden werden, da die- gelsächsischen meist als Fast Recovery Rectifiers
se nur mit Einschränkungen und mit relativ bezeichnet, während die Epitaxialtypen Ultrafast
hohem Aufwand möglich ist. Es ist eine elek- Recovery Rectifiers genannt werden. Inzwischen
trische und thermische Symmetrierung, also eine wird eine noch schnellere Gruppe als hyperfast
gleichmäßige Stromaufteilung und thermische bezeichnet.
Kopplung erforderlich. Da eine vollständige
Symmetrierung kaum möglich ist, sollte der Sperrerholzeit trr
Summenstrom um etwa 20 % verringert und Das Verhalten der Gleichrichterdioden während
der Diodenstoßstrom IFSM sogar halbiert wer- ihres Übergangs vom leitenden in den gesperrten
den. Inzwischen gibt es Leistungsdioden, deren Zustand ist vor allem in getakteten Stromver-
Durchlassspannung bei einer mittleren Tempe- sorgungen von überragender Bedeutung. Das
ratur ein Maximum hat und die bei niedrigeren Sperrverhalten wird durch die Sperrverzugs-
Sperrschichttemperaturen wieder abnimmt. Die ladung QR der Diode bestimmt, wie sie in
156 E. Hering und K. Bressler

Tab. 2.16 Erholzeiten trr in ns von Epitaxialdioden (ultrafast) abhängig vom zulässigen Durchlassstrom und der zuläs-
sigen Durchlassspannung
Dauerstrom IF /A 3–4 5–6 9–10 15–18 30 45
Spannung/V trr /ns trr /ns trr /ns trr /ns trr /ns trr /ns
200 15 15 20 20 30 35
600 60 70 75 87 126 140
1200 115 – 140 195 240 400

Hat die Diode ein snap-off-Verhalten, dann


kommt es, im Zusammenwirken mit Schaltungs-
induktivitäten LS , während der Sperrerholzeit
zu unerwünschten und hochfrequenten (f >
5 MHz) Überschwingern. Diese müssen, um de-
ren zulässige Sperrspannung einzuhalten und
Funkstörungen zu unterdrücken, durch RC-
Glieder bedämpft werden. Diese hochfrequenten
Ein- und Ausschwingvorgänge speisen uner-
wünschte Störungen in das speisende Netz.
Die Störungen haben einerseits die Schaltfre-
quenz der getakteten Stromversorgung mit ihren
Harmonischen, andererseits die viel höheren Fre-
quenzen der Einschwingvorgänge ebenfalls mit
ihren Harmonischen.
Die Sperrverzögerungszeit trr wird auch
Sperrverzugszeit oder Rückwärtserholzeit ge-
Abb. 2.56 Sperrverzugsladung einer Gleichrichterdiode
nannt. Sie ist als die Zeitdauer definiert, die vom
Nulldurchgang der Abschaltflanke dIF =dt bis
zu dem Punkt reicht an dem die positive Flanke
Abb. 2.56 dargestellt ist. Die Sperrverzugsla- dIR =dt auf 10 % des Spitzensperrstroms, IRM ab-
dung QR ist durch die Fläche dargestellt, die im geklungen ist. Die Sperrverzögerungszeit trr ist
Sperrbereich der Diode vor dem endgültigen Ab- von der Temperatur Tj , dem Strom iF , der un-
schalten, von der Flanke dIF =dt und der wieder mittelbar vor dem Umpolen in Vorwärtsrichtung
ansteigenden Flanke dIR =dt begrenzt wird. Die durch die Diode floss, und der Änderungsge-
negative Flanke dIF =dt wird entweder beim schwindigkeit di=dt des Stroms abhängig.
Betrieb als Gleichrichter, durch die Induktivitäten Die Schaltgeschwindigkeit (ton ) des verwen-
der Schaltung oder als Freilaufdiode arbeitend, deten Transistors bestimmt hauptsächlich die
durch die Stromanstiegsgeschwindigkeit des Stromänderungsgeschwindigkeit dI =dt der
Schalttransistors bestimmt. Freilaufdioden.
Die positive Flanke dIR =dt ist eine Eigenschaft Die Bedeutung der Sperrverzögerungszeit trr
der Diode selbst. Während der Flanke dIR =dt soll anhand einer typischen Gleichrichterschal-
reißt der Strom ab. Bei Gleichrichterdioden ist ein tung, wie der in Kap: 17 (Abb. 17.21) darge-
abrupter Stromabriss, (engl.: snap-off ), nicht ge- stellten Stromversorgung, verdeutlicht werden.
wünscht. In Hochfrequenzschaltungen dagegen Solange der Transistor T gesperrt ist, fließt der
ist der sehr abrupte Stromabriss von Step-Reco- von der Drossel L gespeiste Strom I3 durch die
very-Dioden gewollt. Gleichrichterdioden sollen sogenannte Freilaufdiode D1 . Wird nun der Tran-
aber bis zu dem Zeitpunkt, zu dem die Diode end- sistor T eingeschaltet, dann fließt durch die Di-
gültig sperrt, einen weichen Stromanstieg, soft ode D1 solange der inverse Strom IRM , bis die
recovery genannt, aufweisen. Sperrverzögerungszeit trr abgelaufen ist. Wäh-
2 Passive Bauelemente 157

rend dieser Zeit ist die Sekundärseite des Trans- Diode nicht unnötig hoch gewählt wird. Außer-
formators fast kurzgeschlossen und der Strom dem haben schnelle Epitaxialdioden eine kleinere
wird durch Streuinduktivitäten LS oder den Tran- Einschaltüberspannung als Dioden, die in anderer
sistor selbst begrenzt. Die dabei im Transistor Technologie hergestellt wurden.
und der Schaltung entstehenden Verluste können
erheblich sein.
Die für schnelle Gleichrichter in den Daten- 2.5.4 Schottky-Leistungsdioden
blättern nach JEDEC-Test-bedingungen angege-
benen Sperrverzögerungszeiten sind in der Pra- Schottky-Dioden werden mit Sperrspannungen
xis unbrauchbar, da die Messbedingung nicht bis etwa 100 V und für Durchlass-Ströme bis
den tatsächlichen Betriebsfall der Diode nach- 10 A gebaut. Diese Leistungsdioden werden
vollzieht. Nach JEDEC wird unter folgenden Be- in getakteten Stromversorgungen anstelle von
dingungen gemessen: Epitaxial-Dioden eingesetzt werden. Vorteilhaft
 Flussstrom IF D 1 A, sind die geringe Durchlassspannung und die ex-
 Stromänderungsgeschwindigkeit di=dt D trem kurze Schaltzeit. Nachteilig sind vor allem
15 A=s, der hohe Sperrstrom und die begrenzten Werte
 Sperrspannung UR D 30 V. der Sperrspannung und des Durchlassstroms.
Die unter realistischen Bedingungen ermittelten Schottky-Dioden sind bei Schaltreglern für
Werte sind erheblich schlechter, da die tat- kleinere Spannungen und mittlere Leistung eine
sächlichen Strom-Änderungsgeschwindigkeiten interessante Alternative zu Epitaxialdioden. Je
100 A=s dI =dt 400 A=s betragen kön- kleiner die Ausgangsspannung einer Stromver-
nen, vor allem wenn ein MOSFET als Schalter sorgung ist, desto mehr stört der Spannungsabfall
verwendet wird. Werden für die Sperrverzugs- an der Gleichrichterdiode. Bei kleinen Ein- und
ladung QR Datenblattwerte genannt, die unter Ausgangsspannungen, Umgebungstemperaturen
realistischen Messbedingungen ermittelt wurden, bis 45 °C und einem guten Kühlsystem bieten
dann kann man die in der Freilaufdiode zusätz- Schottky-Dioden oft Vorteile gegenüber Epitaxi-
lich auftretenden Verluste PV.rr/ errechnen: aldioden. Durch die sehr kurze Schaltzeit können
Schaltregler bei einer höheren Frequenz mit
PV.rr/ D QR UR fS ; einem kleineren Transformator oder einer kleine-
ren Speicherdrossel gebaut werden.
wobei fS die Schaltfrequenz ist. Beim Entwurf eines Schaltreglers sind die
Vor- und Nachteile beider Diodentypen sorgfältig
Vorwärtserholzeit tfr abzuwägen. Der hohe Sperrstrom einer Schottky-
Beim Einschalten einer schnell sperrenden Di- Diode erfordert eine sehr sorgfältige Auslegung
ode tritt für die Vorwärtserholzeit, tfr (engl.: des Kühlkörpers, um ein thermisches Driften und
forward recovery time) eine höhere Spannung, damit eine Zerstörung zu vermeiden.
die sogenannte Einschaltüberspannung (engl:. Die Tab. 2.15 enthält neben Signal- und HF-
forward recovery voltage), auch Einschalt- Dioden auch zwei Schottky-Leistungsdioden.
Scheitelspannung genannt, auf. Sie kann deutlich Unter Abschn. 2.5.2 Schottky-Dioden sind diese
über der statischen Flussspannung UF liegen und weiter beschrieben.
ist umso höher, je größer die Sperrspannung UR
der betreffenden Diode, der ihr eingeprägte
Strom IF und dessen Anstiegsgeschwindigkeit 2.5.5 Z-Dioden
di=dt sind. Eine schnell auf die Diode geschaltete
Spannung bewirkt während der Vorwärtserhol- Z-Dioden, früher Zener-Dioden (C. M. ZENER,
zeit tfr eine Verzögerung des Stromanstiegs. 1905 bis 1993), sind verhältnismäßig stark dotier-
Einschaltüberspannungen werden niedrig ge- te Dioden, die in Sperrrichtung betrieben werden.
halten, wenn die Sperrspannung der verwendeten Sie verhalten sich im Durchlassbereich und im
158 E. Hering und K. Bressler

Abb. 2.57 Kennlinie einer Z-Diode


Abb. 2.58 Kennlinien verschiedener Z-Dioden

Sperrbereich unterhalb der Zenerspannung wie


normale Siliciumdioden. Beim Erreichen der Ar-
beitsspannung UZ steigt der Sperrstrom stark an
und muss außerhalb der Z-Diode begrenzt wer-
den (Abb. 2.57).
Der plötzliche Stromanstieg hat zwei Ursa- Abb. 2.59 Einfache Schaltung zur Spannungsstabilisie-
chen. Bei Dioden mit kleinen Arbeitsspannun- rung
gen UZ zwischen 2,7 V und 5 V, werden im Kris-
tall gebundene Elektronen durch die hohe Feld-
stärke (20 V/m) gelöst und bewegen sich als Durchbruchspannung sind beide Effekte gleich
freie Ladungsträger in der Sperrschicht. Dieser stark, der TK ist ungefähr null.
Vorgang wird als Zenereffekt bezeichnet und ist Abbildung 2.58 zeigt die Kennlinien mehrerer
im Prinzip eine Feldemission im Innern des Kris- Z-Dioden in einem Diagramm. Der differenzielle
talls. Oberhalb 5 V verursacht der Lawinen- oder Widerstand ist bei Dioden mit Arbeitsspannun-
Avalancheeffekt einen ähnlich sprunghaften An- gen UZ zwischen 6 V und 9 V ein Minimum, er
stieg des Stroms bei der Arbeitsspannung. Ein- steigt jedoch mit kleiner oder größer werdender
zelne, beispielsweise durch Feldemission freige- Durchbruchspannung an. Der Sperrstrom nach
setzte Elektronen werden in dem hohen elek- dem Zenereffekt setzt langsam ein, der Lawi-
trischen Feld im Halbleiterkristall beschleunigt nendurchbruch dagegen schnell und verursacht
und schlagen immer mehr Elektronen heraus. Da- einen scharfen Knick der Arbeitskennlinie. Die
durch wird die Sperrschicht mit Ladungsträgern schwarzen Kurven für 25 °C und die roten für
gefüllt, so dass der Strom in der jetzt niederohmi- 125 °C zeigen den Temperaturkoeffizienten ab-
gen Sperrschicht lawinenartig ansteigt. Z-Dioden hängig von der Durchbruchspannung UZ .
mit mehr als 15 V Arbeitsspannung arbeiten nach Abbildung 2.59 gibt eine einfache Stabilisie-
dem Lawineneffekt, zwischen 4 V und 15 V ist rungsschaltung mit einer Z-Diode wieder. Die
der Übergang fließend. kleinste Spannung Ue muss größer als die stabili-
Der Zenereffekt verursacht eine Durchbruch- sierte Spannung Ua sein, die Differenz Ue  Ua
spannung mit einem negativem Temperaturkoef- fällt am Vorwiderstand Rv ab. Steigt die Ein-
fizienten (TK ungefähr 5  104 =K), während gangsspannung an, dann steigt die Ausgangs-
der Lawineneffekt einen positiven Temperaturko- spannung Ua wenig, der Strom in der Z-Diode
effizienten (ungefähr 10  104 =K) hat. Bei 5 V aber stark an. Der zusätzliche Strom im Vorwi-
2 Passive Bauelemente 159

derstand Rv fließt in die Z-Diode. Rv muss so nung UD , dann wird die Diode niederohmig, und
klein sein, dass bei der niedrigsten Eingangsspan- der Strom steigt stark an, während die Spannung
nung noch Strom in der Z-Diode fließt. Bei der um etwa 5 V absinkt.
höchsten Eingangsspannung ist auf die Verlust- Diacs haben aus einer langsam ansteigen-
leistung in Rv und der Z-Diode zu achten. den Steuerspannung für Thyristoren und Triacs
Z-Dioden werden wegen ihres Temperatur- schnell ansteigende Triggerimpulse erzeugt. Sie
gangs und ihres Innenwiderstandes heute kaum sind heute von wesentlich genaueren integrierten
noch als Spannungsreferenz benutzt. Hierzu die- Steuerschaltungen verdrängt, die preisgünstig zu
nen Band-gap-Referenzelemente (Abschn. 8.5.3). haben sind.
Z-Dioden eignen sich aber gut als Spannungsbe-
grenzer innerhalb und an den Schnittstellen einer
Schaltung. 2.5.7 Fotodioden
Eine Sonderbauform der Z-Dioden sind die
Suppressor-Dioden, (Transient Voltage Suppres- Die in einem Halbleiter gebundenen Ladungsträ-
sor Diodes) sie werden auch als Transzorb- ger können durch die Energiezufuhr des Lichts
oder Transildioden bezeichnet. Sie verhalten aus dem Kristall gelöst und zu freien Ladungsträ-
sich wie Leistungs-Z-Dioden, die einer gestör- gern werden. Dazu muss die Energie der Licht-
ten Gleichspannung parallel geschaltet werden, quanten (Photonen) EPh D hf größer als die
durch die Wahl ihrer Arbeitsspannung aber nor- Bindungsenergie der Ladungsträger im Kristall
malerweise stromlos sind. Treten kurzzeitige sein. Dabei ist h das Plancksche Wirkungsquan-
und hohe Spannungsspitzen auf, beispielsweise tum (h D 6;626  1034 J s) und f die Frequenz
von einem elektromechanischen Generator, kann des Lichts in Hz. Wird die Frequenz zu klein, d. h.
die Suppressor-Diode diese Spannungsspitzen die Wellenlänge zu groß, dann wird der Halbleiter
zusammen mit dem Innenwiderstand der Span- trotz hoher Lichtintensität nicht mehr beeinflusst,
nungsquelle auf ungefährliche Werte begrenzen. woraus sich das meist abrupte Ende der spektra-
Große Suppressor-Dioden können während ei- len Empfindlichkeit erklärt (Abschn. 6.5.4 Foto-
nes 1 ms dauernden Impulses eine Leistung von dioden und Abb. 6.53).
25 kW aufnehmen. Voraussetzung ist ein großes Dieser Fotoeffekt funktioniert im Prinzip bei
Halbleiterelement und ein gleichmäßiger Strom- jedem pn-Übergang, bei Fotohalbleitern wird er
fluss durch die Sperrschicht. Suppressor-Dioden jedoch durch Aufbau und Dotierung gezielt ge-
sind extrem schnell, ihre Schaltzeit liegt im ns- fördert. Die obere Sperrschicht und das Gehäuse
Bereich, sie können deshalb Störspitzen mit sehr müssen lichtdurchlässig sein (Abb. 2.60).
kurzer Anstiegszeit ableiten. Suppressor-Dioden Die meisten Fotodioden werden heute mit
stellt man in Epitaxietechnik her. transparentem Kunststoff umpresst, für feucht-
warme und aggressive Umgebungsluft gibt es
noch Metallgehäuse mit Glasfenster. Das häufigs-
2.5.6 Diac Triggerdioden te Grundmaterial für Fotohalbleiter ist Silicium.
Es empfängt sichtbares Licht und nahes Infra-
Diacs sind stark dotierte symmetrische Drei- rot, hat einen geringen Dunkelstrom ID und ist
schicht-Halbleiterdioden mit definiertem Durch- preisgünstig. Fotodioden sind schwach dotiert,
bruchverhalten. Diac ist ein Kunstwort aus der häufig ist zwischen der p- und der n-Schicht eine
englischen Bezeichnung Diode Alternating Cur- eigenleitende (intrinsic) Schicht. Dadurch sinkt
rent Switch. Liegt eine Spannung am Diac an, der Dunkelstrom und die Ladungsträger werden
dann wird immer ein pn-Übergang in Durchlass-, schneller. Diese pin-Fotodiode kann noch kleine-
der andere in Sperrrichtung betrieben. Dabei re Lichtstärken messen und Signale mit höherer
fließt nur ein kleiner Sperrstrom I < 100 A, Frequenz erfassen. Die aktive Fläche kann bei
weshalb die Diode hochohmig ist. Erreicht empfindlichen Fotodioden mehrere Quadratmil-
die angelegte Spannung die Durchbruchspan- limeter betragen, um bei kleinen Lichtstärken
160 E. Hering und K. Bressler

Abb. 2.60 Gehäuse für Fotodioden. a Fotodiode im Metallgehäuse, b Fotodiode im Kunststoffgehäuse

verwertbare Ströme zu erhalten. Fotodioden ar- gesetzten Ladungsträger werden im elektrischen


beiten in Sperr-Richtung. Der Sperrstrom hängt Feld im Halbleiter beschleunigt und schlagen
in einem großen Bereich streng linear von der weitere Ladungsträger aus dem Kristall heraus,
Lichtstärke, aber nur wenig von der angelegten so dass man den lichtabhängigen Sperrstrom um
Sperrspannung und der Sperrschichttemperatur den Faktor 100 verstärken kann. Im Gegensatz
ab (Abb. 2.61 und Abb. 2.62). zu einer nachträglichen Verstärkung wird das
Der kleine, beleuchtungsabhängige Sperr- Rauschen dabei nicht verstärkt.
strom kommt aus einer hochohmigen Quelle Die spektrale Empfindlichkeit hängt vom
und lässt sich bei hohen Arbeitsfrequenzen nicht Halbleiterwerkstoff ab (Abb. 6.53). Sie kann aber
gut verstärken. Bei der Datenübertragung über
Glasfasern werden einerseits sehr hohe Ar-
beitsfrequenzen (1 GHz bis 2 GHz) verlangt,
andererseits ist das Signal durch die großen Ver-
stärkerabstände in der Faser auch sehr schwach.
Diese hochfrequenten schwachen Signale wer-
den mit Avalanche-Fotodioden empfangen, die
mit einer Sperrspannung wenige zehntel Volt un-
terhalb der Durchbruchspannung UD (10 V bis
180 V) betrieben werden. Die vom Licht frei-

Abb. 2.62 Strom- und Spannungskennlinien einer Foto-


diode

Abb. 2.61 Sperrstrom als Funktion der Beleuchtungsstär- Abb. 2.63 Spektrale Empfindlichkeiten von Silicium-
ke und Germanium-Fotodioden
2 Passive Bauelemente 161

Abb. 2.64 Mess-Schaltungen mit Fotodioden. a Einfache Messschaltung mit direkter Anzeige, b Verstärkung des
Sperrstroms mit einem Operationsverstärker

mit konstruktiven Maßnahmen, beispielsweise


einem Antireflexbelag für die bevorzugte län-
gere Wellenlänge, innerhalb der physikalischen
Grenzen verbessert werden. Häufig wird eine An-
gleichung an die spektrale Empfindlichkeit des
menschlichen Auges verlangt. Ein vorgeschalte-
tes Grünfilter dämpft die Empfindlichkeitskurve
so, dass diese Kurve näherungsweise erreicht
wird (Abb. 2.63).
Fotodioden werden zur Messung der Licht-
stärke, zur Datenübertragung, beispielsweise bei
der Infrarot-Fernbedienung oder als Empfänger
Abb. 2.65 Funktionsweise der Kapazitätsdiode
am Ende einer Glasfaserstrecke und für viele
andere Zwecke benutzt. Hierbei sind der große
Dynamikbereich und die kurze Ansprechzeit von
Vorteil. Der abgegebene kleine Strom muss fast Richtung anliegende Steuerspannung treibt die
immer verstärkt werden. Abbildung 2.64a zeigt Ladungsträger in ihre Schicht zurück. Dazwi-
die einfache Grundschaltung und Abb. 2.64b schen bleibt eine isolierende Sperrschicht, die mit
einen Operationsverstärker, der den Strom direktzunehmender Steuerspannung dicker wird. Die
in eine Spannung umsetzt. variable Sperrschicht mit den leitenden Deckflä-
Außer den Fotodioden gibt es die wesent- chen ist ein Kondensator, dessen Fläche konstant
bleibt und dessen Plattenabstand mit der Steu-
lich empfindlicheren Fototransistoren. Statt eines
eingespeisten Basisstroms setzt das einfallende erspannung verändert werden kann. Sie heißen
Licht Ladungsträger in der Basis-Emitterzone desauch Varactordioden (Abb. 2.65).
Fototransistors frei, so dass der kleine Fotostrom Bei jeder Diode ändert sich die Kapazität
um die Stromverstärkung B zum Kollektorstrom mit der Sperrspannung. Kapazitätsdioden haben
verstärkt wird. Fototransistoren mit offener Ba-ein großes Verhältnis zwischen der größten und
sis sind sehr lichtempfindlich, aber langsam undder kleinsten nutzbaren Kapazität, einen niedri-
haben einen größeren Dunkelstrom. Ein Basis- gen Innenwiderstand und damit eine hohe Güte.
Emitterwiderstand reduziert die Empfindlichkeit Verschiedene Typen decken einen großen Kapa-
und den Dunkelstrom, wodurch der Fototransis- zitätsbereich ab. Sie werden als spannungsgesteu-
tor auch schneller wird. erte, veränderbare Kondensatoren eingesetzt und
haben die mechanisch veränderbaren Konden-
satoren fast überall ersetzt. Als Grundmaterial
2.5.8 Kapazitätsdioden dient meistens das preisgünstige Silicium, bei
sehr hohen Frequenzen auch Galliumarsenid.
Kapazitätsdioden sind Flächenkontaktdioden, die Bei Galliumarsenid ist die Beweglichkeit der
aus einem pn-Übergang bestehen. Die in Sperr- Ladungsträger ungefähr viermal größer als in
162 E. Hering und K. Bressler

Abb. 2.67 Mit Kapazitätsdioden abgestimmte Schwing-


kreise

Abb. 2.66 Kapazität als Funktion der Sperrspannung

Abb. 2.68 Aufbau einer pin-Diode

Silicium, entsprechend kleiner ist der Reihenwi-


derstand und entsprechend größer die Güte. Die
dem kapazitiven Blindwiderstand Z D 1=! C
elektrische Feldstärke beeinflusst den Abstand
und dem ohmschen Serienwiderstand rs . Deshalb
der leitenden Flächen in der Diode, der mit der
gilt:
angelegten Spannung zunimmt. Deshalb verrin-
gert sich die Kapazität mit steigender Sperrspan- Q D 1=! C rs D 1=2 f  C rs
nung. Die genaue Funktion hängt von der Bauart
Der Serienwiderstand rs ist konstant, der Blind-
und der Fertigungsstreuung der Diode ab. Ab-
widerstand nimmt mit steigender Frequenz ab, so
stimmdioden für mehrere parallel laufende Krei-
dass die Güte sinkt. Bei der Grenzfrequenz fg ist
se, beispielsweise für die Vorkreise eines Funk-
die Güte Q D 1. Bei 100 MHz kann die Gü-
oder Fernsehempfängers, werden auf einem Chip
te Q > 1000 sein. Eine Kapazitätsdiode kann
mit engen Toleranzen hergestellt oder aus Einzel-
den Kondensator eines Schwingkreises ganz oder
dioden auf automatischen Messplätzen passend
teilweise ersetzen (Abb. 2.67).
zueinander ausgesucht und als Quartett geliefert.
Der Koppelkondensator Ck trennt die stets
Kapazitätsdioden betreibt man meistens mit
gleichspannungsfreie Induktivität von der span-
Sperrspannungen zwischen 1 V und 25 V, ihre
nungsgesteuerten Kapazitätsdiode. Der Parallel-
Kennlinie ist bei kleinen Steuerspannungen steil,
kondensator Cp begrenzt den Abstimmbereich zu
d. h. dC =dU ist groß, bei großen Steuerspan-
hohen Frequenzen hin. Die bis auf den Reststrom
nungen ist die Kapazitätsänderung klein und die
im nA-Bereich stromlosen Kapazitätsdioden er-
Kennlinie verläuft flach (Abb. 2.66). Wird die
halten ihre Vorspannung über hochohmige Wi-
Sperrspannung zu groß, dann bricht die Diode
derstände Rv .
durch und wird zerstört.
Die Spannung an der Diode setzt sich aus der
Summe der steuernden Signalspannung und dem
Augenblickswert der Hochfrequenzspannung zu- 2.5.9 Pin-Dioden
sammen. Auch die HF-Spannung ändert die Ka-
pazität. Sie sollte im Interesse kleiner Verzerrun- Pin-Dioden sind Silicium-Flächenkontaktdioden,
gen stets klein gegen die Steuerspannung sein. die aus jeweils einer hoch dotierten p - und nC -
Kapazitätsdioden haben eine hohe Güte und leitenden Schicht mit einer dazwischen liegen-
eignen sich deshalb besonders für schmalbandige den eigenleitenden i-Zwischenschicht (intrinsic
Schwingkreise. Die Güte Q ist der Quotient aus layer) bestehen (Abb. 2.68).
2 Passive Bauelemente 163

dungsträger in dieser Schicht die untere noch


sinnvoll anwendbare Frequenz bestimmt. Diese
Frequenz berechnet sich zu:

fg D 1=2 (2.39)

Der Leitwert der Diode ist proportional zu der


gespeicherten Ladung und die Ladung ist propor-
tional zum Diodenstrom:
dQd Qd
id D C (2.40)
dt 
Dabei ist:

id : Diodengleichstrom
 : Lebensdauer der Ladungsträger
Qd : gespeicherte Ladung in der Diode
Abb. 2.69 Hochfrequenzwiderstand einer pin-Diode als
Funktion des Steuerstroms
Wird die Diode mit einem konstanten Strom ge-
speist, dann ist auch die gespeicherte Ladung
Ist die Sperrschicht nicht oder in Sperrrich- konstant und ergibt sich zu:
tung vorgespannt, d. h. mit einer Spannung in
Sperrrichtung betrieben, dann isoliert die prak- Qd D Id   (2.41)
tisch ladungsträgerfreie Intrinsic-Schicht und die
Diode ist sehr hochohmig. Wird die Diode mit Pin-Dioden finden als steuerbare Widerstände
der angelegten Spannung in Durchlassrichtung in der HF-Technik Verwendung, beispielswei-
betrieben, fließen Ladungsträger aus den hoch se für analoge Abschwächer oder HF-Schalter.
dotierten Randschichten in die Intrinsic-Schicht Dabei wird ein Spannungsteiler aus einem Fest-
und diese leitet. Der differenzielle Leitwert der widerstand und einer pin-Diode als steuerbarem
Sperrschicht ist zum Vor- oder Steuerstrom pro- Widerstand oder aus mehreren pin-Dioden aufge-
portional, ihr differenzieller Widerstand entspre- baut. Abbildung 2.70a zeigt das Ersatzschaltbild
chend umgekehrt proportional. Die Diode verhält eines Abschwächers mit einem regelbaren Wider-
sich wie eine ganz normale Siliciumdiode. stand. Im Abb. 2.70b ist dieser Widerstand durch
Abweichend von anderen Dioden haben die eine pin-Diode ersetzt, deren Widerstand durch
Ladungsträger in pin-Dioden eine lange Lebens- den Steuerstrom eingestellt wird.
dauer  und die Sperrschicht eine lange Aus- Die Beschaltung der pin-Diode muss den
räumzeit trr . Wird dem Steuerstrom ein Hoch- Hochfrequenzpfad vom Gleichstrompfad tren-
frequenzstrom überlagert, dessen Periodendau- nen. Dazu fließt der Steuerstrom über die für
er kurz gegen die Ladungsträgerlebensdauer  Hochfrequenz hochohmige Drossel L1 durch die
und gegen die Ausräumzeit trr ist, dann verhält pin-Diode und weiter über die Drossel L2 nach
sich die pin-Diode für die Hochfrequenz wie Masse. Für die Hochfrequenz sind die Dros-
ein ohmscher Widerstand. Sein Widerstandswert seln hochohmig und stellen keinen spürbaren
lässt sich mit dem Vorstrom mindestens um den Nebenschluss dar. Die Hochfrequenzleistung
Faktor 1000 ändern (Abb. 2.69). fließt über den Kondensator C1 in die pin-Diode
Die Ladungsdichte der Intrinsic-Schicht und und von dort über den Kondensator C2 oder
deren Geometrie bestimmen den Leitwert der direkt in den Verbraucher RL . Die Kondensa-
pin-Diode, während die Lebensdauer  der La- toren C1 und C2 trennen den Gleichstrom vom
164 E. Hering und K. Bressler

Abb. 2.70 HF-Abschwächer mit pin-Dioden; a Ersatzschaltbild; b Pinzipschaltbild; c Pin-Dioden-T-glied mit kon-
stantem Eingangs-und Ausgangswiderstand

HF-Kreis ab. Die gezeigte Schaltung schwächt Sperrspannung ab (Abschn. 2.5.8, Kapazitätsdio-
die durchlaufende HF-Leistung durch ihren den).
Längswiderstand, reflektiert aber auch einen Eine positive Steuerspannung Ust1 lässt Strom
Teil aufgrund der entstehenden Fehlanpassung durch die Diode D1 fließen, der durch die Grö-
(Abschn. 4.1). ße der Steuerspannung und den Widerstand R1
Günstiger sind T- oder  -Glieder aus pin- bestimmt wird. D1 ist niederohmig. Die Steuer-
Dioden, die so angesteuert werden, dass der Ein- spannung liegt an D2 in Sperrrichtung; deshalb
gangs- und Ausgangswiderstand stets konstant ist die Diode hochohmig und hat eine kleine
ist (Abb. 2.70c). Pin-Dioden eignen sich sehr Kapazität. Das HF-Eingangssignal kommt am
gut für Hochfrequenzschalter bis in den hohen Ausgang A1 mit geringer Dämpfung (0,5 dB bis
GHz-Bereich. Durch entsprechende Schaltun- 1 dB) an. Gleichzeitig liegt an USt2 eine negative
gen können einfache EIN-AUS-Schalter ebenso Steuerspannung. Die Diode D3 ist mit der Diffe-
wie Auswahlschalter (1 aus n) realisiert wer- renz beider Steuerspannungen gesperrt, D4 führt
den. Abbildung 2.71 zeigt einen zweistufigen Strom und ist entsprechend niederohmig. Das
Hochfrequenzschalter. Jeder Zweig des HF- HF-Signal am Ausgang A2 ist stark gedämpft
Schalters besteht aus einem Spannungsteiler aus (40 dB bis 70 dB). Die Dämpfung des Ab-
zwei pin-Dioden D1 und D2 . Eine Diode führt schwächers und des HF-Schalters ist im Prinzip
Gleichstrom und ist niederohmig, die andere ist frequenzunabhängig. Die unvermeidbare Sperr-
stromlos und deshalb hochohmig. Die hochoh- schichtkapazität und der restliche Reihenwider-
mige Diode soll eine möglichst kleine Kapazität stand verschlechtern die Eigenschaften bei hohen
haben, um den Spannungsteiler wenig zu be- Frequenzen. Pin-Dioden sind, je nach Einsatzge-
einflussen. Sie wird deshalb normalerweise mit biet, mit unterschiedlichen Schaltzeiten für ver-
einer hohen Spannung in Sperr-Richtung be- schiedene Frequenz- und Leistungsbereiche im
trieben, um ihre Kapazität klein zu halten. Die Handel. Alle wichtigen Eigenschaften sind in den
Sperrschichtkapazität nimmt mit zunehmender Datenblättern enthalten und im Internet zu finden.
2 Passive Bauelemente 165

Abb. 2.71 Zweistufiger Hochfrequenzschalter mit pin-Dioden; a HF-Umschalter schematisch; b HF-pin-


Diodenschalter

2.5.10 Step-Recovery-Dioden japan. Physiker, *1925) und heißen deshalb


auch Esaki-Dioden. Elektronen mit einem klei-
Im Durchlass betriebene Dioden speichern La- nen Energieniveau können die Sperrschicht in
dungsträger in der Sperrschicht. Ändert die an- Durchlassrichtung passieren, d. h. durchtunneln,
liegende Spannung ihr Vorzeichen, dann fließt obwohl die anliegende Spannung deutlich unter-
der Strom solange weiter, bis die Ladungsträger halb der Schwellspannung liegt. Das Maximum
die Sperrschicht verlassen haben. Bei geeignetem des Tunnelstroms wird bei 50 mV bis 100 mV
Aufbau und entsprechender Dotierung der Diode Durchlassspannung erreicht; danach nimmt der
endet der Stromfluss in der Sperrphase plötzlich. Tunnelstrom wieder ab. Bei UD  0;4 V setzt
Dieser Stromabriss kann in einer Induktivität in der normale Durchlass-Strom der Germanium-
einen Spannungsimpuls mit steilen Flanken um- diode ein, beide Ströme überlagern sich und
gewandelt werden. Wird die Diode mit Wechsel- ergeben die charakteristische Kennlinie der Tun-
spannung betrieben, entsteht ein nichtsinusförmi- neldiode. Im abfallenden Teil der Kennlinie hat
ger Strom, der außer der anregenden Frequenz f0 die Tunneldiode einen negativen differenziellen
auch deren Vielfache oder Harmonische enthält Innenwiderstand (Abb. 2.49).
(Abb. 2.49). Tunneldioden gehörten lange Zeit zu den
Step-Recovery-Dioden werden zur Erzeugung schnellsten Halbleiterbauteilen. Sie können bei
steiler Impulse und als Frequenzvervielfacher bis einem bestimmten Strom zwei verschiedene
in den hohen GHz-Bereich eingesetzt. Heute ha- stabile Spannungszustände annehmen und da-
ben integrierte Frequenzvervielfacher auf PLL- mit, ähnlich wie ein Flip-Flop, zwei Zustände
Basis die meisten Aufgaben der Step-Recovery- speichern. Die instabilen Bereiche werden sehr
Dioden übernommen. schnell durchlaufen. Deshalb erzeugt ein si-
nusförmiger Wechselstrom eine Spannung mit
steilen Flanken. Der negative differenzielle Wi-
2.5.11 Tunneldioden derstand eignet sich zum Entdämpfen eines
Schwingkreises so, dass ein Oszillator entsteht.
Tunneldioden sind extrem stark dotierte Germa- Transistoren und integrierte Schaltungen aus
niumdioden mit einer sehr dünnen Sperrschicht. Galliumarsenid erreichen heute vergleichbare
Sie wurden von Esaki erforscht (L. ESAKI, Schaltgeschwindigkeiten bei wesentlich größe-
166 E. Hering und K. Bressler

rem Spannungshub, weshalb die Bedeutung der ode leitet in Sperrrichtung und sperrt bei kleinen
Tunneldioden heute gering ist. Spannungen in Durchlassrichtung, sie wird rück-
wärts (engl.: backward) betrieben (Abb. 2.49).
Backwarddioden sind, wie Tunneldioden, sehr
2.5.12 Backwarddioden schnell. Sie eignen sich zum Gleichrichten klei-
ner Hochfrequenzspannungen, die in normalen
Backwarddioden sind ähnlich wie Tunneldioden Dioden mit hoher Schwellspannung unterdrückt
aufgebaut, aber schwächer dotiert. Es tritt nur ein oder verfälscht werden. Die Bedeutung dieser
geringer Tunneleffekt auf, weshalb der Strom in speziellen Germaniumdioden ist heute gering.
Durchlassrichtung gegenüber dem normalen Di- Kleine Hochfrequenzspannungen kann man heu-
odenstrom nur wenig erhöht ist. Der Zenerdurch- te besser verstärken oder mit Schottky-Dioden
bruch macht die Diode schon bei kleinen Span- mit besonders kleiner Schwellspannung (Zero-
nungen in Sperr-Richtung niederohmig. Die Di- Bias-Detektordioden, Abb. 2.54) gleichrichten.
Transistoren
3
Klaus Bressler und Harald Rudolph

3.1 Transistoren der modernen Schaltungstechnik. Sie werden in


diesem Kapitel nur Transistoren genannt.
3.1.1 Arten von Transistoren Transistoren sind auf einem quadratischen
und deren Aufbau Halbleiterchip von wenigen zehntel Millimetern
Kantenlänge untergebracht. (Bei Leistungs-
Transistoren sind aktive Halbleiterbauelemente transistoren kann die Kantenlänge mehrere
zum Verstärken von elektrischen Signalen. Die Millimeter betragen). Der Transistorwerkstoff ist
unterschiedlichsten Anwendungsfälle haben zu überwiegend Silicium (4. Gruppe des Perioden-
einer großen Vielfalt verschiedener Transistor- systems der Elemente) oder besteht aus einer
typen geführt. Selbst analoge und digitale inte- Kombination drei- und fünfwertiger Elemen-
grierte Schaltungen (IC) sind aus Transistoren te (z. B. GaAs). Die Herstellung verschiedener
mit der erforderlichen Beschaltung zusammenge- Ladungszonen geschieht durch Diffusion oder Io-
setzt. Abbildung 3.1 gibt eine Übersicht über die nenimplantation. Die so hergestellten Transisto-
verschiedenen Transistortypen, den prinzipiellen ren sind äußerst empfindlich gegen Feuchtigkeit
Aufbau, die Schaltzeichen, die charakteristischen und Wärme und neigen zu schneller Korrosion.
Kennlinien und zeigt einige wichtige Anwen- Sie werden deshalb in ein Gehäuse eingebaut,
dungsfälle. das schädliche Umwelteinflüsse fernhält und die
Man teilt die Transistoren in bipolare und Verlustwärme des Transistors an die umgebende
unipolare oder Feldeffekttransistoren ein. Die Luft oder an einen Kühlkörper abgibt.
historisch älteren bipolaren und stromgesteuerten Alle Halbleiter sind zum Schutz gegen äuße-
Transistoren wurden durch die spannungsge- re Einflüsse und zur mechanischen Stabilität mit
steuerten Feldeffekttransistoren ergänzt. Die Kunststoff umpresst.
hochentwickelte Herstellungstechnologie erlaubt In Abb. 3.2 sind der prinzipielle Aufbau, die
es, für jeden Anwendungsfall einen geeigneten Schaltzeichen und die Schaltungen eines bipola-
Transistor zu finden. Obwohl die Bedeutung der ren Transistors verdeutlicht.
Feldeffekttransistoren für diskrete und integrier- Der npn-Transistor in Abb. 3.2a besteht aus
te Schaltungen erheblich gewachsen ist, haben drei verschiedenen Elektroden: dem negativ do-
bipolare Transistoren einen wichtigen Platz in tierten Emitter (n), der positiv dotierten Basis-
zone (p) und dem negativ dotierten Kollektor
(n). Für den in der Praxis am häufigsten ein-
K. Bressler () gesetzten npn-Transistor sollen alle Schaltungen
E-Mail: klaus.bressler@web.de erklärt werden. (Beim pnp-Transistor werden le-
H. Rudolph diglich p- und n-Schichten sowie die Vorzeichen
E-Mail: harald_kg_rudolph@yahoo.de der Strom- und Spannungsrichtungen vertauscht;

© Springer-Verlag GmbH Deutschland 2017 167


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_3
168 K. Bressler und H. Rudolph

Abb. 3.1 Übersicht über die verschiedenen Transistortypen

Abb. 3.2 Aufbau, Schaltzeichen und Schaltung eines bipolaren Transistors mit Kollektorwiderstand RC

das Funktionsprinzip und die Schaltungsberech- Der im Prinzip symmetrische Transistor wird für
nung bleiben unverändert). Abbildung 3.2b zeigt viele Anwendungsfälle unsymmetrisch gebaut,
die schematische Darstellung der drei Transis- um spezielle Eigenschaften, beispielsweise ei-
torelektroden mit den entsprechenden Strömen ne hohe Stromverstärkung, zu erzielen. Abbil-
und Spannungen. Den Transistor kann man, wie dung 3.2d zeigt das Schaltzeichen und die Be-
Abb. 3.2c zeigt, vom Aufbau her als Kombinati- pfeilung eines npn-Transistors, Abb. 3.2e für den
on zweier gegeneinander geschalteter Dioden mit pnp-Transistor (zu Schaltzeichen, Abschn. 1.2.8,
gemeinsamer Mittelschicht, der Basis, verstehen. Abb. 1.6).
Diese Struktur lässt sich zwar mit einem Ohm- Abbildung 3.3 zeigt verschiedene Transistor-
meter leicht nachweisen, sie erklärt aber nicht gehäuse mit ihren Abmessungen und typischer
die physikalische Wirkungsweise des Transistors. Verlustleistung.
3 Transistoren 169

Abb. 3.3 Transistorgehäuse mit Abmessungen und typischer Verlustleistung

3.1.2 Beschaltung und Funktion und deshalb isolierende Basis-Kollektor-Diode


des Transistors und macht diese leitfähig. Wie die weiter unten
dargestellten Kennlinien zeigen (z. B. Abb. 3.10
Die äußere Beschaltung (d. h. der Einbau eines in Abschn. 3.1.3.2), erzeugt der Basisstrom einen
Transistors in eine elektrische Schaltung) bringt wesentlich größeren Kollektorstrom IC , der von
den Transistor in den gewünschten Arbeitsbe- der Kollektor-Emitter-Spannung UCE nur wenig
reich (Strom- und Spannungsbereich, in dem der abhängt. Dieser Kollektorstrom IC fließt über die
Transistor arbeitet). Zu diesem Zweck erhält die Basis zum Emitter. Nach diesem Prinzip arbeiten
Basis einen kleinen Gleichstrom, dem der zu alle bipolaren Transistoren.
verstärkende Signalstrom überlagert wird. Die Abbildung 3.4 zeigt den Kollektorstrom IC
Basis-Emitter-Diode (Abb. 3.2c) betreibt man in in Abhängigkeit von der Kollektorspannung UC
Durchlassrichtung; sie beginnt ab einer Basis- und dort die verschiedenen nutzbaren und verbo-
Emitter-Spannung UBE von etwa 0,5 V zu leiten. tenen Arbeitsbereiche eines npn-Transistors. Im
Der Basisstrom IB hängt (Gl. 3.2 und Gl. 3.3) aktiven Bereich (1) arbeitet der Transistor als ana-
von der angelegten Basis-Emitter-Spannung UBE loger Verstärker. Hierbei hängt die Verstärkung
und der Sperrschichttemperatur Tj ab (j: engl.: nur wenig von den Betriebsgrößen, beispiels-
junction: Sperrschicht). Dieser Basisstrom bringt weise der Kollektor-Emitter-Spannung UCE , dem
Ladungsträger in die in Sperrrichtung betriebene Kollektorstrom IC , der Sperrschichttemperatur Tj
170 K. Bressler und H. Rudolph

2
Kollektorstrom IC

Abb. 3.5 Der Transistor als Vierpol


4
1
Allgemein beschreiben Kennlinien grafisch
in einem Diagramm die typische Abhängigkeit
zweier (oder mehrerer) Kenngrößen, beispiels-
weise die Abhängigkeit des Kollektorstroms IC
vom Basisstrom IB . Werden verschiedene
5 Parameter verändert, wie beispielsweise die
Sperrschichttemperatur Tj , so entstehen mehrere
Kennlinien; man spricht von Kennlinienfeldern.
Kollektor-Emitterspannung UCE
Zur praktischen Dimensionierung einer Schal-
tung müssen die Eigenschaften des Transistors
Abb. 3.4 Betriebsbereiche eines Transistors. Parameter:
Basisstrom
bekannt sein, damit man sie durch eine geeigne-
te Beschaltung optimal dem Anwendungszweck
anpassen kann. Die Eigenschaften werden in
Kennwerte und in Grenzwerte eingeteilt. Wäh-
oder der Betriebsfrequenz f ab. Mit kleiner wer- rend Kennwerte bekannt sein müssen, um
dender Kollektor-Emitter-Spannung (UCE < 1 V) sinnvolle Anwendungen bauen zu können, dürfen
nimmt die Stromverstärkung stark ab, und der Grenzwerte nicht überschritten werden.
Transistor kommt in den Übersteuerungsbereich
(2). Wird der Basisstrom IB D 0, dann fließt auch
kein Kollektorstrom mehr; der Transistor ist im 3.1.3 Wichtige Kennwerte
Sperrbereich (5). Der Übersteuerungs- und der von Transistoren
Sperrbereich werden bei gesättigten Logikschal-
tungen (Abschn. 12.1) genutzt. Der Betrieb im Im Folgenden werden die wichtigsten Kennwerte
Durchbruchbereich (4) und oberhalb des zulässi- von Transistoren genannt.
gen Kollektorstroms (3) zerstört den Transistor.
Abbildung 3.5 zeigt die prinzipielle Wir- 3.1.3.1 Eingangswiderstand
kungsweise der Verstärkung eines Transistors, Abbildung 3.6 zeigt die wesentlichen Zusam-
der als Vierpol zu verstehen ist. menhänge, wobei der Transistor als Vierpol
Der Transistor wirkt als Verstärker, da ein (Abb. 3.5) aufzufassen ist und stets die Emit-
kleiner Basisstrom IB einen großen Kollek- terschaltung als Beispiel dient.
torstrom IC verursacht. Das Verhältnis von Der Eingangswiderstand der Emitterschal-
Kollektor- zu Basisstrom (IC =IB ) bezeichnet tung rbe stellt den Eingangswiderstand Re D rbe
man als Gleichstromverstärkung B oder, bei der Basis-Emitter-Strecke dar (Abb. 3.6a). Der
kleinen Änderungen des Basisstroms IB , als Basisstrom I B hängt von der angelegten Basis-
differenzielle Stromverstärkung ˇ. Diese Ver- Emitter-Spannung UBE , der Größe und Bauart
stärkungsgrößen sind nicht konstant, sondern des Transistors und der absoluten Sperrschicht-
für verschiedene Arbeitspunkte (Werte für IB , temperatur Tj nach Gl. 3.1 folgendermaßen ab:
IC und Tj ) unterschiedlich groß und werden in  U 
BE
den Kennlinienfeldern genauer beschrieben (Ab- IB D I0  e UT j
1 : (3.1)
schn. 3.1.4).
3 Transistoren 171

Abb. 3.7 Basisstrom IB als Funktion der Basis-Emitter-


Spannung UBE und der Sperrschichttemperatur Tj (lineare
Skala)

Abb. 3.6 Ersatzschaltbild des Transistors


aus und steuert zu diesem Zweck den Transistor
mit einem bestimmten Basisstrom IB (statt einer
Betreibt man die Basis-Emitter-Diode in Durch- Spannung) an.
lassrichtung, gilt für den Basisstrom IB nähe- Der Eingangsleitwert gbe D 1=rbe des Tran-
rungsweise sistors errechnet sich durch Differenzieren des
UBE
Eingangsstroms IB nach der Eingangsspan-
IB D IS  e UT j
: (3.2)
nung UBE . Aus Gl. 3.1 ergibt sich
Dabei ist IS der für den Transistor charakteristi- 1 dIB I0  eUBE =UT
scher Sperrsättigungsstrom (z. B. I0 D 0;1 nA für D D :
rbe dUBE UT
einen Silicium-Kleinsignaltransistor bei 25 °C),
Wird für I0 Gl. 3.2 eingesetzt, so ist der Ein-
und UT die Temperaturspannung, für die gilt:
gangswiderstand des Transistors rbe
UT D kT =e0 (3.3) rbe D UT =IB (3.4)
mit der Boltzmann-Konstanten k D 1;38  Es ist zu beachten, dass UT temperaturabhängig
1023 W s=K, der absoluten Temperatur T und ist und bei 25 °C ungefähr 40 mV beträgt.
der Elementarladung e0 D 1;602  1019 A s. Der Eingangswiderstand Re erscheint im Er-
Mit diesen Werten beträgt UT bei Raumtempe- satzschaltbild des Transistors als Basis-Emitter-
ratur (25 °C oder 298 K) 26 mV. Praktisch liegt Widerstand rbe (Abb. 3.6a). Die Abb. 3.7 und 3.8
der Wert aber eher bei 40 mV. (Vergleiche zur zeigen den Basisstrom IB als Funktion der Basis-
Temperaturspannung Abschn. 1.8.7.1). Emitter-Spannung UBE und der Sperrschichttem-
Wie Gl. 3.2 zeigt, hängt der Basisstrom peratur Tj sowie den in Gl. 3.2 aufgeführten
nicht linear, sondern exponentiell von der nichtlinearen Verlauf des Eingangsstroms IB .
Basis-Emitter-Spannung UBE ab. Dieser stark Während Abb. 3.7 den Zusammenhang in ei-
nichtlineare Eingangswiderstand stört die meis- nem linearen Diagramm darstellt, zeigt Abb. 3.8
ten Anwendungen. Deshalb gleicht man ihn dieselben Abhängigkeiten logarithmisch und
durch eine geeignete Beschaltung des Transistors über einen großen Basisstrombereich.
172 K. Bressler und H. Rudolph

Abb. 3.9 Aufteilung der Ströme im Transistor

Kollektor- und Basisstrom weisen einen weit-


gehend linearen Zusammenhang auf. Deshalb
ist der Quotient aus Kollektorstrom IC und
Basisstrom IB im aktiven Arbeitsbereich nach
Abb. 3.4 ungefähr konstant. Man bezeichnet ihn
Abb. 3.8 Basisstrom IB als Funktion der Basis-Emitter- als Gleichstromverstärkung B, und es gilt
Spannung UBE und der Sperrschichttemperatur Tj (loga-
rithmische Skala)
IC
BD : (3.5)
IB

Eine lineare Spannungsverstärkung kann man Bei großen Änderungen des Kollektorstroms
durch eine Gegenkopplung (Abschn. 3.2.1) errei- (IC > 10) oder, wenn die Kollektor-Emitter-
chen. Dabei wird ein Teil des Ausgangssignals Spannung UCE sehr klein wird, dann ändert sich
mit der dem Eingangssignal entgegengesetzten auch die Stromverstärkung B. Da sie auch von
Phase dem Eingang wieder zugeführt, so dass die der Sperrschichttemperatur Tj und der Betriebs-
Linearität verbessert, aber die Verstärkung ver- frequenz f abhängt, gibt man die differenzielle
ringert wird (Abschn. 3.2.1). Außerdem hängt Stromverstärkung ˇ an, die folgendermaßen de-
die Basis-Emitter-Spannung UBE von der Sperr- finiert ist:
schichttemperatur Tj ab. Der Temperaturkoeffizi- IC
ent ˛ beträgt etwa 2 mV=K. Auch dieser Tem- ˇD : (3.6)
IB
peraturgang muss durch geeignete Schaltungen
Abbildung 3.10 zeigt die Zusammenhänge.
korrigiert werden.
Im Ersatzschaltbild des Transistors nach
Abb. 3.6a bestimmt die Stromverstärkung ˇ das
3.1.3.2 Stromverstärkung Verhältnis zwischen dem Basisstrom IB und dem
Abbildung 3.9 zeigt die Aufteilung der Ströme im Kollektorstrom IC . Zwischen den Größen beste-
Transistor. hen folgende Abhängigkeiten:
Ein kleiner Basisstrom IB (1 % des Emitter-
stroms) verursacht beim Transistor einen großen Kollektorstrom IC Der Kollektorstrom IC
Kollektorstrom IC (99 % des Emitterstroms), der eines Transistors hängt überwiegend vom Ba-
aus der angelegten Spannungsquelle entnom- sisstrom IB ab. Andere Größen, insbesondere
men wird. Abbildung 3.6a zeigte bereits den die Kollektor-Emitter-Spannung UCE haben, wie
Basisstrom IB , der durch den Eingangswider- Abb. 3.11 verdeutlicht, nur einen geringen Ein-
stand Re D rbe festgelegt ist und die Stromquelle fluss.
ˇ  IB im Kollektor-Emitter-Kreis. Die Summe
aus Basisstrom IB und Kollektorstrom IC fließt Gleichstromverstärkung B Die Gleichstrom-
über den Emitter als Emitterstrom IE ab. verstärkung B hängt, wie Abb. 3.12 zeigt, sowohl
3 Transistoren 173

Abb. 3.12 Gleichstromverstärkung in Abhängigkeit vom


Kollektorstrom IC (Parameter, Sperrschichttemperatur Tj )

Abb. 3.10 Stromverstärkung eines Transistors

Abb. 3.13 Frequenzabhängige Stromverstärkung

Abb. 3.11 Ausgangskennlinienfeld eines Kleinsignaltran-


sistors ab. Die Frequenz, bei der die differenziel-
le Stromverstärkung ˇ auf 1 abgefallen ist,
heißt Transitfrequenz fT . In Abb. 3.13 ist die
vom Kollektorstrom IC als auch von der Sperr- Stromverstärkung ˇ in Abhängigkeit von der
schichttemperatur Tj ab. Arbeitsfrequenz f dargestellt.
Aus Abb. 3.13 ist zu erkennen, dass bei der
Differenzielle Stromverstärkung ˇ: Weiter- Grenzfrequenz fg D p fT =ˇ0 die Stromverstär-
hin besteht ein Zusammenhang zwischen der kung auf ˇ D ˇ0 = 2 abgefallen ist. Wie bei
Stromverstärkung ˇ und Arbeitsfrequenz f . einem RC-Glied, das die dem Transistor entspre-
Die bei Gleichstrom und niederen Frequenzen chende Grenzfrequenz fg D fT =ˇ0 hat, tritt auch
in einem Arbeitspunkt konstante Stromverstär- hier eine Phasenverschiebung zwischen Basis-
kung ˇ0 nimmt mit zunehmender Frequenz und Kollektorstrom auf. Bei kritischen Schaltun-
174 K. Bressler und H. Rudolph

gen sind deshalb der Verstärkungsabfall und die Basiswiderstand rr mit dem niederohmigen
Phasenverschiebung zu berücksichtigen. Basis-Emitterwiderstand rbe einen Spannungs-
Zu den oben genannten systematischen teiler bildet, wirkt die Kollektorspannung
Abhängigkeiten kommen große Exemplarstreu- nur wenig zurück. Abbildung 3.6c zeigt den
ungen der differenziellen Stromverstärkung ˇ Rückwirkungswiderstand rr als Ursache der
bei Transistoren gleichen Typs, die der Herstel- Spannungsrückwirkung D, die eine dimensions-
ler durch Sortieren in Stromverstärkungsgruppen lose Zahl ist. Sie ist als Steigung der Kennlinie
mildern kann. Moderne Fertigungsverfahren wie Kollektor-Emitter-Spannung UCE als Funktion
die Ionenimplantation führen zu Halbleitern mit der Basis-Emitter-Spannung UBE definiert:
engeren Toleranzen. Trotz aller Verbesserungen
in der Herstellungstechnik kann man den Gleich- UCE
DD : (3.8)
stromarbeitspunkt einer Halbleiterschaltung nur UBE
in einer gegengekoppelten Schaltung sicher im
aktiven Arbeitsbereich (Abb. 3.4) halten, ohne in D liegt für Kleinsignaltransistoren lediglich in
einen verbotenen Arbeitsbereich zu driften. der Größenordnung 3  104 , weshalb diese auf
die Schaltungseigenschaften nur einen geringen
3.1.3.3 Ausgangsleitwert Einfluss hat, so dass man sie im allgemeinen ver-
Der Kollektor eines Transistors wurde bisher als nachlässigen kann.
reine, vom Basisstrom IB gesteuerte Stromquelle Die Transistorgrößen Eingangswiderstand rbe ,
betrachtet, deren Strom am Arbeitswiderstand Stromverstärkung ˇ, Ausgangsleitwert ga und
die gewünschte Ausgangsspannung Ua er- die Spannungsrückwirkung D sind bei Gleich-
zeugt. Durch den Kollektor-Basis-Widerstand rr strom und niedrigen Frequenzen reell. Mit zuneh-
fließt, abhängig von der Kollektor-Basis-Span- mender Frequenz machen sich jedoch die Kapa-
nung UCB , ein kleiner Strom in die Basis, der um zitäten und Laufzeiten zwischen den Elektroden
den Faktor B verstärkt in der Kollektor-Emitter- bemerkbar und beeinflussen die Verstärkung ˇ
Strecke auftritt. Im Ersatzschaltbild ist die Ur- und den Eingangs- und Ausgangswiderstand er-
sache dieses Stroms der Leitwert ga D 1=ra , heblich. Die Größen werden dann komplex.
der sich parallel zur Kollektor-Emitter-Strecke
befindet (Abb. 3.6b). Der Ausgangsleitwert ga 3.1.3.5 h-Parameter
ist im Ausgangskennlinienfeld nach Abb. 3.11 als Transistorkennwerte
durch die Reststeigung der Kollektorkennlinie zu Den Transistor kann man, wie bereits in Abb. 3.5
erkennen, wobei gilt dargestellt ist, als Vierpol betrachten, und man
kann seine Eingangs- und Ausgangsgrößen be-
IC rechnen. Für Niederfrequenztransistoren dienen
ga D : (3.7)
UCE die h-Parameter als Kenngrößen. Sie hei-
ßen hybride Parameter, weil sie verschiedene
Bei hochohmigen Arbeitswiderständen muss Dimensionen haben, und entsprechen den un-
man den Ausgangsleitwert ga parallel zum ter Abschn. 3.1.3.1 bis 3.1.3.4 beschriebenen
Arbeitswiderstand in solchen Fällen berück- Kenngrößen. In Abb. 3.6 sind die h-Parameter
sichtigen, wenn er den Arbeitswiderstand RC eingetragen. Tabelle 3.1 zeigt den Zusam-
merklich verkleinert. Bei Kleinsignaltransistoren menhang zwischen den Kennwerten und den
liegt ga in der Größenordnung 5 bis 50 S. h-Parametern in Emitterschaltung. Die deutsch-
sprachige Fachliteratur indiziert die h-Parameter
3.1.3.4 Spannungsrückwirkung mit Zahlen, während im angloamerikanischen
Genaue Untersuchungen zeigen, dass die Sprachgebrauch Buchstaben üblich sind.
Kollektor-Basis-Spannung UCB auch die Basis- Die nachfolgenden Gl. 3.9 und 3.10 beschrei-
Emitter-Spannung UBE und den Basisstrom IB ben mit den h-Parametern den Zusammenhang
beeinflusst. Da der hochohmige Kollektor- zwischen der Eingangsspannung Ube und dem
3 Transistoren 175

Tab. 3.1 Zusammenhang zwischen Transistorkennwerten


und h-Parametern
Kenngröße h-Parameter Dimension
Eingangswiderstand re h1 1e D hie Widerstand
Spannungs- D h1 2e D hre dimensionslos
rückwirkung
Stromverstärkung ˇ h2 1e D hfe dimensionslos Abb. 3.14 Rauschzahl F linear und Rauschmaß F  loga-
Ausgangsleitwert ga h2 2e D hoe Leitwert rithmisch

Kollektorstrom Ic mit Hilfe des Basisstroms Ib mit der Boltzmannkonstanten k D 1;38 


und der Kollektor-Emitter-Spannung 1023 W s=K. Über den Widerstand R kann
man aus der Rauschleistung PR die Rauschspan-
Ube D h1 1 Ib C h1 2 Uce ; (3.9) nung UR nach folgender Gleichung ermitteln:
Ic D h2 1 Ib C h2 2 Uce : (3.10) p
UR D P R  R
Ausgehend von diesen Gleichungen kann man p
UR D 4  k  T  df  R : (3.13)
die h-Parameter als Matrix H darstellen.
!
h1 1 h1 2 In Transistoren erzeugt die Bewegung der La-
H D : (3.11) dungsträger ein zusätzliches Rauschen. Diese
h2 1 h2 2
Rauschspannung hängt vom Innenwiderstand Ri
Wie Gl. 3.9 und Tab. 3.1 zeigen, hat der Ein- der Signalquelle, der Temperatur Tj , der Fre-
gangswiderstand h1 1 die Dimension eines Wider- quenzbandbreite df , dem Transistortyp und
standes, während die Spannungsrückwirkung h1 2 seinem Arbeitspunkt ab. Diese Bedingungen
dimensionslos ist. Gleichung 3.10 beschreibt den sind bei der Berechnung zu berücksichtigen. Die
Kollektorstrom Ic und enthält die dimensionslo- Rauschspannung bezieht man zum besseren Ver-
se Stromverstärkung h2 1 und den Ausgangsleit- gleich mit der zu verstärkenden Signalspannung
wert h2 2 . An dieser Stelle ist anzumerken, dass auf den Eingang des Transistorverstärkers.
die h-Parameter keine festen Werte sind, son- Die Rauschzahl F ist der Faktor, um den
dern, wie die Kenngrößen auch, vom Typ, dem die Rauschleistung der Signalquelle am Ein-
Arbeitspunkt und den unvermeidbaren Exemplar- gang des Transistors vergrößert erscheint. Den
streuungen abhängen. Transistor selbst betrachtet man als rauschfrei.
Üblich ist auch das Rauschmaß F  als loga-
3.1.3.6 Rauschen rithmiertes Verhältnis aus der gesamten intern
In einem Leiter, beispielsweise einem Widerstand anstehenden Rauschleistung PRT im jeweili-
oder einem Halbleiter, erzeugt die Wärme unre- gen Arbeitspunkt und der Rauschleistung des
gelmäßige Bewegungen der Ladungsträger, die Quellwiderstandes PR . Abbildung 3.14 zeigt die
als Rauschen wahrgenommen und als Rauschleis- Zusammenhänge.
tung PR definiert werden. Den Quotienten aus Für die Rauschzahl F und das Rauschmaß F 
der Rauschleistung PR und der Frequenzband- (die Einheit ist dB) gilt
breite df bezeichnet man als Rauschleistungs-
dichte PR =df . Ist sie, wie bei Widerständen, bei PRT
F D (3.14)
allen Frequenzen gleich, spricht man von weißem PR
 
Rauschen. Die Rauschleistung PR hängt in die- PRT
F  D 10  lg dB : (3.15)
sem Fall von der absoluten Temperatur T und der PR
Frequenzbandbreite df , nicht aber vom Wider-
stand ab. Es gilt Das Nutzsignal und das Rauschen werden im
Transistor um den Faktor v verstärkt. Das un-
PR D 4  k  T  df (3.12) ter Berücksichtigung der Rauschzahl berechne-
176 K. Bressler und H. Rudolph

Abb. 3.15 Rauschmaß F  in Abhängigkeit von der Fre-


quenz f

te Signal-Rauschverhältnis bleibt auch nach der


Verstärkung erhalten.
Die Rauschspannung UR hängt nur von der Abb. 3.16 Rauschmaß F  als Funktion des Quellwider-
Schaltung, der Temperatur, der Frequenzband- standes Ri (Parameter: Kollektorstrom IC /
breite und dem Transistortyp ab, nicht aber von
der Signalgröße. Sie ist deshalb bei kleinen
Signalspannungen am Eingang eines Verstär- nehmendem Innenwiderstand der Signalquelle
kers von Bedeutung. Hier muss man durch verschiebt sich dieses Minimum zu kleineren
eine rauscharme Eingangsstufe ein günstiges Kollektorströmen IC , wie Abb. 3.16 zeigt.
Signal-Rauschverhältnis erreichen, da die nach- Im Allgemeinen ist die Rauschspannung am
folgenden Verstärkerstufen das Nutzsignal nicht Ausgang einer Transistorstufe zu minimieren.
vom Rauschen unterscheiden können und beide Ein zunehmender Innenwiderstand der Signal-
gleich verstärken. quelle vergrößert die Rauschspannung, weshalb
Das Transistorrauschen hängt vom Typ, von die Auswirkungen des Innenwiderstandes Ri
der Arbeitsfrequenz f , vom Kollektorstrom IC auf die Rauschzahl F zu beachten sind. Für
und dem Innenwiderstand Ri der Signalquelle ab. den Anwender ist weniger die Rauschzahl einer
Es gibt rauscharme Transistoren, die durch ih- Schaltung wichtig, sondern das aus dem Ver-
re Bauart wenig zusätzliches Rauschen erzeugen. stärker kommende Signal-Rausch-Verhältnis.
Der Hersteller gibt die Rauschzahl für die Ar- Abbildung 3.17 zeigt für verschiedene Kollek-
beitsbedingungen an, überwacht sie bei der End- torströme IC die effektive Rauschspannung UR
prüfung und sortiert teilweise die unterschied- des Transistors in Abhängigkeit vom Innenwi-
lichen Transistoren in verschiedene Rauschleis- derstand Ri der Signalquelle.
tungsgruppen.
Das Transistorrauschen ist frequenzabhängig,
wie Abb. 3.15 zeigt. 3.1.4 Weitere Kennwerte
Aus Abb. 3.15 ist folgendes zu erkennen: Un-
terhalb 1 kHz steigt das Rauschmaß merklich an, Während die zuvor behandelten Kenngrößen
während es zwischen 1 kHz und der Grenzfre- zu einfachen Schaltungsberechnungen herange-
quenz fT =ˇ auf einem niedrigen Niveau bleibt zogen werden, beschreiben die Kennwerte in
und oberhalb der Grenzfrequenz fg schnell an- diesem Abschnitt wichtige Eigenschaften ei-
steigt. Da hier auch die Verstärkung abfällt, wirkt nes Transistors, die der Planer einer Schaltung
sich dieser Anstieg aber weniger deutlich aus. kennen muss, um diese mit den geforderten Ei-
Zu jedem Kollektorstrom IC gibt es einen genschaften auslegen zu können. Dabei ist zu
Quellwiderstand Ri , bei dem die gesamte beachten, dass die Transistorschaltungen trotz
Rauschleistung PR ein Minimum ist. Mit zu- Streuung der Transistorparameter in der Serien-
3 Transistoren 177

Tab. 3.2 Kennzeichnung der Restströme


1. Buchstabe 2. Buchstabe 3. Buchstabe
Positive Negative O: 3. Anschluss
Elektrode Elektrode offen
R: 3. Anschluss mit
2. Elektrode über
einen Widerstand
verbunden
S: 3. Anschluss mit
2. Elektrode
kurzgeschlossen
(shorted)
Abb. 3.17 Breitbandige (10 kHz bis 16 kHz) Rauschspan-
nung UR des Transistors als Funktion des Innenwider-
standes Ri der Signalquelle (Emitterschaltung; Parameter:
Kollektorstrom IC )
3.1.4.3 Transitfrequenz
Mit zunehmender Frequenz nimmt die differen-
zielle Stromverstärkung ˇ eines Transistors ab.
fertigung in gleichbleibender Qualität herstellbar Die Frequenz, bei der ˇ auf 1 abgesunken ist, hat
sind und während ihres Einsatzes zuverlässig die Bezeichnung Transitfrequenz fT . Bei dieser
arbeiten. Frequenz kann man Transistoren nur in Aus-
nahmefällen sinnvoll betreiben. Die Transitfre-
quenz fT ist eine Rechengröße, die das Ver-
3.1.4.1 Restströme
halten des Transistors bei höheren Frequenzen
Für die normalerweise stromlosen Strecken,
beschreibt (Abb. 3.13).
nämlich Kollektor–Basis und Kollektor–Emitter
in gesperrtem Zustand, gibt man mittlere Rest-
ströme an. Sie hängen von der angelegten
3.1.4.4 Schaltzeiten
Spannung und der Sperrschichttemperatur ab. Transistoren in Digitalschaltungen sind meis-
Tabelle 3.2 zeigt die Kennzeichnung der Rest- tens bis zur Sättigungsspannung durchgesteuert
oder stromlos (mit Ausnahme von schnellen di-
ströme.
gitalen Schaltern, den ECL; Abschn. 12.1.5).
Abbildung 3.18 zeigt die entsprechenden
Der Kollektorstrom IC folgt dem Basisstrom IB
Messschaltungen für Restströme.
nicht trägheitslos; denn das Füllen und vor al-
lem das Ausräumen von Ladungsträgern in
3.1.4.2 Sperrschichtkapazitäten der Sperrschicht kostet Zeit. Die entsprechen-
Die sehr dünnen Sperrschichten bilden Kon- den Verzögerungs-, Anstiegs- und Abfallzeiten
densatoren, deren Blindwiderstände sich bei sind die charakteristischen Schaltzeiten für diese
höheren Frequenzen bemerkbar machen. Da- Transistoren.
bei stört die Kollektor-Basis-Kapazität CCB am
meisten, da sie, um die Spannungsverstärkung
der Schaltung vergrößert, scheinbar parallel zum 3.1.5 Transistor-Grenzwerte
Eingang liegt. Die Basis-Emitter-Kapazität CBE
ist streng genommen keine Sperrschichtkapa- Grenzwerte dürfen nicht überschritten werden,
zität, da die Basis-Emitter-Diode im Durchlass sonst wird der Halbleiter zerstört oder irreversibel
betrieben wird; sie wirkt sich aber bei höheren geschädigt. Folgende Grenzwerte sind von Be-
Frequenzen deutlich aus. Typische Werte für deutung:
einen Kleinsignaltransistor sind: CBE D 8 pF bei
UBE D 0;5 V und CCB D 4 pF bei UCB D 5 V. 3.1.5.1 Sperrspannungen
Die Kollektor-Emitter-Kapazität CCE hat wegen Der Hersteller gibt für jedes Elektrodenpaar ei-
der abschirmenden Basis nur geringe Bedeutung. nes Transistors die höchste zulässige Sperrspan-
178 K. Bressler und H. Rudolph

Abb. 3.18 Messschaltungen für Restströme

Durchlassrichtung arbeitet, übersieht man diesen


Grenzwert leicht.

3.1.5.2 Ströme
Zwischen Kollektor und Basis wird normalerwei-
se kein Strom zugelassen.
Der Kollektorstrom IC , der über den Emit-
ter abfließt, erwärmt die Kollektorzone. Wird IC
zu groß, dann fließt er nicht mehr gleichmä-
ßig über die ganze Kollektorfläche, sondern be-
vorzugt einen Kanal, der durch die Erwärmung
niederohmiger wird und den Strom noch mehr
ansteigen lässt. Die Verlustleistung entsteht in
einem wesentlich kleineren Volumen als beab-
sichtigt und zerstört das Kristallgefüge. Außer-
dem kann ein zu hoher Kollektorstrom die meist
Abb. 3.19 Ausgangskennlinienfeld bei hohen Spannun- dünnen Bonddrähte, die den Kristall mit den Löt-
gen, ohne Berücksichtigung des zweiten Durchbruchs anschlüssen verbinden, durchschmelzen. Deshalb
begrenzt man den Kollektorstrom auf einen abso-
luten Maximalwert und auf kleinere spannungs-
nung Umax an, die dieser ohne Schaden dauernd abhängige Werte, den zulässigen Arbeitsbereich
aushält. Bei der Kollektor-Emitter-Spannung ist (Abschn. 3.1.5.5).
auch die Beschaltung der Basis maßgebend, wie Der größte zulässige Basisstrom ist zwar klei-
Abb. 3.19 zeigt. ner als der Kollektorgrenzstrom, aber wesentlich
Im Interesse einer hohen Zuverlässigkeit der größer als der zur Stromverstärkung erforderliche
Halbleiter sollten die Sperrspannungen höchstens Basisstrom. Wegen der steilen Eingangskennli-
zu etwa 90 %, besser nur zu etwa 70 % ausge- nie kann der zulässige Basisstrom trotzdem leicht
nutzt werden, sofern nicht andere Effekte eine überschritten werden, wenn die Basis-Emitter-
weitere Verringerung verlangen. Dabei ist zu be- Strecke aus einer niederohmigen Quelle gespeist
achten, dass beispielsweise eine induktive Last wird.
die anliegende Spannung kurzzeitig weit über die
Betriebsspannung hinaus erhöhen und damit den 3.1.5.3 Temperaturen
Halbleiter zerstören kann. Bei hohen Temperaturen – bei Silicium mehr als
Die Basis-Emitter-Strecke eines Transistors 200 °C – setzt die Diffusion der verschiedenen
hält in Sperrrichtung häufig nur Spannungen dotierten Schichten ein und ändert irreversibel
von 3 V bis 7 V aus. Wird die Spannung größer, die Kristallstruktur. Kurze Temperaturüberschrei-
dann tritt ein Zenerdurchbruch ein. Da die Basis- tungen, beispielsweise durch Einlöten, werden
Emitter-Strecke in den meisten Schaltungen in leichter überstanden als lang andauernde. Als
3 Transistoren 179

Grenzwert dient die maximal zulässige Sperr-


schichttemperatur Tj (junction temperature), die
nicht überschritten werden darf.

3.1.5.4 Verlustleistung
Hohe Temperaturen entstehen in Halbleitern
meistens durch die interne Verlustleistung PV in
der Basis-Emitter- und in der Kollektor-Emitter-
Strecke. Es gilt

PV D IC  UCE C IB  UBE :

Die Verlustleistung in der Kollektor-Emitter-


Strecke IC  UCE ist wesentlich größer als die
vernachlässigbar kleine Verlustleistung in der
Basis-Emitter-Strecke IB  UBE . Deshalb gilt mit
Abb. 3.20 Zulässige Verlustleistung und Gehäusetempe-
guter Näherung ratur

PV D IC  UCE : (3.16)
über den Anschluss und die Lötfläche der Lei-
Die Verlustleistung PV entsteht zum größten Teil terplatte an diese abgeführt Beispiel: Gehäuse
in der Kollektor-Basis-Sperrschicht, von wo aus SOT323. Bei größerer Leistung ist die Grund-
sie über den Kollektor und das Gehäuse an die platte, auf der der Chip aufgelötet ist, vergrößert,
umgebende Luft und bei Leistungstransistoren, beispielsweise beim Gehäuse SOT89. Der Wär-
ab etwa 1 W Verlustleistung, über den Gehäuse- meübergang vom Transistor zur Grundplatte und
boden an einen externen Kühlkörper abgegeben von dort auf die Leiterplatte ist optimal, die Wär-
werden muss. meabgabe der Leiterplatte ist begrenzt. Nur eine
Die maximale Verlustleistung gibt man im größere Kupferfläche kann mehr Wärme abge-
Allgemeinen für 25 °C Umgebungstemperatur ben, ein Richtwert sind 6 cm2 bei 35 m Kupfer-
oder 25 °C Gehäusetemperatur an. Bei höherer auflage für 2,5 W.
Umgebungstemperatur ist die Verlustleistung zu Eine größere Leistung erfordert einen eige-
verringern (was man im englischen Sprachge- nen Kühlkörper und meistens ein größeres Tran-
brauch als derating bezeichnet); sie darf aber bei sistorgehäuse. Die Gehäuse TO220 und TO247
Temperaturen unter 25 °C nicht über den Nenn- werden häufig auf einen Rippenkühlkörper auf-
wert erhöht werden. Abbildung 3.20 zeigt den geschraubt. Wärmeleitpaste im unvermeidlichen
Zusammenhang zwischen zulässiger Verlustleis- Luftspalt zwischen Transistor und Kühlkörper
tung und Gehäusetemperatur. Zu beachten ist, verringert den Wärmewiderstand etwas, eine Iso-
dass es mit Luftkühlkörpern normalerweise nicht lierscheibe erhöht ihn erheblich. Die Hersteller
gelingt, ein stark Wärme abgebendes Transistor- von Kühlkörpern bieten auf ihrer Internetseite
gehäuse auf 25 °C zu halten. meistens eine gute Anleitung zur Dimensionie-
Die im Transistor entstehende Verlustwärme rung.
kann erheblich sein und muss so abgeführt wer- Abbildung 3.21a zeigt die Wärmewiderstände
den, dass die Sperrschicht die zulässige Tempera- vom aktiven Element bis zur Umgebung. Abbil-
tur, meist 175 °C, nicht überschreitet. Heute sind dung 3.21b zeigt den Temperaturverlauf mit und
nur noch OMB-Gehäuse üblich, sie werden direkt ohne Kühlkörper mit den entsprechenden Ver-
auf die Leiterplatte aufgelötet. Auf einem An- lustleistungen.
schluss, meist dem Kollektor, des Halbleiters ist Übersteigt die Verlustleistung 10 W bis 20 W
das aktive Element aufgelötet; die Wärme wird dann kann der Kühlkörper sehr groß werden. Ab-
180 K. Bressler und H. Rudolph

Abb. 3.21 Wärmeabfluss beim Halbleiter. a Thermisches Ersatzschaltbild eines Transistors mit Kühlkörper, b Tempe-
raturverlauf von der Sperrschicht zur Umgebung, mit und ohne Kühlkörper

hilfe schafft ein forcierter Luftstrom, der bei glei-


begrenzt. Bei bipolaren Leistungstransistoren
chem Kühlkörper und gleicher Übertemperatur kommt durch den sekundären Durchbruch ei-
bis zur sechsfachen Wärmemenge abführen kann. ne zusätzliche, meist engere Grenze dazu, wie
Wegen der Geräusche und der Staubansammlung Abb. 3.22 zeigt. Der sichere Arbeitsbereich (Safe
wird diese Lösung häufig abgelehnt. Für die for- Operating ARea, SOAR), verläuft unterhalb der
cierte Kühlung gibt es besondere Kühlkörper, die Verlustleistungshyperbel und gibt Ströme und
einfach zu montieren sind. Spannungen an, mit denen der Transistor dauernd
belastet werden darf. Der verbotene Arbeitsbe-
3.1.5.5 Erlaubter Arbeitsbereich reich ist in Abb. 3.22 grau gekennzeichnet.
Bei Transistoren für kleine Leistungen ist der Ar- Da der zweite Durchbruch über eine punkt-
beitsbereich durch die Grenzwerte von Strom IC , förmige Erwärmung der Sperrschicht zustande
Spannung UCE und Sperrschichttemperatur Tj kommt, sind kurzzeitige Überschreitungen des
3 Transistoren 181

Abb. 3.22 Sekundärer


Durchbruch und erlaubter
Arbeitsbereich bei Leis-
tungstransistoren

sicheren Arbeitsbereiches und sogar der Verlust-


leistungshyperbel ohne Schaden möglich. We-
gen der sehr kleinen Masse des Transistorsys-
tems und der endlichen Wärmeableitung zum
Gehäuse beträgt die thermische Zeitkonstante ei-
nes Leistungstransistors oft nur 1 ms, für punkt-
förmige Erwärmung noch wesentlich weniger.
Abbildung 3.23 zeigt den zulässigen Arbeitsbe-
reich eines bipolaren Leistungstransistors.
Die Halbleitereigenschaften hängen in hohem
Maß von der Konstruktion und dem Herstellver-
fahren ab. Die Grenzwerte darf man nur nach
verbindlichen Herstellerangaben ausnutzen. Zu
Abb. 3.23 Zulässiger Arbeitsbereich eines bipolaren
beachten ist ferner, dass Halbleiter verschiedener Leistungstransistors
Hersteller mit gleicher Typenbezeichnung unter-
schiedliche Eigenschaften aufweisen können.
Grenzwerte sollte man während des Betriebs  aus welchem Grundmaterial das Bauelement
nicht dauernd, sondern nur kurzzeitig ausnut- hergestellt ist,
zen. Werden Grenzwerte überschritten, so muss  ob es sich um eine Diode, einen Transistor
das nicht zwangsläufig zu einem Totalausfall des oder ein anderes Teil handelt,
 für welche Anwendung das Teil gedacht ist.
Halbleiters führen. Häufiger, aber auch schwieri-
ger zu ermitteln, sind Teilschäden, die nicht ohne Der erste Buchstabe kennzeichnet das Halb-
weiteres zu erkennen sind und die früher oder leitermaterial. Es bedeuten:
später zu einem Ausfall des Bauteils führen wer-A Germanium
den. B Silicium
C Gallium-Arsenid.
Der zweite Buchstabe kennzeichnet den
3.1.6 Typenschlüssel für Halbleiter Verwendungszweck, für den das Halbleiter-
Bauelement in erster Linie entwickelt wurde:
Die Typenschlüssel der europäischen Halbleiter- A Signaldiode
hersteller enthalten folgende Angaben: B Kapazitätsdiode
182 K. Bressler und H. Rudolph

Tab. 3.3 Datenblatt eines bipolaren Transistors


Deutsche Bezeichnung Wert Englische Bezeichnung
Kurzdaten Quick Reference Data
Kollektorstrom IC Collector current
Kollektor-Emitter-Spannung VCE Collector-Emitter voltage
Typ NPN Type
Anwendung Application
Gehäuse SOT23 Case
Grenzwerte Maximum Ratings
Kollektor-Basis-Spannung VCB Collector-base voltage
Kollektor-Emitter-Spannung VCE Collector-emitter voltage
Emitter-Basis-Spannung VEB Emitter-base voltage
Kollektor-Dauerstrom IC Collector current
Kollektor-Spitzenstrom IC;peak Peak collector current
Basis-Spitzenstrom IB;peak Peak base current
Verlustleistung Ptot Total power dissipation
Lagertemperatur Tstg Storage temperature
Sperrschichttemperatur Tj Junction temperature
Arbeitstemperaturbereich TA Operating ambient temperature
Löttemperatur und -zeit TS Soldering temperature and time
Kennwerte Electrical Characteristics
Thermischer Widerstand Sperrsch.-Gehäuse Rth;j-a Thermal resistance junction to ambient
Kollektor-Basis-Reststrom ICB0 Collector cut-off current
Emitter-Basis-Reststrom IEB0 Emitter cut-off current
Stromverstärkung bei verschiedenen Strömen hFE Current gain at different collector currents
Kollektor-Emitter-Sättigungsspannung VCEsat Collector-emitter saturation voltage
Basis-Emitter-Spg bei verschiedenen Basisstr. VBE Base-emitter voltage at different base curr.
Kollektor-Basis-Kapazität CCB Collector-base capacitance
Emitter-Basis-Kapazität CEB Emitter-base capacitance
Transitfrequenz fT Transition frequency
Rauschzahl NF Noise figure
Referenzliste: Zuordnung der vollständigen Halbleiterbezeichnung zu den zwei bis drei aufgedruckten Zeichen des
Kurzcodes.
Angaben zum Fertigungsstatus: Vorläufige Daten einer Neuentwicklung, laufende Fertigung, nicht für Neuentwicklun-
gen oder abgekündigt.

C Transistor für kleine Leistungen (Rth


Q Leuchtdiode
15 K=W) für niedere und mittlere Frequen- R Thyristor-Tetrode
zen S Schalttransistor
D Leistungstransistor (Rth < 15 K=W) für nie- T Thyristor
dere und mittlere Frequenzen U Leistungsschalttransistor
E Tunneldiode X Guneffektelemente
F Transistor zur Anwendung im Hochfrequenz- Y Leistungsdiode (Gleichrichter)
bereich (Rth
15 K=W) Z Z-Diode.
L Leistungstransistor für Hochfrequenz (Rth < Typen für professionelle Anwendungen sind
15 K=W) mit einem dritten Buchstaben gekennzeichnet,
N Optokoppler der keine standardisierte Aussage hat. Die meist
P Fotohalbleiter (Fotodiode, Fototransistor, Fo- dreistellige Ordnungszahl hat keine technische
tothyristor) Bedeutung, Halbleiter mit aufeinanderfolgender
3 Transistoren 183

Ordnungszahl müssen nicht unbedingt ähnliche tus gibt darüber Auskunft, man vermeidet Liefer-
Eigenschaften haben. probleme und den Einsatz veralteter Teile.
Halbleiter werden heute von internationalen
Konzernen hergestellt, die Datenblätter sind in
3.1.7 Transistordatenblatt englischer Sprache verfasst. Die Tabelle enthält
deshalb die deutsche und die englische Bezeich-
Tabelle 3.3 gibt den üblichen Inhalt eines Tran- nung.
sistordatenblatts eines bipolaren Transistors wie-
der. Es zeigt einige Kurzdaten, das vorgeschla-
gene Anwendungsgebiet und das Gehäuse. Die 3.2 Analoge Grundschaltungen
wichtigsten Kenndaten sind die Arbeitsspannun- mit bipolaren Transistoren
gen und -ströme sowie die Stromverstärkung, die
Transitfrequenz und die Rauschzahl. Sie stehen Die Grundschaltung bestimmt die Eigenschaf-
auf einer Seite und gestatten eine schnelle Vor- ten bei der Verstärkung von Wechselspannungs-
auswahl aus der Vielzahl der angebotenen Typen. signalen. Sie wird nach der für den Ein- und
Damit weiß der Entwickler, ob der Transistor Ausgang gemeinsamen Elektrode benannt. Tran-
für die Anwendung geeignet sein könnte. Aus sistoren kann man in drei verschiedenen Grund-
den Grenzwerten sieht man, ob der Transistor schaltungen betreiben; jede hat ihre besonderen
für die vorgesehenen Spannungen, Ströme und Vorzüge und Nachteile (Abb. 3.24).
Leistungen ausreicht. Mit den Kennwerten wird
die Schaltung berechnet. Zum Schluss überprüft
man, ob sekundäre Größen wie die Kollektor 3.2.1 Emitterschaltung
Emitter-Sättigungsspannung oder die Kollektor-
Basis-Kapazität nicht stören. Die Emitterschaltung hat sich aufgrund ihrer
Ausführliche Datenblätter enthalten viele Dia- guten Spannungs- und Stromverstärkung zur
gramme über die vom Arbeitspunkt abhängigen häufigsten Verstärkerschaltung entwickelt. Ab-
Größen wie beispielsweise Transitfrequenz, Rau- bildung 3.25 zeigt einen Transistorverstärker in
schen, Impulsleistungen und andere interessante Emitterschaltung.
Größen. Für den einfachen Transistorverstärker in
Neben der Gehäusebezeichnung enthält das Emitterschaltung nach Abb. 3.25 ergibt sich:
Datenblatt eine genaue Zeichnung des Gehäu-
ses und oft auch die empfohlenen Lötflächen auf ˇ RC
Verstärkung: vu D (3.17)
der Leiterplatte. Da in der Serienfertigung alle rbe
Bauteile mit Bestückungsmaschinen verarbeitet UT
Eingangswiderstand: rbe D
werden, sind die Bauteile auf Bändern verpackt IB
und werden von der Maschine dort entnommen. 40 mV
 (3.18)
Die Art der Verpackung ist häufig dargestellt. Üb- IB
lich sind Rollen mit 2500 bis 10.000 Stück. Ausgangswiderstand: Ra  RC (3.19)
Bei kleinen OMB-Gehäusen, beispielsweise
SOT23, kann die normale Typenbezeichnung aus Zur erhöhten Temperaturspannung, UT D
fünf bis sieben Zeichen nicht aufgedruckt wer- 40 mV (Abschn. 3.1.3.1). Abbildung 3.26 zeigt
den. Stattdessen werden zwei Buchstaben oder die Spannungen und Ströme der Emitterschal-
Ziffern aufgedruckt, aus denen mit der Referenz- tung nach Abb. 3.25 in vier zusammengesetzten
liste des Datenblattes die genaue Bezeichnung Kennlinien.
des Halbleiters zu sehen ist. Die sinusförmige Eingangsspannung Ube .t/
Wie alle Industrieprodukte werden Transisto- erzeugt an der nichtlinearen Eingangskennlinie
ren weiterentwickelt und bei geringer Nachfrage der Basis-Emitter-Strecke (im 3. Quadranten)
wird die Fertigung eingestellt. Der Fertigungssta- einen verzerrten, d. h. nicht mehr sinusförmigen
184 K. Bressler und H. Rudolph
3
Transistoren

Abb. 3.24 Grundschaltungen von Transistoren und ihre wichtigsten Eigenschaften. Die Zahlenwerte gelten für einen Kleinsignaltransistor mit folgenden Daten:
ˇe D 100; h22e D 50 S; FT D 300 MHz; IE D 5 mA; UT D 40 mV; rbe D 800 
185
186 K. Bressler und H. Rudolph

Eingangsspannung UBE .t/ ist, aber kein linea-


res Abbild von ihr darstellt. Die Kennlinie des
Kollektorwiderstandes RC ist in das Ausgangs-
kennlinienfeld im 1. Quadranten eingezeichnet.
Die vom Kollektorstrom IC am Kollektorwi-
derstand RC erzeugte Signalspannung URC
verringert die Versorgungsspannung US auf
die Kollektor-Emitterspannung UCE .t/, die im
4. Quadranten dargestellt ist.
Diese einfache Emitterschaltung hat eine sehr
Abb. 3.25 Transistorverstärker in Emitterschaltung
hohe Verstärkung, aber drei schwerwiegende
Nachteile:
Basisstrom IB .t/. Dieser Basisstrom verur- 1. Die Eingangsspannung wird am Ausgang
sacht über die Stromverstärkungskennlinie (im verzerrt wiedergegeben.
2. Quadranten) einen fast linear vergrößerten 2. Abweichungen der überlagerten Eingangs-
Strom IC .t/ im Kollektor. Am Kollektorwider- gleichspannung können den Transistor
stand RC entsteht dadurch ein Spannungsab- stromlos machen oder die Basis-Emitter-Stre-
fall URC , der zwar wesentlich größer als die cke durch Überstrom zerstören.

Abb. 3.26 Spannungen und Ströme in der Emitterschaltung nach Abb. 3.25
3 Transistoren 187

Abb. 3.27 Transistorverstärker in Emitterschaltung mit Stromgegenkopplung und Potentialen an den Transistoran-
schlüssen

3. Temperaturänderungen im Transistor wirken Ua D US  ˇ  IB  RC : (3.20)


sich wie Änderungen der Eingangsgleich-
Ausgangsspannungsänderung:
spannung aus, d. h. die Gleichstromverhält-
nisse sind nicht stabil. dUa D dIB  ˇ  RC :
Ist die Eingangsspannung so klein, dass die
Eingangskennlinie in diesem Bereich näherungs- Spannungsverstärkung:
weise eine Gerade ist, spricht man von kleinen
Signalamplituden. Dafür hat der Verstärker bei dUa
vu D :
der Wechselspannungsverstärkung folgende Ei- dUe
genschaften:
ˇ  RC
Für den Eingangswiderstand gilt: vu D : (3.21)
rbe
Re D rBE D UT =IBD Ausgangswiderstand:
mit IBD als mittlerem Basisgleichstrom.
Ra D RC :
Die Eingangsspannung Ue ergibt sich zu:

Ue D UBED C Ib  rbe Der Ausgangswiderstand wird im Wesentlichen


durch den Kollektorwiderstand RC dargestellt.
mit UBED als mittlerer Basis-Emitter-Gleichspan- Ist er sehr hochohmig, dann ist der parallel ge-
nung. schaltete Ausgangsleitwert ga (Abschn. 3.1.3.3)
Zur Berechnung der Wechselstromeigenschaf- zu berücksichtigen.
ten, d. h. der Reaktion der Schaltung auf eine
Änderung der Eingangsspannung, wird die Glei- 3.2.1.1 Emitterschaltung
chung für die entsprechende Größe differenziert. mit Stromgegenkopplung
Damit entfallen die konstanten (Gleichstrom-) Bei dem in Abb. 3.27b dargestellten Transistor-
Anteile, und es wird mit reinen Wechselstrom- verstärker mit Stromgegenkopplung verursacht
größen gerechnet. Somit gilt: eine ansteigende Eingangsspannung einen an-
Eingangsspannungsänderung: steigenden Basisstrom. Gleichzeitig werden
der Kollektor- und der Emitterstrom um die
dUe D dIB  rbe : Stromverstärkung ˇ verstärkt. An der Basis-
Ausgangsspannung: Emitterstrecke liegt nicht mehr die ganze
Eingangsspannung Ue , sondern nur die Diffe-
Ua D US  IC  RC ; renz zwischen Ue und dem Spannungsabfall UE
188 K. Bressler und H. Rudolph

am Emitterwiderstand RE . Die vom Ausgangs- Zur Ermittlung der Verstärkung wird Ua nach
strom erzeugte Spannung UE wird gegenphasig dem Basisstrom differenziert, weshalb die
in den Eingangskreis zurückgekoppelt und setzt Gleichspannung US entfällt.
dadurch die Verstärkung herab. Diesen Vorgang
bezeichnet man deshalb als Gegenkopplung. dUa D dIB  ˇ  RC :
Eine Gegenkopplung verringert immer die
Verstärkung einer Schaltung. Je nach der Schal- Für die Spannungsverstärkung vu gilt
tungstechnik verbessern sich dafür andere
dUa dIB  ˇ  RC
erwünschte Eigenschaften. Für die Emitterschal- vu D D ;
tung mit Stromgegenkopplung nach Abb. 3.27 dUe dIB .rbe C ˇ  RE /
gelten folgende Zusammenhänge:
ˇ  RC
Spannungsverstärkung: vu D : (3.24)
rbe C ˇ  RE
ˇ  RC
vu D (3.22a) Meistens ist ˇ  1 und rbe  .1Cˇ/RE. Damit
rbe C ˇ  RE kann man die Gl. 3.24 für eine Überschlagsrech-
nung folgendermaßen vereinfachen:
Verstärkung:
RC RC
vu   (3.22b) vu D : (3.25)
RE RE
Eingangswiderstand:
Bei der genauen Berechnung nach Gl. 3.24 muss
Re D rbe C ˇ  RE (3.22c) man für RC die Parallelschaltung des Arbeits-
widerstandes RC mit dem Lastwiderstand RL ,
Ausgangswiderstand: auf den der Verstärker arbeitet, einsetzen. Die-
se Parallelschaltung bestimmt zusammen mit der
Ra  RC (3.22d) Lastkapazität CL die obere Grenzfrequenz !g der
Schaltung. Sie ist
Abbildung 3.27a zeigt die zur Transistorschal-
1
tung vergleichbare Beschaltung eines Operati- !g D  RC : (3.26)
onsverstärkers. Bei beiden Schaltungen wird ein C
Teil des Ausgangssignals auf den Eingang zu- Solange die Stromverstärkung ˇ und der Ein-
rückgekoppelt und von der Eingangsspannung gangswiderstand Re ausreichend groß sind,
abgezogen. hängen Verstärkung und Eingangswiderstand
Die folgende Berechnung des Transistorver- nur noch von der Beschaltung ab. Die aus
stärkers mit Gegenkopplung nach Abb. 3.27 soll verschiedenen Ursachen sich ändernden Tran-
diese Zusammenhänge zeigen. sistorparameter beeinflussen die wichtigen
Die Spannungen im Eingangskreis sind Schaltungseigenschaften nur wenig.

Ue D IE  rbe C IB  ˇ  RE D IB .rbe C ˇ  RE / :
3.2.1.2 Einstellung des Arbeitspunktes
Der Transistor erreicht die gewünschte Arbeits-
Daraus lässt sich der Eingangswiderstand Re be- weise nur mit der richtigen Beschaltung, die ei-
rechnen nerseits die notwendigen Spannungen und Strö-
Ue me zuführt, andererseits die Ausbildung der ge-
Re D D rbe C ˇ  RE : (3.23) forderten Signalgrößen ermöglicht.
IB
Der richtige Arbeitspunkt wird in Abb. 3.28
Die Ausgangsspannung wird erläutert. Teilbild a zeigt die Spannungen in
der gegengekoppelten Emitterschaltung und ih-
Ua D US  IB  ˇ  RC : ren Zusammenhang bei der Aussteuerung. Den
3 Transistoren 189

Abb. 3.28 Gegengekoppelte Emitterschaltung mit den Signalspannungen und Arbeitskennlinien

Arbeitspunkt der Schaltung legt man mit der sein, dass parasitäre Kapazitäten, das sind unver-
Basisgleichspannung so fest, dass der Tran- meidbare Leitungs- und Sperrschichtkapazitäten,
sistor immer im linearen Bereich bleibt, d. h. problemlos und ohne große Phasendrehung der
der Spannungsabfall URC an RC soll 1 V und Ausgangsspannung umgeladen werden kön-
der Spannungsabfall UCE am Transistor 1,5 V nen. Dazu muss der Wechselstromwiderstand
nicht unterschreiten. Es empfiehlt sich daher, R D 1=! C deutlich größer als der Arbeitswi-
die gefundene Dimensionierung auch dann derstand RC sein. Auch der Innenwiderstand des
einzuhalten, wenn Signale mit sehr kleiner Transistors 1=ga sollte deutlich größer als der
Amplitude verstärkt werden. Abbildung 3.28b Arbeitswiderstand RC sein.
zeigt die Arbeitskennlinien der Emitter- und Der Ausgangswiderstand Ra der Schaltung
Kollektorspannung im Ausgangskennlinienfeld beträgt mit guter Näherung
des Transistors. Auf der Arbeitsgeraden des
Kollektorwiderstandes kann man zu jedem Kol- Ra D RC : (3.27)
lektorstrom die zugehörige Kollektorspannung
ablesen, während die Arbeitsgerade des Emit- Bei üblicher Dimensionierung beträgt der Ein-
terwiderstandes die zugehörige Emitterspannung fluss der nicht berücksichtigten Parameter 0,5
zeigt. Die Kollektorspannung UCE muss dabei bis 2 %.
immer im aktiven Arbeitsbereich (Abschn. 3.1.2) Die Basisgleichspannung kann man über
des Transistors bleiben. Zu beachten ist, dass einen Spannungsteiler erzeugen, sofern sie
der Sättigungsbereich nicht auf die Betriebs- nicht direkt von der Vorstufe geliefert wird.
spannung, sondern stets auf die Emitterspannung Der Querstrom im Teiler sollte mindestens das
bezogen wird, wodurch er im Abb. 3.28 immer Dreifache des mittleren Basisstroms betragen.
rechts von der Arbeitsgeraden des Emitterwider- Abbildung 3.28a zeigt die Erzeugung der Basis-
standes liegt. vorspannung mit den Widerständen RB1 und RB2 .
Diese Schaltung eignet sich gut zur Verstärkung
von Wechselspannungen; für Gleichspannungen
3.2.1.3 Praktische Dimensionierung gibt es die später beschriebenen Differenzverstär-
der Emitterschaltung ker.
Um den Stromverbrauch, die Erwärmung und Der Basisspannungsteiler aus RB1 und RB2
die Ausbreitung von Störungen klein zu hal- muss die Basisspannung
ten, sollte man die Schaltung mit einem kleinen
Strom betreiben. Der Strom muss aber so groß UB D UBE C UE
190 K. Bressler und H. Rudolph

erzeugen und dazu noch den erforderlichen Damit wird der Kollektorwiderstand
Basisgleichstrom liefern. Dabei sollte man
Widerstände, die größer als 1 M sind, im US
RC D ;
Interesse stabiler Gleichstromverhältnisse ver- 2 IC .1 C 1=vu /
meiden. Schaltungen, die unter erschwerten 9V
RC D ;
Bedingungen, beispielsweise einem großen Tem- 2  2 mA .1 C 0;05/
peraturbereich, hoher Feuchtigkeit oder starker RC D 2;143 k :
Verschmutzung arbeiten, sollten keine Wider-
stände über 100 k enthalten. Der nächste Normwert aus der Reihe E12 ist
RC D 2;2 k. Aus der Verstärkung und dem
Beispiel 3.2-1 Kollektorwiderstand berechnet man den Emit-
Eine Emitterschaltung mit folgenden Daten terwiderstand.
soll entwickelt werden:
 Spannungsverstärkung: vu D 20; RE D
RC
;
 Eingangswiderstand der Schaltung: Re > vu
10 k RE D 110  :
Vorgegeben sind:
 Die Betriebsspannung: US D 9 V Der nächste Normwert aus der Reihe E12 ist:
 und der Transistor: BCW 60
 mit der Stromverstärkung: B  ˇ D 400 RE D 100  :
 und dem Eingangswiderstand: h11 D rbe D
5 k: Die Spannung am Kollektor ist

Lösung: UC D US  IC  RC ;
Für den Kollektorstrom setzt man aus der Er-
UC D 4;6 V :
fahrung 2 mA an. Der Wert ist im Prinzip frei
wählbar. Die weitere Rechnung beweist oder
Die Spannung an der Basis ist
widerlegt den richtigen Ansatz. Die nachfol-
gende Rechnung oder die Vermessung zeigen,
UB D UE C UBE ;
ob der Arbeitsbereich stimmt, die Bandbreite
ausreicht oder ob der Verstärker andere uner- UB D 0;2 V C 0;6 V D 0;8 V :
wünschte Eigenschaften hat.
Der Basisstrom wird aus dem Kollek-
IC D 2 mA : torstrom IC und der Stromverstärkung ˇ
berechnet:
Die Spannungsverstärkung vu ist überschlägig IC
IB D :
ˇ
RC
vu D  : Die Gleichstromverhältnisse werden nur dann
RE
stabil, wenn der Querstrom im Spannungstei-
Ohne Aussteuerung soll UCE D URC C URE D ler deutlich größer als der Basisgleichstrom
US =2 sein. UCE D US =2, ist.
Durch RB2 soll der 3fache Basisstrom flie-
US ßen,
UCE D ;
2
US =2 UB
IC D ; RB 2 D ;
RC C RE 3 IB
US 0;8 V
IC D : RB 2 D D 53;3 k :
2 RC .1 C 1=vu / 15 A
3 Transistoren 191

Der nächste Normwert aus der Reihe E12 ist Wie Gl. 3.22a zeigt, fällt ein Teil der Ein-
RB2 D 56 k. gangsspannung im Basis-Emitter-Widerstand
rbe ab, was in der Formel für die Über-
US  UB schlagsberechnung nicht berücksichtigt wird.
RB 1 D ;
4 IB Vergrößert man jetzt den Arbeitswiderstand
8;2 V im Verhältnis Vsoll =Vist , dann hat die Schaltung
RB 1 D D 410 k :
20 A die gewünschte Verstärkung. Selbstverständ-
lich kann man auch die Gl. 3.22a nach RC
Der nächste Normwert aus der Reihe E12 ist auflösen und den Kollektorwiderstand direkt
RB1 D 390 k. aus allen bekannten Größen berechnen.
Der Eingangswiderstand der Schaltung Der Einfluss der Spannungsrückwirkung
nach Abb. 3.28 wird vom Emitterwiderstand und des Ausgangsleitwerts, ungefähr 1 %,
und der Spannungsgegenkopplung wesent- wird hier vernachlässigt. Wegen großer
lich beeinflusst. Er ist die Summe aus dem Streuung aller Parameter hat eine genauere
Basis-Emitter-Widerstand rbe und dem um die Rechnung keine praktische Bedeutung.
Stromverstärkung vergrößerten Emitterwider- Die Rechnung zeigt folgende Eigenschaf-
stand RE . Diesem Eingangswiderstand wird ten der Schaltung:
der Widerstand des Basisspannungsteilers RT  Die überschlägig berechnete Verstärkung
parallel geschaltet. ist etwa 13 % höher als die genau berech-
nete.
RB1  RB2  Der Eingangswiderstand wird hauptsäch-
RT D RB1 kRB2 D ;
RB1 C RB2 lich vom Emitterwiderstand und dem Ba-
Re D Œrbe C RE  ˇ
kRT ; sisspannungsteiler, weniger von den Tran-
Re D Œ5 k C 100   400
k56 kk 390 k ; sistordaten bestimmt.
 Je höher man die Verstärkung der gesamten
1 1 1 1
D C C ; Schaltung wählt, desto größer ist die Ab-
Re 5 k C 40 k 56 k 390 k
weichung zwischen der überschlägigen und
Re D 23;4 k : der genauen Rechnung und desto mehr ge-
hen die Transistordaten in das Ergebnis ein.
Nachdem alle Bauteile des Verstärkers be-  Stark gegengekoppelte Schaltungen sind
kannt sind, kann man die Verstärkung vu nach stabil und gut reproduzierbar.
der vereinfachten Gl. 3.22b überschlägig und
nach der genaueren Gl. 3.22a, die den Ein- 3.2.1.4 Emitterschaltung mit
gangswiderstand rbe des Transistors und sei- Spannungsgegenkopplung
nen Ausgangsleitwert ga berücksichtigt, genau Bei der Emitterschaltung mit Spannungsgegen-
berechnen. Es gilt kopplung, Abb. 3.29a, wird der Basisstrom über
den Spannungsteiler RCB und RBE aus der Kol-
RC
vu  ; lektorspannung UC gewonnen. Die dabei auf den
RE Eingang zurückgekoppelte Spannung stabilisiert
vu  22 ; den Gleichstromarbeitspunkt und verringert die
UE D IB .rbe C ˇ  RE / ; Verstärkung. Dabei setzt man voraus, dass der
UA D IB  ˇ  RC ; Basisstrom IB klein gegen den Querstrom I2 im
Spannungsteiler ist, beispielsweise I2 D 10 IB .
UA IB  ˇ  RC
vu D D ; Der Kollektorwiderstand RC wird durch die
UE IB  .re C ˇ  RE / Betriebsspannung Us und den notwendigen Kol-
400  2;2 k lektorgleichstrom IC bestimmt. Die mittlere Kol-
vu D ;
5 k C 400  0;1 k lektorgleichspannung sollte die halbe Betriebs-
vu D 19;5 : spannung betragen. Die zum Eingangsstrom ge-
192 K. Bressler und H. Rudolph

Abb. 3.29 Emit-


terschaltung mit
Spannungsgegenkopplung

genphasige Ausgangsspannung UC erzeugt über Maßgebend für den Arbeitspunkt ist außer der
RCB eine Gegenkopplung, die den Arbeitspunkt Beschaltung die üblicherweise stark streuende
stabilisiert und die offene Verstärkung (herabsetzt Stromverstärkung B. Sind ihre Grenzen bekannt,
Abb. 3.29). und wird nicht der volle Aussteuerbereich benö-
Für die Emitterschaltung mit Spannungsge- tigt, dann ermöglicht diese Schaltung einen Ver-
genkopplung nach Abb. 3.29 gelten folgende stärker mit einem niederohmigen Eingang und
Werte: Ausgang. Der Arbeitspunkt ist jedoch wesent-
Spannungsverstärkung: lich weniger stabil als in der Emitterschaltung mit
Stromgegenkopplung.
1 1 1 RCB
0
D  C mit v  D (3.28)
v v v0 RB

dynamischer Eingangswiderstand: 3.2.1.5 Emitterschaltung bei höheren


Frequenzen
Re D RB C .rbe kRCB =v 0 /  RB (3.29) Bisher wurden alle Schaltungen unter Gleich-
strombedingungen betrachtet. Mit zunehmender
dynamischer Ausgangswiderstand: Frequenz beeinflussen Laufzeiteffekte im Halblei-
 ter (Abnahme der Stromverstärkung ˇ oberhalb
 rbe RB
Ra D RC 
ˇ R (3.30) der Grenzfrequenz fg ) und unvermeidbare Kapa-
CB zitäten im Halbleiter die Verstärkung v0 sowie die
Eingangs- und Ausgangswiderstände Re und Ra
In Gl. 3.28 bedeutet
und die Spannungsrückwirkung D einer Verstär-
 v0 D dUC =dUB : die offene Verstärkung des
kerschaltung.
Transistors,
Abbildung 3.30 zeigt den aus Abb. 3.25 be-
 v 0 D dUC =dUe : die Verstärkung des ganzen
kannten Transistorverstärker, der aus der Quelle
gegengekoppelten Verstärkers,
mit dem Innenwiderstand RB gespeist wird und
 1=v  D RB =RCB : den Gegenkopplungsfaktor.
bei einer Frequenz betrieben wird, bei der die
Die Gegenkopplung über RCB verkleinert den
Kollektor-Basis-Kapazität nicht mehr vernach-
Eingangswiderstand des Transistors zwischen
lässigt werden darf. Die Schaltung lässt sich als
Basis und Emitter so sehr, dass der Eingangs-
Emitterschaltung mit Spannungsgegenkopplung
widerstand Re der gesamten Schaltung praktisch
(Abschn. 3.2.1.4) entsprechend Abb. 3.29 be-
nur vom Widerstand RB abhängig ist (s. Gl. 3.29).
rechnen, wenn RCB durch 1=j! Ccb ersetzt wird.
Der dynamische Ausgangswiderstand wird
Damit ist der Gegenkopplungsfaktor 1=v  :
um den Faktor v 0 =v0 verkleinert. Der Einfluss
des Ausgangsleitwertes ga ist meistens gegen
den Kollektorwiderstand RC zu vernachlässigen. 1=v  D j! Ccb  RB :
3 Transistoren 193

Abb. 3.31 Spannungen und Ströme in der Kollektorschal-


tung

Die Spannungsverstärkung der Kollektorschal-


tung ist  1, dagegen hat die Schaltung die
Abb. 3.30 Emitterschaltung bei höheren Frequenzen Stromverstärkung des Transistors, die nur um
den Verlust im Emitterwiderstand RE verringert
wird. Die Kollektorschaltung setzt man zur Im-
Die Kollektor-Basis-Kapazität Ccb beeinflusst die pedanzwandlung ein (das ist eine Widerstands-
Verstärkung bereits dann, wenn das Produkt aus transformation zwischen Eingang und Ausgang)
Kreisfrequenz ! und der Zeitkonstanten Ccb  rbe und zur reinen Stromverstärkung. Häufig wird
die kleine Größe 1=v0 erreicht. der Transistor in Kollektorschaltung direkt von
An der Kollektor-Basis-Kapazität Ccb liegt anderen Transistoren versorgt, so dass er keine
die Summe aus der Eingangsspannung UBE zusätzliche Beschaltung für die Gleichstromzu-
und der um den Faktor v0 verstärkten Ein- führung hat. Abbildung 3.31 zeigt die Spannun-
gangsspannung. Dadurch wird der Strom im gen und Ströme in der Kollektorschaltung.
Kondensator Ccb um den Faktor (1 C v0 ) grö- Für die Kollektorschaltung nach Abb. 3.31 er-
ßer, und die Kollektor-Basis-Kapazität Ccb rechnen sich folgende Größen:
erscheint um den Faktor (1 C v0 ) dynamisch Verstärkung:
vergrößert. Diese Kapazität heißt allgemein
Miller-Kapazität. vu  1
Die Kollektor-Basis-Kapazität Ccb wird nur (3.31)
vi  ˇ
bei der Emitterschaltung dynamisch vergrößert.
Eine Gegenkopplung vermindert die Verstärkung Eingangswiderstand:
und damit auch den Einfluss dieser parasitären
Kapazität. Re D rbe C .1 C ˇ/  .RE kRL / (3.32)
Die ebenfalls vorhandene und meistens größe-
re Basis-Emitter-Kapazität Cbe hat eine wesent- Ausgangswiderstand:
lich geringere Wirkung, da sie nicht dynamisch
RG C rbe
vergrößert wird. Ra D (3.33)
ˇ

Die Spannungsverstärkung errechnet sich mit


3.2.2 Kollektorschaltung
Ue D Ib  rbe C Ib  .1 C ˇ/  RE und
Bei dieser Schaltung ist der Kollektor die ge- Ua D Ib  .1 C ˇ/  RE zu
meinsame Bezugselektrode. Diese Schaltung be- Ua Ib  .1 C ˇ/  RE
vu D D
zeichnet man auch als Emitterfolger, im engli- Ue Ib  Œrbe C .1 C ˇ/  RE

schen Sprachgebrauch als voltage follower. Das


Eingangssignal an der Basis erscheint am Emitter .1 C ˇ/  RE
vu D : (3.34)
mit nahezu gleichem Pegel und gleicher Phase. rbe C .1 C ˇ/  RE
194 K. Bressler und H. Rudolph

Der Eingangswiderstand Re der Kollektorschal-


tung errechnet sich mit

Ie D .1 C ˇ/  Ib ;
Ua D .1 C ˇ/  Ib  RE und
Ue D Ib  Œrbe C .1 C ˇ/  RE
zu
dUE
Re D D rbe C .1 C ˇ/  RE :
dIB

Der Eingangswiderstand wird mit guter Nähe- Abb. 3.32 Einstellung des Arbeitspunktes bei der Kollek-
rung durch folgende Gleichung beschrieben: torschaltung

Re D rbe C ˇ  .RE kRL / : (3.35)

Der Ausgangswiderstand Ra der Schaltung hängt Mit diesen Daten soll die Spannungsverstär-
zusätzlich noch vom Innenwiderstand RG des kung vu , der Eingangswiderstand Re und der
speisenden Generators ab. Für die Ausgangsspan- Ausgangswiderstand Ra errechnet werden.
nung Ua gilt
401 k
vu D D 0;99 :
Ua D U0  URG  Ube ; 4 k C 401 k
Ua D U0  Ib  RG  Ib  rbe ;
Die Spannungsverstärkung vu ist nahezu 1
Ie
Ua D U0   .RG C rbe / :
1Cˇ
vu  1 :
Damit wird der Ausgangswiderstand Ra :
Der Eingangswiderstand wird nach Gl. 3.29 zu
dUa RG C rbe
Ra D D Cˇ
dIa 1 Re D 4 k C 400  1 k D 404 k :
oder näherungsweise
Der Innen- oder Ausgangswiderstand Ra ist
RG C rbe nach Gl. 3.30
Ra  : (3.36)
ˇ
10 k C 4 k
Ra ist dabei der dynamische Innenwiderstand, der Ra D D 35  :
400
solange gilt, wie der Transistor oder der Wider-
stand RE den Ausgangsstrom I aufbringen kann. Wenn die Basisgleichspannung der Kollektor-
Der Maximalstrom, der aus dem Verbraucher in schaltung nicht von der Signalquelle kommt,
den Widerstand RE fließen kann, wird nur durch muss man sie mit einem eigenen Spannungs-
den Widerstand RE und die an ihm anliegen- teiler erzeugen, wie Abb. 3.32 zeigt.
de Gleichspannung bestimmt. Ein Zahlenbeispiel Jetzt liegen die Widerstände R1 und R2
soll die Verhältnisse veranschaulichen. parallel zum hohen Eingangswiderstand Re
der Kollektorschaltung. Dieser Spannungstei-
Beispiel 3.2-2 ler verringert den Eingangswiderstand der Ge-
Es gelten folgende Werte: samtschaltung auf einen Bruchteil des Ein-
gangswiderstandes der reinen Kollektorschal-
ˇ D 400 RE D 1 k
tung. Ein schaltungstechnischer Kniff ermög-
IE D 4 mA rbe D 4 k licht aber eine stabile Schaltung mit hohem
RG D 10 k: Eingangswiderstand.
3 Transistoren 195

Bei tiefen Frequenzen wird der Kondensa-


tor C hochohmig, die Schaltung arbeitet wie
in Abb. 3.32.
Die praktische untere Frequenz liegt bei

R1 kR2
10  1=! C
10
!g D
.R1 kR2 /  C
1
!g D 37
s
fg D 5;9 Hz :
Abb. 3.33 Erhöhung des Eingangswiderstandes mit der
Bootstrapschaltung
Die hochohmige Eingangsstufe könnte auch
mit einem Feldeffekttransistor realisiert wer-
3.2.2.1 Bootstrapschaltung den, dessen Gate-Potenzial man problemlos
Bei der Bootstrapschaltung (Abb. 3.33) wer- über einen hochohmigen Widerstand zuführt
den die Verluste des Signalstroms in R1 und R2 (Abschn. 3.4.3).
vom niederohmigen Ausgang der Kollektorschal- Die Kollektorschaltung zeigt bei zuneh-
tung aufgebracht. Dazu muss der Kondensator C mender Frequenz folgende Eigenschaften: Die
für alle Signalfrequenzen einen Kurzschluss Basis-Emitter-Kapazität Cbe liegt parallel zum
bilden. An R3 steht nur die kleine Basis-Emitter- Basis-Emitter-Widerstand rbe , d. h., bei Klein-
Signalspannung Ube . Der Widerstand R3 wird im signaltransistoren beginnt der Einfluss dieser
Verhältnis Ue =Ube dynamisch hochtransformiert. parasitären Kapazität erst im MHz-Bereich.
Die Kollektor-Basis-Kapazität Ccb liegt par-
Ue allel zum Eingang und wird nicht dynamisch
R30 D R3 R3
Ube vergrößert. Den größten Einfluss hat hier die
rbe C .1 C ˇ/ RE oberhalb der Grenzfrequenz fg abnehmen-
D
rbe de Stromverstärkung ˇ. Damit sinkt auch der
ˇ  RE hohe Eingangswiderstand Re .
 R3 :
rbe
Der Eingangswiderstand Re ist
3.2.3 Basisschaltung
Re D R30 k.rbe C ˇ RE / :
Bei der Basisschaltung ist die Basis des Tran-
Beispiel 3.2-3 sistors die gemeinsame Bezugselektrode für Ein-
Eine Bootstrapschaltung nach Abb. 3.33 ist zu gang und Ausgang (Abb. 3.34).
berechnen. Der Widerstand R1 soll 112,5 k, Die Basisschaltung eignet sich nur zur
R2 D 150 k, R3 D 10 k, der Konden- Spannungsverstärkung, während die Stromver-
sator C D 10 F und die Stromverstärkung stärkung vi  1 ist. Sie hat folgende Vorteile:
ˇ D 400 betragen (übrige Daten wie in Bei-  Sie arbeitet gut bis zu hohen Signalfrequen-
spiel 3.2-2). zen,
 sie hat eine geringe Rückwirkung vom Aus-
gang auf den Eingang, und
Lösung  sie ist unempfindlich gegen Parameter- und
400  1 k Temperaturschwankungen.
R30 D 10 k
4 k Nachteilig ist, dass man den Emittergleich-
0
R3 D 1 M : strom am Signaleingang einspeisen muss und
196 K. Bressler und H. Rudolph

Beispiel 3.2-4
Für die Schaltung nach Abb. 3.35 sollen der
Arbeitspunkt und die wichtigen Signal- und
Gleichspannungen berechnet werden.
Folgende Ausgangsdaten sollen gelten:
US D 6 V, IC D 3 mA, rbe D 1;3 k,
UC D 4 V, ˇ D 100.

Lösung
Abb. 3.34 Transistor in Basisschaltung Die Schaltung ist richtig dimensioniert, wenn
im Arbeitspunkt ohne Aussteuerung gilt

deshalb möglicherweise die Signalquelle belas- UCE D UE C URC ;


tet. Den Emitterstrom kann man auch über eine UCE C UE C URC D US :
Drossel, einen Transformator oder eine Strom-
quelle zuführen, wenn dadurch das Signal nicht Ansatz: UCE D US =2 D 3 V
gestört wird. Die Eigenschaften dieser Schaltung URC D 2 V
bestimmen weitgehend ihren Einsatz als Hochfre-
UE D 1 V:
quenzverstärker.
Folgende Zusammenhänge ergeben sich für Basisstrom bei IC D 3 mA W
die Basisschaltung:
IB D 30 A:
Spannungsverstärkung:
Da an der Basis kein Signal steht, kann der
ˇ Rc Basisspannungsteiler aus R1 und R2 nieder-
vu D : (3.37)
rbe ohmig sein; der Querstrom beträgt 10 IB . Der
Kondensator C2 muss für die kleinste Arbeits-
Stromverstärkung: frequenz ein Kurzschluss sein.

UB D UE C 0;5 V D 1;5 V :
ˇ
˛ D vi D 1: (3.38)
1Cˇ An R2 steht die Summe aus der Eingangsspan-
nung UE und der Basis-Emitterschaltung UBE ,
Eingangswiderstand: zusammen 1,5 V. Durch den Spannungsteiler
sollen 300 A fließen, R2 beträgt demnach
rbe 5 k. Der nächste Normwert E 12 ist 4,7 k.
Re D : (3.39)
ˇ An R1 stehen 4,5 V, durch ihn sollen
330 A fließen, er beträgt 13,6 k. Der nächs-
Die Stromverstärkung ist vi  1, solange ˇ  1 te Normwert E 12 beträgt 12 k. Mit diesen
ist. Trotzdem erreicht die Schaltung eine gu- Werten und den Ausgangsdaten der Schaltung
te Leistungsverstärkung, da auch für eine große erhält man folgende Einzelspannungen:
Ausgangsspannung Ua nur eine kleine Eingangs-
UB D 1;49 V
spannung Ue erforderlich ist. Der Ausgangs- oder
Innenwiderstand Ra der Basisschaltung wird al- UE D 1;0 V
lein vom Kollektorwiderstand RC bestimmt. Der URC D 2;0 V
parallel liegende Ausgangsleitwert gab ist sehr UC D 4;0 V :
klein und praktisch immer zu vernachlässigen.
Der Transistor arbeitet deshalb als fast ideale Aus dem Emitterstrom IE D IC D 3 mA und
Stromquelle. dem Spannungsabfall UE an RE kann man den
3 Transistoren 197

vernachlässigt werden. Würde das Signal an


der Basis eingespeist, dann wäre die Verstär-
kung
RC
vD D2:
RE
Der Eingangswiderstand der Emitterschaltung
wäre aber wesentlich höher und im vorliegen-
den Beispiel durch den Basisteiler bestimmt.
Bei einem HF-Verstärker kann man den
Kollektorwiderstand auch durch eine Dros-
Abb. 3.35 Transistor in Basisschaltung mit Gleichstrom- sel oder einen Schwingkreis ersetzen. Emitter
versorgung und internen Spannungen und Basis werden wie bei der Stromquelle
beschaltet, um einen stabilen Gleichstromar-
beitspunkt zu erhalten. Häufig blockt man die
Emitterwiderstand RE berechnen. Gleichspannungspotenziale am Eingang und
Ausgang über Kondensatoren ab (C1 und C3
UE
RE D D 333  I in Abb. 3.35). Dabei müssen die Wechsel-
IE stromwiderstände dieser Kondensatoren we-
sentlich kleiner als die Eingangs- und Aus-
nächster Normwert E 12: 330 .
gangswiderstände sein. Abblocken ist die Be-
Der Kollektorwiderstand RC wird ebenso
aus dem Kollektorstrom und dem geforderten zeichnung für das Trennen der Signal- und
Spannungsabfall berechnet. der Versorgungsströme durch Kondensatoren
und Drosseln (Induktivitäten) im Längs- oder
URC Querzweig eines Signalweges.
RC D D 666 I Die Basisschaltung zeigt bei zunehmender
IC
Frequenz folgende Eigenschaften:
nächster Normwert E 12: 680 . Die kleine Basis-Emitter-Kapazität Cbe
Bis hierher berechnet man den Arbeits- ist dem sehr niederohmigen Emitter-Basis-
punkt genauso wie bei der Emitterschaltung in Widerstand rbe parallel geschaltet und hat
Abschn. 3.2.1. keinen praktischen Einfluss. Die Kollektor-
Am Transistor liegen jetzt die in Abb. 3.35 Basis-Kapazität Ccb liegt zum Lastwiderstand
rot eingezeichneten Potenziale. Das am Emit- parallel und kann deshalb von Bedeutung sein.
ter eingespeiste Signal Ue wird um die Span- Beide parasitäre Kapazitäten werden nicht dy-
nungsverstärkung vu verstärkt, so dass gilt namisch vergrößert. Da die Schaltung den
Steuerstrom nicht verstärkt, setzt auch der
ˇ RC Einfluss der abnehmenden Stromverstärkung
vu D ;
rbe erst bei höheren Frequenzen ein.
100  680 
vu D D 51;1 :
1;33 k
3.2.4 Stromquelle
Für den Eingangswiderstand Re ergibt sich

rbe Beim Transistor verursacht ein Basisstrom IB


Re D D 13  : einen entsprechend großen Kollektorstrom IC ,
ˇ
der von der Kollektor-Emitter-Spannung UCE
Der zur Gleichstromversorgung nötige Emit- weitgehend unabhängig ist. Diese Eigenschaft
terwiderstand RE D 330  kann gegen den erlaubt es, mit einem Transistor nach Abb. 3.36
dynamischen Eingangswiderstand Re D 13  eine einfache Stromquelle aufzubauen.
198 K. Bressler und H. Rudolph

a b

Abb. 3.37 Stromspiegel ohne und mit Gegenkopplung


Abb. 3.36 Temperaturkompensierte Stromquelle a einfacher Stromspiegel, b Stromspiegel mit Gegenkopp-
lung

Unter der Annahme, dass IC  IE und ˇ  1


ist, erhält man für die Spannung UB C UD im 3.2.5 Stromspiegel
Basis-Emitter-Kreis
Eine besondere Form der Stromquelle ist der
UB C UD D UE C UBE Stromspiegel. Abbildung 3.37 zeigt zwei mögli-
che Schaltungen. Beim einfachen Stromspiegel,
Abb. 3.37a, fließt der Steuerstrom I1 in den Kol-
mit
lektor von T1 und in die Basisanschlüsse beider
UD  UBE
Transistoren. Die für beide Transistoren gleiche
UB D UE D IE  RE Basis-Emitter-Spannung erzeugt in beiden Tran-
UB D IE  RE : sistoren den gleichen Kollektorstrom. I2 ist so
groß wie I1 C 2IB . Bei hoher Stromverstärkung,
Löst man diese Gleichung nach IE auf und ˇ
400, kann der Unterschied meist vernachläs-
setzt IE D IC , ergibt sich für IC der Zusammen- sigt werden.
hang
UB I1 D IC1 C 2IB
IC D : (3.40)
RE I DI DI
C1 C2 2
Bei veränderlicher Betriebsspannung kann man I2 D I1 .1  2=ˇ/ :
den Widerstand R1 durch eine Z-Diode ersetzen.
Der Spannungsabfall an der Diode D hat unge- Die Schaltung funktioniert gut, wenn bei-
fähr den gleichen Temperaturgang von 2 mV=K, de Transistoren genau gleich sind. D. h., die
wie die Basis-Emitter-Spannung des Transistors. Stromverstärkung ˇ und die Basis-Emitter-
Dadurch bleibt die Spannung UE konstant und Spannung UBE müssen bei beiden Transistoren
verursacht in RE einen temperaturunabhängigen gleich sein. Das gelingt praktisch nur, wenn bei-
Strom I . de Transistoren in einer integrierten Schaltung
Die Stromquelle erzeugt auch zeitlich verän- nebeneinander hergestellt sind.
derliche Ströme, wenn sie mit der entsprechen- Der Spannungsabfall an der Kollektor-
den Spannung UB angesteuert wird. Bei gerin- Emitter-Strecke von T1 ist UBE , der Span-
gen Anforderungen an die Temperaturstabilität nungsabfall an T2 liegt je nach der externen
des Stromes kann man die Diode weglassen und Anforderung zwischen UBE und der vollen Be-
die Spannung UB um die mittlere Basis-Emitter- triebsspannung der Schaltung. Der Strom in T2
Spannung UBE vergrößern. ist von der angelegten Spannung weitgehend
3 Transistoren 199

unabhängig, der hohe Ausgangswiderstand der tor kompensiert wird, der unter gleichen Bedin-
Schaltung wird nur durch den Ausgangsleit- gungen arbeitet. Operationsverstärker (Kap. 8)
wert h22 und die Spannungsrückwirkung h12 baut man aus diesem Grund aus hintereinander-
bestimmt. geschalteten Differenzverstärkern. Dabei werden
Ungleiche Transistoren können durch gegen- beide Transistoren des Differenzverstärkers ge-
koppelnde Emitterwiderstände teilweise ausge- meinsam dicht nebeneinander auf einem Substrat
glichen werden, Abb. 3.37b. Der Preis ist ein hergestellt, so dass beide Transistoren elektrisch
deutlich höherer Spannungsabfall am Stromspie- gleich sind und die gleiche Temperatur haben.
gel. Hier ist die Restspannung ein Mehrfaches Die Absolutwerte der Transistordaten haben da-
von UBE . Ein Verhältnis der Ströme ¤ 1 kann nach nur noch einen geringen Einfluss auf das
durch ungleiche Emitterwiderstände erreicht wer- Ausgangssignal des Verstärkers.
den. Für den Differenzverstärker gelten folgende
Zusammenhänge:
IE1  RE C UBE1 D IE2  RE C UBE2 Spannungsverstärkung:
.IC1 C IB /  RE C UBE1 D .IC2 C IB /  RE C UBE2
Uc1 ˇ  RC
IE1  RE D IE2  RE v1 D D ; (3.41)
Ub1 2 rbe
IC2 D IC1
Uc2 Cˇ  RC
I2 D I1  2IB v2 D D : (3.42)
Ub1 2 rbe
I2 D I1 .1  2=ˇ/
Eingangswiderstand:
Der Stromspiegel wird gern in integrierten
Schaltungen als hochohmiger Arbeitswiderstand, Re D 2 rbe : (3.43)
beispielsweise für Differenzverstärker, benutzt.
Zusammen mit der hohen Stromverstärkung ˇ Ausgangswiderstand:
der Transistoren des Differenzverstärkers er-
möglicht der hochohmige Arbeitswiderstand Ra  RC (3.44)
eine Spannungsverstärkung einer Stufe weit
über 1000. Gleichtaktunterdrückung:
Neben dem einfachen Stromspiegel gibt es
noch weitere Varianten mit besonderen Eigen- ˇ  RE
GD : (3.45)
schaften. rbe

Abbildung 3.38 zeigt die Schaltung mit den


3.2.6 Differenzverstärker wichtigen Spannungen und Strömen. Durch die
feste Verkopplung der Emitter heben sich die
Der Differenzverstärker ist eine der wichtigs- Basis-Emitter-Spannungen beider Transistoren
ten Schaltungen mit mehreren Transistoren. Er auf und es wird nur die Spannungsdifferenz
besteht aus zwei gleichen Transistoren und ver- zwischen beiden Basisanschlüssen verstärkt und
stärkt nur die Differenz der Eingangsspannun- symmetrisch an beiden Kollektoren abgegeben.
gen an beiden Basisanschlüssen. Gemeinsame Diese Eigenschaft eines Differenzverstärkers und
Eingangsspannungen, Parameterstreuungen und die Gl. 3.41 bis 3.45 sollen in der folgenden
Temperaturänderungen zeigen kaum Einfluss auf Rechnung hergeleitet werden. Dabei setzt man
die Ausgangsspannung. voraus, dass die Daten beider Transistoren gleich
Der Differenzverstärker ist die einzige Schal- sind und der Emitterwiderstand RE sehr groß ist,
tung, mit der man Gleichspannungen genau ver- d. h. es gilt
stärken kann, da die Temperaturdrift der Basis- rbe
RE  :
Emitter-Spannung durch einen zweiten Transis- ˇ
200 K. Bressler und H. Rudolph

Abb. 3.38 Grundschal-


tung des Differenzverstär-
kers

Für die Eingangsspannungen Ub gilt: Spannungen und Ströme müssen in der Rech-
nung nicht mitgeführt werden.
UB1 D IB1  rbe C UE und
UB2 D IB2  rbe C UE : Ub1 2 D .Ib1  Ib2 / rbe :

Den Emitterwiderstand RE dimensioniert man so, Mit Ib1 D Ib2 ergibt sich für Ub1 2 :
dass die Transistoren den richtigen Arbeitspunkt
aufweisen, oder ersetzt ihn durch eine Stromquel- Ub1 2 D 2 Ib1  rbe ;
le mit IE D IC1 C IC2 . Die Rechnung wird Ub1 2  ˇ
Ic1 D  und
übersichtlicher, wenn alle Größen in einen sta- 2 rbe
tischen Anteil für den Gleichstromarbeitspunkt ˇ RC
Uc1 D Ub1 2 :
(z. B. IB ) und eine signalbedingte Abweichung 2 rbe
(z. B. Ib ) getrennt werden. Für die Summe bei-
der Basisströme, die den gemeinsamen Emitter- Damit wird die Verstärkung zwischen dem Diffe-
strom IE erzeugen, gilt dann renzeingang und den beiden Ausgängen:

IE Uc1 ˇ RC
IB C Ib1 C IB C Ib2 D : v1 D D (3.46)
ˇ Ub1 2 rbe

Der statische Anteil bestimmt den Emittergleich- und


Uc2 ˇ RC
strom, so dass gilt v2 D D : (3.47)
Ub1 2 rbe
IE Der Eingangswiderstand Re des Differenzver-
2 IB D :
ˇ stärkers ist unter der Voraussetzung RE  rbe =ˇ:
Der dynamische Anteil bestimmt das Verhältnis Ub1
Re D D 2 rbe : (3.48)
der Basisströme Ib1

Ib1 D Ib2 : Der Ausgangswiderstand Ra beträgt wie bei der


einfachen Emitterschaltung
Für die Abweichung der Basis- und damit auch
der Kollektorströme ist die Differenz der Ein- Ra D RC : (3.49)
gangsspannungen maßgebend:
3.2.6.1 Gleichtaktverstärkung
UB1 2 D UB1  UB2 D .IB1  IB2 / rbe : Die Eingangsspannung des gleichsinnig ange-
steuerten Differenzverstärkers ist
Die Berechnung wird einfacher, wenn man nur
die Abweichungen betrachtet; die statischen Ub1 D Ib1  rbe C Ib1  2 ˇ RE :
3 Transistoren 201

Näherungsweise gilt:

Ue D Ub1 D Ib1  2 ˇ RE :

Die Ausgangsspannung des gleichsinnig ange-


steuerten Differenzverstärkers ist

Ua D  Ic  RC oder
 Ib1  ˇ  RC :

Daraus errechnet sich die Gleichtaktverstär-


kung vGL 1 zu

Ua Ib1  ˇ  RC RC
vGL1 D D D : Abb. 3.39 Differenzverstärker mit Stromsenke im Emit-
Ue Ib1  ˇ  RE  2 2 RE terkreis

Das entspricht der halben Verstärkung einer ge-


gengekoppelten Emitterschaltung. Der Emitter-
Das Ergebnis zeigt, dass die Gleichtaktun-
widerstand RE wird meistens durch eine Strom-
terdrückung G mit zunehmendem Emitterwi-
senke mit einem sehr hohen differenziellen Wi-
derstand RE größer wird. Ersetzt man den
derstand ersetzt. Dadurch wird die Gleichtakt-
Emitterwiderstand durch eine Stromsenke, dann
verstärkung vGL1 sehr klein. Ist die zweite Stufe
kann der erforderliche Emitterstrom für die bei-
wieder ein symmetrisch angesteuerter Differenz-
den Transistoren auch bei einer kleinen Spannung
verstärker, dann hat auch er eine sehr kleine
UE aus einer Quelle mit hohem dynamischen In-
Verstärkung gleichphasiger Signale. Die Span-
nenwiderstand geliefert werden, wie Abb. 3.39
nungsdifferenz am Eingang wird aber von beiden
zeigt.
Stufen hoch verstärkt.
Es empfiehlt sich weiterhin, Transistoren
mit hoher Stromverstärkung einzusetzen, wäh-
3.2.6.2 Gleichtaktunterdrückung rend man einen hohen Emitterstrom vermeiden
Die bisher durchgeführte Rechnung betrachtet sollte, da er den Basis-Emitter-Widerstand rbe
nur die Spannungsdifferenz zwischen den beiden verringert und den Gleichstromarbeitsbe-
Eingängen als Ursache eines Ausgangssignals. reich instabil macht. Gute Differenzverstärker,
Gleichsinnige Änderungen beider Eingangs- beispielsweise in der Eingangsstufe eines Ope-
spannungen führen jedoch ungewollt auch zu rationsverstärkers, können 100 dB oder mehr
einem kleinen Ausgangssignal. Die Gleichtakt- Gleichtaktunterdrückung erreichen, d. h., dass
unterdrückung G gibt an, um welchen Faktor die Gleichtaktspannung um den Faktor 105 we-
die an beiden Eingängen gemeinsame Ein- niger verstärkt wird als die Differenzspannung.
gangsspannung geringer verstärkt wird als eine Mit zunehmender Frequenz wird die Gleich-
Spannungsdifferenz zwischen beiden Eingän- taktunterdrückung schlechter, da Ströme in den
gen. Der Quotient aus der Differenzverstärkung Kapazitäten zwischen den Elektroden die Ver-
v1 und der Gleichtaktverstärkung vGL ist die hältnisse verändern. Abbildung 3.40 zeigt den
Gleichtaktunterdrückung G. Es gilt Einfluss der Frequenz auf die Gleichtaktunter-
drückung, die bei kleinen Frequenzen hoch ist,
v1 ˇ  RC =2 rbe ˇ RC  2 RE oberhalb der Grenzfrequenz fg aber linear mit
GD D D
vGL1 RC =2 RE 2 rbe  RC der Frequenz abnimmt.
ˇ RE Ein Differenzverstärker wird nach dem
GD : (3.50)
rbe gleichen Verfahren wie eine Emitterschaltung
202 K. Bressler und H. Rudolph

Abb. 3.41 Normierte Verlustleistung PTr des Transistors


Abb. 3.40 Gleichtaktunterdrückung G eines Differenz-
und seines Kollektorwiderstandes PRC als Funktion des
verstärkers als Funktion der Frequenz
Kollektorstroms

dimensioniert. Zu beachten ist, dass der Emit-


Signalamplituden fast nicht (Abb. 3.41). Je klei-
terwiderstand die Ströme beider Transistoren
ner der Kollektorstrom IC der Transistoren ist,
aufnehmen muss. Durch die besonderen An-
desto größer kann der Kollektorwiderstand RC
forderungen an die Gleichspannungsstabilität
gewählt werden, um eine möglichst große Ver-
müssen zusätzliche Zusammenhänge berück-
stärkung v zu erreichen, und desto geringer ist
sichtigt werden. Die Halbleiterindustrie liefert
die unerwünschte Erwärmung. Der abnehmende
Doppeltransistoren, deren gemeinsame Daten
Ausgangsleitwert ga verbessert die Schaltungsei-
nur wenig voneinander abweichen, in einem Ge-
genschaften weiter.
häuse. Dadurch entfällt für den Anwender das
Das obenstehende Konzept findet bei den
Aussuchen passender Paare, und beide Tran-
meisten Operationsverstärkern Verwendung. Die
sistoren haben durch den guten Wärmekontakt
Grenzen dieser Dimensionierung sind erreicht,
ungefähr die gleiche Temperatur.
wenn die Ströme so klein werden, dass die
Die wichtigsten Daten sind dabei die
parasitären Kapazitäten nicht schnell genug um-
Basis-Emitter-Spannung UBE bei gleichem Emit-
geladen werden können, und die Schaltung an
terstrom IE , deren Temperaturgang und die
ihre Frequenzgrenze stößt. Verstärker mit höhe-
Stromverstärkung ˇ beider Transistoren, die nur
rer Grenzfrequenz arbeiten deshalb mit höheren
wenig voneinander abweichen dürfen. Hierbei
Strömen und niedrigeren Arbeitswiderständen.
gilt: je weniger die Parameter voneinander ab-
weichen, desto besser und desto teurer ist der
Doppeltransistor. In der Schaltung müssen diese 3.2.6.3 Korrektur der Offsetspannung
guten Daten erhalten bleiben. Die Basis-Emitter- Beim idealen Differenzverstärker führt die Ein-
Spannung UBE ist nur dann gleich, wenn beide gangsspannungsdifferenz Ue D 0 V zu gleichen
Transistoren die gleiche Temperatur haben, die Kollektorströmen in beiden Transistoren. Beim
sie aber nur bei gleicher Verlustleistung P er- realen Differenzverstärker werden gleiche Kol-
reichen. Sind die Kollektor-Emitter-Spannung lektorströme bei einer kleinen Spannung ¤ 0 V
UCE und der Spannungsabfall URC am Kollek- erreicht. Diese Spannung heißt Offset-Spannung
torwiderstand RC gleich, dann ändert sich die (Offset D Versatz); sie liegt bei bipolaren Diffe-
Verlustleistung PTr des Transistors bei kleinen renzverstärkern im Bereich von 1 mV bis 10 mV,
3 Transistoren 203

Abb. 3.42 Korrektur der Offsetspannung eines Differenzverstärkers

bei sehr guten Differenzverstärkern auch erheb- spannung ist 0 V. Nachteilig ist mitunter die Ge-
lich darunter. Abbildung 3.42 zeigt drei verschie- genkopplung durch den Emitterwiderstand RE =2,
dene Möglichkeiten, diese Fehlergröße zu korri- der die Verstärkung v0 vermindert. Da die Kor-
gieren. rektur im Basis-Emitter-Basiskreis stattfindet, ist
Im Teilbild 3.42a geschieht die Korrektur über die Schaltung gegen eingestreute Störungen emp-
die Kollektorwiderstände. Dabei teilt man die findlich.
Kollektorwiderstände jeweils in einen großen In Abb. 3.42c erfolgt die Korrektur durch ei-
Widerstand RC und einen kleinen RC0 und ne entgegengesetzte Spannung am invertierenden
verringert beide RC0 durch einen mehr oder Spannungseingang, indem man die Offsetspan-
weniger großen Anteil des RC . Wird der Ver- nung am nicht benutzten Eingang von außen
stärker mit der Differenzspannung 0 angesteuert, zuführt. Die Schaltung ist einfach zu überblicken.
dann entstehen zwar geringfügig unterschiedli- Da die Korrektur im Basiskreis erfolgt, können
che Kollektorströme, sie erzeugen aber an den dort leicht Störungen aufgenommen und mitver-
ungleichen Widerständen gleiche Spannungen. stärkt werden.
Dieses Verfahren findet wegen folgender drei
Vorteile häufig bei integrierten Operationsver-
stärkern Verwendung:
3.2.6.4 Gegenkopplung im
1. Es entsteht ein kleiner Korrekturbereich mit
Differenzverstärker
Den Differenzverstärker kann man, genau wie die
großer Auflösung, wenn RC0  RC ist.
einfache Emitterschaltung, durch einen Emitter-
2. Ist keine weitere Korrektur der Offsetspan-
widerstand gegenkoppeln (Abb. 3.43).
nung erforderlich, kann RC weggelassen wer-
Damit kann man auf Kosten der Verstärkung
den, und die Anschlüsse stören nicht.
die Stabilität verbessern und den Frequenzgang
3. Die Schaltung ist gegen die Einstreuung von
linearisieren.
Störungen wenig empfindlich, da die Korrek-
Die Verstärkung des Differenzverstärkers mit
tur beim verstärkten Signal stattfindet.
der Gegenkopplung durch die Widerstände RE
In Abb. 3.42b geschieht die Korrektur durch
beträgt:
unterschiedliche Emitterwiderstände. Dabei wer-
den die verschiedenen Basis-Emitter-Spannun-
gen UBE beider Transistoren durch unterschied- Uc2 Ua Cˇ  RC
v1 D D D ;
liche Spannungsabfälle URE an den beiden Emit- Ub1 Ue+ 2 rbe C 2  ˇ  RE1
terwiderständen RE zu zwei gleichen Spannun- Uc2 Ua ˇ  RC
 v2 D D D :
gen UBE ergänzt. Die von außen sichtbare Offset- Ub2 Ue 2 rbe C 2  ˇ  RE2
204 K. Bressler und H. Rudolph

Abb. 3.43 Differenzverstärker mit Stromgegenkopplung


Abb. 3.45 Darlingtonschaltung

und ˇ2 zum neuen Wert ˇ multiplizieren, wie dies


die Darlingtonschaltung in Abb. 3.45 zeigt.
Die Darlingtonschaltung findet die gleiche
Verwendung wie ein Transistor mit sehr hoher
Stromverstärkung. Für sie gelten folgende Zu-
sammenhänge:
Stromverstärkung:

ˇ D ˇ1 C ˇ2 C ˇ1  ˇ2 (3.51)

Eingangswiderstand:

rbe D 2  rbe 1 (3.52)

Ausgangsleitwert:
Abb. 3.44 Frequenzgang des Differenzverstärkers mit
und ohne Gegenkopplung Gk
ga D gaT1  ˇ2 C gaT2 : (3.53)

Die Gegenkopplung setzt die Verstärkung Gleichungen 3.51 bis 3.53 werden im Folgenden
bei niederen Frequenzen herab (rote Kurve hergeleitet. Für die Stromverstärkung ˇ gilt:
in Abb. 3.44). Zum Ausgleich setzt der Ver- Der Emitterstrom IE1 des Transistors T1 ist
stärkungsabfall erst bei höheren Frequenzen
ein. Das Verstärkungs-Bandbreiteprodukt (Ab- IE1 D IB1  ˇ1
schn. 3.2.8.1) bleibt erhalten.
und der Emitterstrom IE2 des Transistors T2 ist

3.2.7 Darlingtonschaltung IE2 D IB2  ˇ2 oder


IE2 D IB1  ˇ1  ˇ2 :
Für manche Anwendungen reicht die Stromver-
stärkung eines Transistors nicht aus. Dann kann Mit IB1 D IB , IE2 D IC und IC D ˇ1  ˇ2  IB
man zwei Transistoren so hintereinander schal- ergibt sich
ten, dass sich ihre Stromverstärkungswerte ˇ1 ˇ D ˇ1  ˇ2 : (3.54)
3 Transistoren 205

Der Eingangswiderstand des Transistors beträgt im Basisraum des T2 kann aber nicht abgezo-
gen, sondern muss verbraucht werden, wodurch
UT
rbe 1 D und die Schaltung langsam wird. Abhilfe bringt hier
IB1 ein zusätzlicher Basis-Emitter-Widerstand RBE
UT UT für den Transistor T2 , den man so dimensio-
rbe 2 D D :
IB2 ˇ1  IB1 niert, dass durch diesen der zwei- bis fünffa-
Der Transistor T1 arbeitet in Kollektorschal- che Basisstrom des Transistors T2 abfließt. Der
tung, deren Lastwiderstand der Basis-Emitter- Transistor T1 kann übersteuert werden (Abb. 3.4,
Widerstand rbe 2 des Transistors T2 ist. Der Bereich 2). Da die Kollektor-Emitter-Spannung
Eingangswiderstand rbe dieser Kollektorschal- UCE.T2 /
.UBE.T1 / C UBE.T2 / / ist, kann T2 nur
tung ist bis an den Rand des Übersteuerungsbereiches be-
trieben werden. Darlingtontransistoren gibt es für
UT viele Anwendungen fertig in einem Gehäuse ein-
rbe 1 D I
IB1 gebaut.
UT
rbe 2 D
ˇ1 IB1
rbe D rbe 1 C ˇ1 rbe 2
3.2.8 Verstärker für höhere
UT Frequenzen
D2 D 2 rbe 1 : (3.55)
IB1
Der differenzielle Ausgangsleitwert, ga D h22 , In vorhergehenden Abschnitten ist gezeigt, dass
errechnet sich aus der Parallelschaltung des Leit- Transistorverstärker bereits bei niedrigen Ar-
werts von T2 , h22 T2 und dem von T2 verstärkten beitsfrequenzen an ihre Verstärkungsgrenze
Leitwert von T1 zu stoßen, wenn man keine besonderen Maßnah-
men trifft. Während bei niedrigen Frequenzen
dIC
h22 D alle Vorgänge im Verstärker reell und damit rein
dUCE ohmisch sind, setzen drei Effekte die Verstärkung
d .UCE  UBE2 / bei höheren Frequenzen herab:
D h22 T2 C h22 T2  ˇ2
dUCE 1. Laufzeiteffekte im Halbleiter vermindern die
Stromverstärkung bei höheren Frequenzen
oder
(Abschn. 3.1.3.2, Abb. 3.13).
h22 D h22 T2 C h22 T1  ˇ2 : (3.56) 2. Parasitäre Kapazitäten, die zum Arbeits- und
Lastwiderstand parallel liegen, verbrauchen
Mit Hilfe der Darlingtonschaltung kann man aus Blindstrom, der vom Verstärkungselement
zwei Transistoren mit unterschiedlichen Eigen- aufgebracht werden muss und dem Signal-
schaften einen neuen Transistor mit sehr hoher strom am Ausgang fehlt.
Stromverstärkung und der Kombination dieser er- 3. Ausgangsspannungen können über Rückwir-
wünschten Eigenschaften zusammensetzen. Eini- kungskapazitäten auf das Eingangssignal
ge Eigenschaften verschlechtern sich und sollten wirken. Hier genügen geringe Ströme, um
beachtet werden. Die obige Rechnung zeigt, dass das kleine Eingangssignal zu beeinflussen.
der Ausgangsleitwert des Darlingtontransistors Je nach Phasenlage des zurückgekoppelten
durch den um die Stromverstärkung vergrößer- Signals entsteht eine verstärkungsmindernde
ten Leitwert des Transistors T1 drastisch absinkt. Gegenkopplung oder eine verstärkungsstei-
Ebenso wird die Kollektor-Basis-Kapazität des gernde Mitkopplung. Letztere führt häufig
T1 durch T2 um die Stromverstärkung vergrö- zum selbstständigen Schwingen der Schal-
ßert. Bedingt durch die Ansteuerung des Tran- tung (Prinzip der Oszillatorschaltungen).
sistors T2 über den Emitter des T1 kann nur Gegen- und Mitkopplungseffekte nehmen mit
Strom in die Basis fließen, überschüssige Ladung steigender Grundverstärkung der Stufe zu.
206 K. Bressler und H. Rudolph

Abb. 3.47 Verstärker mit festem Verstärkungs-


Abb. 3.46 Verstärkung und Bandbreite bei verschiede- Bandbreite-Produkt bei verschiedenen Mittenfrequenzen
nen Kollektorwiderständen und Bandbreiten

3.2.8.1 Grenzen der Verstärkung Frequenz einen hohen Hub der Signalspannung
(Verstärkungs-Bandbreite- bewirkt, während derselbe Signalstrom bei ho-
Produkt) her Frequenz nur einen kleinen Spannungshub
Die Leistungsverstärkung vP D Ua  Ia =Ue  Ie erzeugt.
einer Stufe lässt sich nicht unbegrenzt steigern. Abbildung 3.46 zeigt, dass je nach der Di-
Wie Abb. 3.46 zeigt, hat ein Verstärker entwe- mensionierung des Arbeitswiderstandes RC Ver-
der eine hohe Verstärkung v oder eine große stärkung und Bandbreite variieren können, das
Bandbreite b, aber nicht beides. Das gilt für alle Produkt aus beiden aber konstant bleibt.
Verstärker, nicht nur für Differenzverstärker. Das Einschränkungen der Bandbreite durch Rück-
Produkt aus beiden Größen, das Verstärkungs- wirkungskapazitäten sollte man durch geeignete
Bandbreite-Produkt b  v ist eine Kenngröße, Halbleitertypen und eine abgestimmte Schal-
die man durch schaltungstechnische Maßnah- tungstechnik, beispielsweise die Kaskodeschal-
men ausnutzen, aber nicht überschreiten kann. tung nach Abschn. 3.2.9, vermeiden.
Der Abfall der Stromversorgung ˇ oberhalb Die Bandbreite eines Verstärkers muss nicht
der Grenzfrequenz fg D fT =ˇ bestimmt das bei Gleichstrom beginnen. Selektive Verstärker
Verstärkungs-Bandbreite-Produkt, wenn andere arbeiten häufig bei hohen Frequenzen, haben aber
Ursachen erst bei höheren Frequenzen wirken. nur eine geringe Bandbreite. Durch schaltungs-
Wird die Spannungsverstärkung durch eine Ge- technische Maßnahmen kann man die Verstär-
genkopplung vermindert, aber auf eine höhere kung, die Bandbreite und die Mittenfrequenz ver-
Bandbreite ausgedehnt, dann nimmt zumindest ändern. Das Produkt aus Verstärkung und Band-
der Eingangswiderstand der gegengekoppelten breite bleibt aber erhalten, wie Abb. 3.47 zeigt.
Emitterschaltung oberhalb der Grenzfrequenz fg Selektive Verstärker, beispielsweise die in
ab (Abschn. 3.1.3.2, Abb. 3.13). jedem Funkempfänger benutzten Zwischenfre-
Maßgebend für das Verstärkungs-Bandbreite- quenzverstärker, besitzen statt des ohmschen
Produkt eines Transistors sind neben der Transit- Arbeitswiderstandes einen Parallelschwingkreis,
frequenz fT interne und externe Lastkapazitäten, der aus einer Spule L, einem Kondensator C
die mit der verstärkten Signalspannung umgela- und den parallel geschalteten parasitären Kapa-
den werden müssen, wobei ein bestimmter Si- zitäten CP besteht. Bei dieser Schaltung wird
gnalstrom in einem Kondensator bei niedriger der Blindstrom der Kondensatoren von der Spu-
3 Transistoren 207

Die Eingangsspannung UB1 steuert den Basis-


strom IB1 . Für die Absolutwerte gilt:

IB1 D UB1 =.rBE C ˇRE / :

Meistens gilt mit guter Näherung

IB1 D UB1 =ˇ  RE und


IE1 D UB1 =RE :

Für die Kleinsignalwerte gilt entsprechend


Abb. 3.48 Selektiver Verstärker mit hoher Mittenfre-
quenz und geringer Bandbreite Ib1 D Ub1 =.rbe C ˇRE / :

Für den Transistor T2 gilt sinngemäß


le aufgebracht. Je mehr die Arbeitsfrequenz des
IC2
Verstärkers von der Mittenfrequenz des Schwing- IB2 D :
kreises abweicht, desto mehr Blindstrom muss ˇ2
der Verstärker aufbringen. Abbildung 3.48 zeigt
Der Spannungsteiler aus R1 und R2 muss so di-
eine typische Schaltung.
mensioniert sein, dass der Basisstromgleichstrom
Breitbandige Verstärker mit hoher Verstär-
des Transistors T2 keine spürbare Spannungsän-
kung müssen mit mehreren Transistoren realisiert
derung verursachen kann. Dabei wird der Wech-
werden. Dazu können mehrere gleiche Stufen,
selstromanteil des Basisstroms zweckmäßig vom
deren Verstärkung durch eine Gegenkopplung
Kondensator C geliefert.
vermindert wurde, in Reihe geschaltet werden.
Die Ausgangsspannung UC2 wird
Leistungsfähiger sind oft Verstärker mit zwei
zusammenhängenden Stufen, die verschiedene
UC2 D US  IC2  RC
Aufgaben erfüllen, aber optimal aufeinander
abgestimmt sind. Ein Beispiel hierfür ist die D US  UB1  RC =RE :
Kaskodeschaltung.
Die Kaskodeschaltung hat mit zwei Transisto-
ren die gleiche Verstärkung wie ein Transistor
in Emitterschaltung. Das Kollektorpotenzial
3.2.9 Kaskodeschaltung des Transistors T1 liegt fest, d. h., T1 arbei-
tet in der Kollektorschaltung und dient nur
Die Kaskodeschaltung stellt eine Kombination der Stromverstärkung. Die zulässige Kollektor-
der Kollektorschaltung (T1 ) und der Basisschal- Emitter-Spannung UCE des Transistors T1 muss
tung (T2 ) dar. Sie eignet sich gut für Breitband- nur wenig größer sein als der Spannungshub des
verstärker, da sie bei einfacher Berechnung gute Eingangssignals, so dass man einen schnellen
Werte von der Gleichstrom- bis zur Hochfre- Transistor mit kleiner Verlustleistung und klei-
quenzverarbeitung liefert. Das Prinzip erläutert ner Kollektor-Emitter-Spannung UCE verwenden
Abb. 3.49. kann. Der Transistor T2 arbeitet in Basisschal-
Die Transistoren T1 und T2 sind ausgangs- tung, da das Basispotenzial fest ist und am
seitig in Reihe geschaltet. Deshalb sind mit gu- Emitter bereits der volle Signalstrom eingespeist
ter Näherung alle Emitter- und Kollektorströme wird. Er verarbeitet den gleichen Kollektorstrom
gleich, und es gilt wie der Transistor T1 , muss aber wegen der
größeren Signalspannung eine höhere Verlust-
IE1 D IC1 D IE2 D IC2 : leistung verarbeiten können. Wenn der Betrieb
208 K. Bressler und H. Rudolph

Abb. 3.49 Kaskodeschaltung

in der Basisschaltung erfolgt, darf die höchste die kleinere Kollektor-Emitter-Kapazität Cce2
Betriebsfrequenz viel näher an der Transitfre- meistens vernachlässigen kann. Neben dem fre-
quenz fT sein, als dies bei einer Emitterschaltung quenzabhängigen Abfall der Stromverstärkung ˇ
möglich wäre. der Transistoren bestimmt die Kollektor-Basis-
Durch den gegenüber der Emitterschaltung Kapazität Ccb2 mit der parallel geschalteten
zusätzlichen Transistor wird Bandbreite gewon- Lastkapazität CL und dem Arbeitswiderstand RC
nen. Man erreicht dies nicht nur durch eine die obere Grenzfrequenz der Kaskodeschaltung.
geschickte Kombination der Kollektor- und der Wegen der Trennung von Strom- und Span-
Basisschaltung, sondern auch durch kleine Wech- nungsverstärkung führen alle Transistorelektro-
selspannungen an den parasitären Kapazitäten. den nur kleine Signalspannungen, die in den pa-
Die Basis-Emitter-Kapazität Cbe 1 liegt nicht rasitären Kapazitäten nur kleine Ströme verur-
parallel zum Eingang, sondern nur über der an sachen. Mit geeigneten Transistoren kann man
rbe abfallenden Teilspannung, die dynamisch große Signalspannungen bei Bandbreiten weit
verkleinert wird. Der Kollektor des Transis- über 100 MHz erzielen. Die Schaltung wurde frü-
tors T1 führt praktisch keine Signalspannung. her für Ablenkverstärker in Oszilloskopen ver-
Deshalb liegt die Kollektor-Basis-Kapazität Ccb wendet, die bei der geforderten Bandbreite noch
direkt parallel zum Eingang, so dass sie nicht 10 V bis 30 V Ausgangsspannungshub erfordern.
dynamisch vergrößert wird. Die ohnehin klei-
ne Kollektor-Emitter-Kapazität Cce 1 belastet
nur den niederohmigen Ausgang der Kollek- 3.3 Feldeffekttransistoren
torschaltung, in der T1 arbeitet. Der Transistor T2
arbeitet in Basisschaltung und hat deshalb einen Feldeffekt-Transistoren, abgekürzt FET, arbeiten
sehr kleinen Eingangswiderstand. Mit Cbe2 ent- nach einem ganz anderen Prinzip als bipolare
steht deshalb nur eine kleine Zeitkonstante, Transistoren (Abb. 3.1 in Abschn. 3.1). Bipola-
die den Frequenzgang kaum beeinflusst. Wie re Transistoren bestehen aus p- und n-dotierten
Abb. 3.49 zeigt, liegt am Kollektor des Tran- Halbleiterwerkstoffen. Der Strom fließt durch
sistors T2 die größte Signalspannung, die vom drei verschieden dotierte Halbleiterschichten
Kondensator Ccb2 belastet wird, während man vom Kollektor zum Emitter und wird von ei-
3 Transistoren 209

nem Basisstrom gesteuert. Die Ansteuerung


erfordert eine kleine Leistung. Der Feldeffekt-
transistor dagegen besteht aus einem Block
Halbleitermaterial mit nur einer Dotierung, bei-
spielsweise Silicium oder Galliumarsenid. In
diesem Block sind nur die Majoritätsträger, Elek-
tronen oder Löcher, an der Stromleitung beteiligt.
Man bezeichnet ihn deshalb auch als unipola-
ren Transistor. Ein von außen auf diesen Block
einwirkendes elektrisches Feld beeinflusst die
Ladungsträger im Block und damit seinen elek-
trischen Widerstand. Der Stromfluss wird durch
eine Steuerspannung und das von ihr erzeug-
te elektrische Feld gesteuert. Die Steuerung ist
leistungslos. Ist die Steuerelektrode durch einen
in Sperrrichtung vorgespannten pn-Übergang
vom leitenden Kanal getrennt, dann bezeichnet
man den Transistor als Sperrschicht-FET (engl.:
Junction-FET oder JFET). Ein weiterer Typ,
der Metal-Oxid-Semiconductor-FET, oder kurz
MOSFET, benutzt meistens ein Oxid des Halblei-
ters (SiO2 ) als Isolierung zwischen dem leitenden
Kanal und dem Gate. Sein etwas abweichender
Aufbau wird in Abschn. 3.3.2 beschrieben.
Es gibt p-Kanal- und n-Kanal-Feldeffekttran-
sistoren, die sich für den Anwender in erster Linie
durch die Polarität der erforderlichen Betriebs-
spannungen und -ströme unterscheiden. Die Be-
rechnungsverfahren sind gleich, und die geringen
Unterschiede der elektrischen Eigenschaften wer-
den zweckmäßigerweise den Datenblättern der
Hersteller entnommen. Es gibt wesentlich mehr Abb. 3.50 Aufbau und Arbeitsweise des Sperrschicht-
verschiedene n-Kanal-Typen, da diese einfacher Feldeffekttransistors (FET)
herzustellen sind und bessere Eigenschaften ha-
ben. Die Funktion und der Schaltungsaufbau sei-
en deshalb im Folgenden für n-Kanal-Typen er- Abbildung 3.50a zeigt den Halbleiterblock
klärt. aus n-leitendem Silicium mit den Anschlüs-
sen Source und Drain für den Strompfad und
dem Gate als Steuerelektrode, die durch einen
3.3.1 Sperrschicht- in Sperrrichtung vorgespannten pn-Übergang
Feldeffekttransistoren vom stromführenden Kanal getrennt ist. Das
(JFET) Feld der Steuerelektrode erzeugt eine Raumla-
dung, die Ladungsträger aus dem Randbereich
Der Aufbau und die Arbeitsweise des Sperr- des Kanals verdrängt, der wegen des jetzt ge-
schicht-FET sind in Abb. 3.50 erläutert. Die ringeren effektiven Querschnitts hochohmiger
Elektroden des Strompfades bezeichnet man mit wird. Bewegliche Ladungsträger können in die-
Quelle (Source) und Senke (Drain), die Steuer- se Raumladungszone nicht eindringen, d. h. eine
elektrode als Tor (Gate). Stromleitung findet nur im übrig gebliebenen Ka-
210 K. Bressler und H. Rudolph

Abb. 3.51 Kennlinien und Arbeitsbereiche des n-Kanal-Sperrschicht-Feldeffekttransistors

nal statt, der im Abb. 3.50a rot gerastert ist. Die Beweglichkeit durch die Art des Halbleiterma-
Sperrschicht-FET sind immer selbstleitend; die terials begrenzt. Deshalb kann der Drainstrom
Ansteuerung kann die Leitfähigkeit nur verrin- ID trotz eines stärkeren elektrischen Feldes in
gern. Feldeffekttransistoren sind normalerweise der Längsrichtung des Kanals (durch die höhere
symmetrisch aufgebaut, d. h. die elektrischen Ei- Drain-Source-Spannung UDS ) nicht weiter an-
genschaften des FET bleiben erhalten, wenn man steigen. Der Drainstrom ID hängt nur noch von
Drain und Source vertauscht. Abbildung 3.50b der Steuerspannung UGS , aber fast nicht mehr
gibt den zugehörigen Potenzialverlauf entlang von der Drain-Source-Spannung UDS ab.
des Kanals und die steuernde Gate-Source-
Spannung UG wieder. Abbildung 3.50c zeigt 3.3.1.1 Kennlinien und Arbeitsbereiche
den Querschnitt des Kristalls mit verschieden des Feldeffekttransistors
großen Raumladungszonen, die von unterschied- Der Arbeitsbereich des Feldeffekttransistors lässt
lichen Gate-Source-Spannungen erzeugt werden. sich nach Abb. 3.51 in vier wichtige Bereiche un-
Größe und Form der Raumladung sind durch die terteilen.
Potenzialdifferenz zwischen dem Gate und dem Abbildung 3.51a zeigt die Übertragungskenn-
Potenzial längs des Kanals bestimmt. Je größer linie (Steilheit S) zwischen der Steuerspan-
das elektrische Feld zwischen einem Element nung UGS und dem zugehörigen Drainstrom und
des Kanals und der Steuerelektrode, dem Gate, Abb. 3.51b das Ausgangskennlinienfeld mit den
ist, desto mehr verringert die Raumladung den verschiedenen Arbeitsbereichen.
leitfähigen Teil des Kanals (engl.: channel). Den
Feldeffekttransistor kann man somit als steuer- 3.3.1.2 Ohm’scher Bereich
baren Widerstand ansehen, dessen Wert von der In der Nähe des Koordinatenursprungs, bei
Gate-Source-Spannung UGS und von der Drain- kleinen Spannungen UDS und kleinen Drain-
Source-Spannung UDS des FET bestimmt wird. Strömen ID , verhält sich der FET wie ein
Mit zunehmender Drain-Source-Spannung ohmscher Widerstand, der mit der Gate-
UDS nimmt der Drainstrom nicht linear zu, wie Spannung UGS gesteuert wird. UDS muss klein
das bei einem Widerstand zu erwarten ist, son- sein, darf aber negativ werden. Der FET eignet
dern steigt erst immer weniger und bleibt danach sich deshalb in diesem Bereich als Steuerele-
trotz weiter steigender Spannung UDS konstant ment für kleine Wechselspannungen. Der von
(Abb. 3.51b). Die Ursache dafür ist die Einschnü- der steuernden Gate-Source-Spannung abhängi-
rung des leitenden Kanals (engl.: pinch off ) in der ge Kanalwiderstand des FET (Abb. 3.51c), ist gut
Nähe des Drain-Anschlusses (Abb. 3.50a). Die für analoge Steuerungen in elektronischen Schal-
Zahl der für den Strom wirkenden Ladungsträ- tungen zu verwenden. Der Kanalwiderstand rDS
ger ist durch den engen Querschnitt und ihre entspricht dabei dem Kehrwert der Steilheit S in
3 Transistoren 211

dem jeweiligen Arbeitspunkt (Abschnürbereich nicht linear, sondern genügt folgender Gleichung:
in Abschn. 3.3.1.4):  
UGS 2
IDS D IDSS 1  : (3.58)
rDS D 1=S : (3.57) UP

Die Steigung der Ausgangskennlinien im Dabei ist IDSS der Drain-Source-Strom bei
ohmschen Bereich hängt von der steuernden kurzgeschlossener Gate-Source-Strecke (d. h.
Gate-Spannung ab. Hierbei unterscheidet sich der UGS D 0) und UP die zum Abschnüren des Drain-
FET deutlich vom bipolaren Transistor, dessen Stromes notwendige Gate-Source-Spannung.
Ausgangskennlinien in diesem Sättigungsbereich Die Übertragungscharakteristik zwischen der
immer gleich verlaufen und kaum vom Basis- Gatespannung UGS und dem Drainstrom IDS be-
strom als äquivalente Eingangsgröße abhängen zeichnet man als Steilheit S. Dieser Begriff ist
(Abb. 3.11 in Abschn. 3.1.3.2). der Röhrentechnik entnommen. Für die Steil-
Beim FET unterbricht eine große Gatespan- heit S gilt
IDS
nung den Drainstrom bis auf wenige nA (Na- SD : (3.59)
noampere), während 0 V am Gate den Drain- UGS
Source-Widerstand auf den kleinsten Kanalwi- Die Steilheit hat beim größten Drainstrom
derstand verringern. Deshalb eignet sich der FET
ihr Maximum und nimmt mit abnehmendem
gut zum Schalten von Signalen und Strömen. Drainstrom ab. Die Grenze zwischen Trioden-
und Abschnürbereich verläuft wie die Übertra-
3.3.1.3 Triodenbereich gungskennlinie (vgl. die Übertragungskennlinie
Ist die aktuelle Drain-Source-Spannung des FET aus Abb. 3.51a und die Abschnürgrenze in
nicht größer als die Abschnürspannung, dann Abb. 3.51b).
arbeitet der FET im Triodenbereich. Im Trio-
denbereich (Abb. 3.51b) geht die Steigung der 3.3.1.5 Durchbruchbereich
Kennlinie vom Wert des Kanalwiderstandes rDS Bei großen Drain-Source-Spannungen UDS bricht
im ohmschen Bereich in eine sehr flache Stei- die Gate-Drain-Strecke durch, weil hier die
gung über, die dem kleinen Ausgangsleitwert größte Feldstärke herrscht (Abb. 3.51b). Die
des Abschnürbereichs entspricht. Der Trioden- Drain-Source-Durchbruchspannung nimmt des-
bereich endet an der Abschnürgrenze. Hier ist halb mit steigender Gate-Spannung UGS leicht ab.
die Spannung zwischen Drain und Gate gleich Der gesperrte FET hat die geringste Durchbruch-
der Abschnürspannung UP . Der Begriff Trioden- spannung UDS , im Gegensatz zum bipolaren
bereich kommt aus der früher gebräuchlichen Transistor, der im gesperrten Zustand die höchste
Röhrentechnik. Der von einer Gitterspannung Kollektor-Emitter-Spannung UCES aushält. Ein
leistungslos gesteuerte Anodenstrom hängt in Spannungsdurchbruch zwischen Gate und Drain
ähnlicher Weise von der Anodenspannung ab. zerstört den Transistor.

3.3.1.4 Abschnürbereich
Oberhalb der Abschnürgrenze (Abb. 3.51b) liegt 3.3.2 MOS-Feldeffekttransistoren
der analoge Arbeitsbereich des FET. Hier wird
durch eine Gate-Spannung UGS ein Drain-Strom Beim MOSFET oder Insulated-Gate-FET (IG-
ID gesteuert, der von der angelegten Drain- FET) ist die Steuerelektrode nicht mit einem
Source-Spannung UDS weitgehend unabhängig pn-Übergang, sondern mit einem dünnen, aber
ist. Die Drain-Source-Spannung, bei der der Trio- hochwertigen Isolator (meist einem Metalloxid)
denbereich in den Abschnürbereich übergeht, vom leitenden Kanal getrennt. Unabhängig von
nennt man Abschnürspannung (engl.: pinch-off- der Polarität (p- oder n-Kanal) kann die Steuer-
voltage UP ). Der Zusammenhang zwischen der elektrode positiv und negativ gegen die Source
Steuerspannung UGS und dem Drainstrom IDS ist werden und trotzdem immer stromlos bleiben.
212 K. Bressler und H. Rudolph

den Stromfluss verhindern. Die Oberfläche ist


mit einer dünnen Oxidschicht isoliert, darüber
ist die Gate-Elektrode aus Metall aufgedampft.
Die Source-Elektrode wird meistens intern mit
dem Substrat verbunden, manchmal ist des-
sen Anschluss aber auch herausgeführt. Beim
Leistungs-MOSFET stellt diese Verbindung die
Substratdiode zwischen Source und Drain dar,
die bei umgepolter Drain-Source-Spannung lei-
tend wird.
Abbildung 3.52b zeigt denselben Halbleiter-
Kristall mit einer positiven Spannung am Gate.
Das p-dotierte Grundmaterial enthält Löcher
als Majoritätsträger und Elektronen als Mi-
noritätsträger. Letztere werden vom Feld der
Gate-Elektrode bis an die Gate-Isolierung gezo-
gen und bilden einen n-leitenden Kanal zwischen
den beiden n-leitenden Inseln Drain und Source.
Mit zunehmender Gate-Spannung werden mehr
Elektronen in den Kanal gezogen und machen
ihn niederohmiger. Wie beim Sperrschicht-FET
hängt die leitfähige Kanaldicke vom Poten-
zialunterschied zwischen dem Gate und dem
Kanalelement ab. Der Kanal wird deshalb zum
Drain-Anschluss hin dünner, der Drainstrom
kommt in den Sättigungsbereich und bleibt trotz
steigender Drainspannung konstant.
Abb. 3.52 Aufbau und Wirkungsweise eines MOSFET Beim MOSFET-Verarmungstyp sind die
beiden Inseln durch einen dünnen Kanal mit
gleicher Polarität verbunden. Der n-dotierte
Dadurch kann man den Strom im Kanal mit Hilfe Bereich des spannungslosen MOSFET ist in
der Gate-Spannung nicht nur abschwächen, son- Abb. 3.52c rot gezeichnet. Sobald eine Span-
dern auch verstärken. nung zwischen Drain und Source liegt, entsteht
Abbildung 3.1 in Abschn. 3.1.1 zeigt die eine Ladungsverteilung wie in Abb. 3.52b. Hier
schon von den Sperrschicht-FET bekannten fließt auch bei UGS D 0 ein Drainstrom; die-
p- und n-Kanaltypen, die als Verarmungsty- ser MOSFET heißt deshalb Verarmungstyp. Der
pen (depletion mode) arbeiten und die nur Drainstrom kann mit der Steuerspannung er-
in MOSFET-Technologie möglichen Anrei- höht oder verringert werden. Abbildung 3.53
cherungstypen (enhancement mode), deren zeigt die Übertragungs- und Ausgangskennli-
Drain-Source-Strecke bei fehlender Gate-Span- nien eines selbstsperrenden n-Kanal-MOSFET.
nung stromlos ist. Ohmscher, Trioden- und Abschnürbereich sind
Abbildung 3.52a zeigt das Prinzip eines ähnlich wie beim Sperrschicht-FET vorhanden,
selbstsperrenden MOSFET (n-Kanal-Anreiche- der Durchbruchbereich ist weniger genau als
rungstyp). In das p-leitende Halbleitermaterial beim Sperrschicht-FET definiert.
sind zwei n-leitende Inseln, Source und Drain, Der Anwendungsbereich der MOS-Techno-
eindotiert. Trotz angelegter Spannung bleibt die logie hat sich in den letzten Jahren stark erweitert.
Drain-Source-Strecke stromlos, da die beiden Heute kann man damit Kleinsignal- und Leis-
gegeneinander geschalteten pn-Übergänge je- tungstransistoren sowie Hochfrequenzverstärker
3 Transistoren 213

Abb. 3.53 Kennlinien des selbstsperrenden n-Kanal-MOSFET

und integrierte analoge und digitale Schaltun- hohen Werte sind selten erforderlich und lassen
gen herstellen. Die MOS-Technologie eignet sich beim Schaltungsaufbau nur unter besonde-
sich besonders für digitale integrierte, auch ren Vorkehrungen ausnutzen, da auf der Ober-
hochintegrierte Schaltungen, da sich sehr schnel- fläche der tragenden Isolierwerkstoffe, beispiels-
le Schaltkreise mit großem Störabstand und weise der Leiterplatte, durch Verunreinigungen
geringem Stromverbrauch auf einer kleinen Sub- und Feuchtigkeit parasitäre Widerstände entste-
stratfläche herstellen lassen (Abschn. 12.1.4). hen, die um mehrere Größenordnungen kleiner
Wegen der ähnlichen Funktion des Sperr- sind als der Eingangswiderstand des MOSFET.
schicht-FET und des MOSFET werden die Dagegen ermöglicht die Isolierung des Gates ge-
Eigenschaften beider Typen gemeinsam be- gen den Kanal bei der Anwendung als Schal-
schrieben. Auf wichtige Unterschiede wird ter oder gesteuerter Widerstand eine vollstän-
hingewiesen. dige Trennung des Steuer- und des Ausgangs-
kreises.
3.3.2.1 Eingangswiderstand Der Eingangswiderstand bei Wechselspan-
Der Sperrschicht-FET ist ein leistungslos ge- nung wird nur von der Eingangskapazität
steuerter Verstärker, dessen Steuerelektrode bestimmt. Sie liegt zwischen 1 pF bei HF-Klein-
durch einen in Sperrrichtung vorgespannten pn- signaltransistoren und mehreren 1000 pF bei den
Übergang vom Kanal im Ausgangskreis getrennt Leistungs-MOSFET. Die Eingangskapazität ist
ist. Deshalb fließt nur ein sehr kleiner Reststrom bei der Dimensionierung der Schaltung unbe-
von 109 A bis 1011 A in das Gate. Aus die- dingt zu berücksichtigen.
sem Grunde ist der Eingangswiderstand sehr
groß, und er beträgt bei 1-V-Gate-Spannung in 3.3.2.2 Steilheit
Sperrrichtung des pn-Übergangs über 1000 M. Der Quotient aus der Änderung des Drain-
Bei Frequenzen oberhalb 1 MHz kann die Ein- Stroms ID und der Änderung der Gate-
gangskapazität stören, die je nach Typ und nach Spannung UGS ist ein Maß für die Verstärkung
der angelegten Gate-Spannung 1 pF bis 50 pF und wird als Steilheit, manchmal auch als Vor-
beträgt. Wie bei jedem anderen pn-Übergang wärtssteilheit S (S D IDS =UGS ), bezeichnet.
nimmt die Sperrschichtkapazität mit zunehmen- Im angelsächsischen Sprachgebrauch nennt man
der Sperrspannung ab. sie transconductance (Einheit mho). Der Name
Beim MOSFET führt der hochwertige Isola- ist von der Steilheit der Kennlinie ID D f .UGS /
tor zwischen Kanal und Gate zu Eingangswi- abgeleitet (Abb. 3.51a). Wie Abb. 3.53a zeigt,
derständen zwischen 1012  und 1015 . Diese hängt die Steilheit vom Arbeitspunkt ab, d. h. sie
214 K. Bressler und H. Rudolph

nimmt mit dem Drainstrom zu. Für einen analog


verstärkenden FET ist die Steilheit die wichtigs-
te Kenngröße. Die Dimension der Steilheit ist
1 mA=V oder 1 mS, bei Leistungstransistoren
auch 1 A=V oder 1 S, im angloamerikanischen
Sprachgebrauch schreibt man dafür 1 mho (ohm
rückwärts).
Abb. 3.54 Ersatzschaltbild des Feldeffekttransistors in
Source-Schaltung
3.3.2.3 Ausgangsleitwert
Eine Änderung der Drain-Source-Spannung UDS
verursacht eine Änderung des Drain-Stroms ID . 3.3.3 Weitere Kennwerte
Der Quotient aus beiden ist der differenzielle der Feldeffekttransistoren
Ausgangsleitwert ga oder der Ausgangsleitwert
3.3.3.1 Y -Parameter als Kennwerte
des unbeschalteten Transistors (Abschn. 3.1.3.3
des Feldeffekttransistors
Ausgangsleitwert bei bipolaren Transistoren). Es
Entsprechend den h-Parametern bei bipolaren
gilt:
Transistoren (Abschn. 3.1.3.5) benutzt man bei
ID den FET die y-Parameter. Sie kennzeichnen die
ga D : (3.60) Übertragungseigenschaften als Leitwerte. Zu
UDS
den y-Parametern gehört das Ersatzschaltbild
Bei kleinen Drain-Source-Spannungen UDS und nach Abb. 3.54, in dem die Leitwerte mit g be-
kleinen Drain-Strömen ID sind Ausgangsleitwert zeichnet sind.
und Kanalleitwert gleich (Abb. 3.51c). Mit zu- Tabelle 3.4 zeigt den Zusammenhang zwi-
nehmender Drain-Source-Spannung sinkt auch schen den Transistorkennwerten, den y-Parame-
der differenzielle Ausgangsleitwert und erreicht tern und die elektrische Zusammensetzung dieser
im Sättigungsgebiet seinen kleinsten Wert. Bei Parameter.
den Sperrschicht-FET liegt der Ausgangsleitwert Die deutschsprachige Fachliteratur indi-
meist zwischen 10 S und 100 S. Für den MOS- ziert die y-Parameter mit Zahlen, während im
FET ist der mögliche Bereich viel größer; all- angloamerikanischen Sprachgebrauch Buchsta-
gemeingültige Zahlenwerte lassen sich jedoch ben üblich sind. Die Vorwärtssteilheit wird im
nicht angeben. Moderne MOSFET haben kleine Deutschen oft mit S, im Englischen mit gfs be-
Ausgangsleitwerte, bei den Leistungs-MOSFET zeichnet.
liegen sie erheblich unter denen vergleichbarer Die nachfolgenden Gleichungen 3.61 und 3.62
bipolarer Transistoren. beschreiben mit den y-Parametern den Zusam-
menhang zwischen dem Eingangsstrom iG und
dem Drainstrom iD mit Hilfe der Gatespan-
nung uGS und der Drain-Source-Spannung uDS .
3.3.2.4 Spannungsrückwirkung
Ändert sich die Drain-Source-Spannung des iG D yis  uGS C yrs  uDS ; (3.61)
FET, dann beeinflusst sie über die Drain- iD D yfs  uGS  yos  uDS : (3.62)
Gate-Kapazität auch die Gate-Spannung. Bei
niederen und mittleren Frequenzen ist die Ausgehend von diesen Gleichungen sind die y-
Spannungsrückwirkung nur kapazitiv. Die Rück- Parameter als Matrix dargestellt.
!
wirkungskapazität kann je nach der Konstruktion yis yrs
und dem Anwendungsgebiet des FET Werte zwi- Y D : (3.63)
yfs yos
schen 0,005 pF bei den Dual-Gate-MOSFET für
HF-Verstärker und 1000 pF bei den MOSFET für Mit den y-Parametern lassen sich Hochfrequenz-
große Ströme betragen. schaltungen (Kap. 4) günstig berechnen. Die y-
3 Transistoren 215

Tab. 3.4 Zusammenhang zwischen Transistorkennwerten und y-Parametern


Kenngröße Interner Leitwert y-Parameter in Source-Schaltung Zusammensetzung
Eingangsleitwert gE y1 1s D yis j ! .CDG C CSG /
Rückwirkungsleitwert gR y1 2s D yrs j ! CDG
Vorwärtssteilheit S y2 1s D yfs gm  j ! CDG
Ausgangsleitwert gA y2 2s D yos gDS C j ! CDG

pegeln keine Verwendung finden. Durch das


geringe Rauschen bei hohen Frequenzen ober-
halb 100 kHz und die gute Linearität kann man
Signale mit großer Dynamik verstärken. Die
Kleinsignal-MOSFET eignen sich deshalb gut
für Hoch- und Zwischenfrequenzverstärker. Bei
größeren Signalpegeln, beispielsweise bei MOS-
Leistungstransistoren, ist das Rauschen weniger
wichtig.

3.3.3.3 Restströme
Abb. 3.55 Rauschen des Sperrschicht-FET als Funktion Die Ströme zwischen dem Gate und dem Ka-
der Frequenz nal betragen bei den Sperrschicht-FET 109 A
bis 1011 A, der Strompfad vom Gate zum Ka-
nal verhält sich wie ein pn-Übergang. Wird die
Parameter sind dann komplex und hängen vom Gate-Source-Spannung umgepolt, dann steigt
gewählten Arbeitspunkt ab. der Gate-Strom stark an. Bei den MOSFET ist
das Gate über einen reinen Isolator vom Kanal
3.3.3.2 Rauschen getrennt; der Reststrom bleibt unabhängig von
Unipolare Transistoren rauschen im Allge- der Polarität der Gate-Spannung unter 1013 A
meinen weniger als bipolare, bei denen der bis 1015 A. Die Gate-Restströme oder Gate-
Strom über zwei pn-Übergänge fließt. Beim Leckströme (leakage-current) kann man deshalb
Sperrschicht-FET entsteht durch Erzeugung in den meisten Schaltungen vernachlässigen.
und Rekombination von Ladungsträgerpaaren Der Drain-Source-Reststrom beträgt bei den
bei niedrigen Frequenzen ein Rauschen, das Sperrschicht-FET etwa 1 nA, bei 25 °C und
mit 1=f abnimmt. Abbildung 3.55 zeigt die 1 A bei 150 °C Sperrschichttemperatur. Bei den
Rauschspannung UR in Abhängigkeit von der Anreicherungs-MOSFET wird meist der Strom
Frequenz f . Häufig wird die Rauschspannung bei UGS D 0 angegeben. Er liegt bei 25 °C, je
p
bei einer gegebenen Mittenfrequenz in nV= Hz nach Leistung und Typ, zwischen 10 nA und
angegeben. Im größten Teil des Frequenzbe- 1 mA.
reichs, von etwa 1000 Hz bis 100 MHz, stört nur
das geringe thermische Rauschen des Source- 3.3.3.4 Temperaturverhalten
Drain-Kanalwiderstandes. Oberhalb 100 MHz Der Drain-Source-Strom ID hängt auch von der
nimmt das Rauschen wieder deutlich zu, was Kristalltemperatur ab, wie Abb. 3.56 zeigt. Mit
wegen der abnehmenden Verstärkung oder der zunehmender Temperatur nimmt einerseits das
geringen Bandbreite bei höherer Verstärkung we- Kontaktpotenzial zwischen Gate und dem Kanal
niger auffällt. ab, der Betrag der Gate-Spannung UGS zum Sper-
Die MOSFET rauschen bei niedrigen Fre- ren eines bestimmten Drain-Stroms ID nimmt
quenzen wesentlich stärker, weswegen sie in aber zu. Bei konstanter Spannung UGS steigt
Niederfrequenzverstärkern bei kleinen Signal- der Drain-Strom ID . Andererseits vermindert die
216 K. Bressler und H. Rudolph

Abb. 3.57 Vereinfachtes Ersatzschaltbild des Eingangs-


und Ausgangskreises des Feldeffekttransistors für hohe
Frequenzen

meist oberhalb 1 GHz. Die wesentlich größere


äußere Kapazität Cext belastet zwar die Span-
nungsquelle, geht aber nicht in die Grenzfrequenz
ein.
Abb. 3.56 Einfluss der Sperrschichttemperatur auf die
Übertragungskennlinie ID D f (UGS / des Feldeffekttran-
Eine weitere Einschränkung tritt im Aus-
sistors gangskreis auf. Der Drain-Strom kann die
internen und externen Lastkapazitäten CL nicht
beliebig schnell umladen, womit die Geschwin-
steigende Temperatur die Beweglichkeit der La- digkeit der Spannungsänderung du=dt am
dungsträger, wodurch der Drainstrom ID und Lastkondensator begrenzt wird. Auch hier kann
auch der Sättigungsstrom IDSS sinkt. Beide Ef- man die obere Grenzfrequenz erhöhen, wenn
fekte wirken gegeneinander und heben sich in die Bandbreite nicht steigt oder verringert wird
einem bestimmten Arbeitspunkt ganz auf, der (Abschn. 3.2.8.1, Grenzen der Verstärkung).
vom Typ und dem Exemplar abhängt.
Abbildung 3.56 zeigt auch, dass die Steil- 3.3.3.6 Schaltzeiten
heit mit zunehmender Temperatur abnimmt. Die Feldeffekttransistoren haben keine internen Spei-
Sperrschicht- und MOSFET verhalten sich ähn- chereffekte und können deshalb sehr schnell
lich. schalten. Die Schaltgeschwindigkeit wird nur
durch die internen und externen Kapazitäten, die
3.3.3.5 Grenzfrequenz Widerstände und den verfügbaren Strom begrenzt
Das auf den Kanal wirkende elektrische Feld än- (Abschn. 3.3.3.5, Grenzfrequenz).
dert dessen Leitfähigkeit praktisch trägheitslos.
Durch interne Widerstände und Kapazitäten eilt
das Feld der angelegten Gate-Spannung nach. 3.3.4 Grenzwerte der
In Abb. 3.57 ist ein vereinfachtes Ersatzschalt- Feldeffekttransistoren
bild des Feldeffekttransistors für hohe Frequen-
zen dargestellt. 3.3.4.1 Ströme
Die Steuerspannung muss am Gate-Kanal- Die Konstruktion des Transistors bestimmt die
Kondensator CSG C CDG anliegen. Die Wechsel- höchsten Werte für Ströme und Spannungen, die
spannung zwischen Gate und Source lädt diesen noch keinen Schaden anrichten. Der größte zu-
Kondensator über den Kanalwiderstand. Beide lässige Dauerstrom ID wird im Allgemeinen
bilden zusammen einen Tiefpass, dessen Grenz- vom kleinsten Kanalwiderstand und der zu-
frequenz durch die innere Gate-Kanal-Kapazität lässigen Verlustleistung bestimmt. Dabei muss
und den Kanalwiderstand rDS bestimmt wird. die entstehende Verlustleistung im zulässigen
Für die Kleinsignal-FET liegt die Grenzfrequenz Temperaturbereich abgeführt werden. Bei vie-
3 Transistoren 217

len (Leistungs-)MOSFETs darf der Drainstrom zuerst den kleinsten Kanalwiderstand. Deshalb
während kurzer Impulse ein Vielfaches des zu- sollte die zulässige Spannung des Transistors
lässigen Dauerstroms sein. nicht wesentlich höher als erforderlich sein.
Der Spitzenstrom wird vom Siliciumkristall
begrenzt (silicon limited). Der hohe Impulsstrom 3.3.4.3 Temperaturen
erwärmt den Kristall, weil die Wärme wegen des Die Sperrschicht der FET und MOSFET darf
Wärmewiderstandes zum Gehäuse nicht schnell höchstens 150 °C bis 175 °C warm werden;
genug abfließen kann. Spätestens wenn die zu- das ist weniger als bei bipolaren Transistoren
lässige Kristalltemperatur erreicht ist, muss der (200 °C). Die Restströme können bei dieser Tem-
Strom eine Pause haben, um den Transistor ab- peratur 1000 mal größer sein als bei 25 °C. Da
kühlen zu lassen. Weiterhin halten die Bonddräh- mit zunehmender Sperrschichttemperatur die
te zwischen Kristall und den externen Anschlüs- Halbleiter häufiger ausfallen, sollten die Halb-
sen nur einen begrenzten Strom aus, bevor sie leiter und ihre Kühlkörper so groß sein, dass die
abschmelzen. Grenztemperatur um 20 K bis 30 K unterschritten
Die unter verschiedenen Bedingungen zulässi- wird.
gen Ströme sind in den Datenblättern angegeben.
Bei Leistungs-MOSFETs sind heute Dauerströ- 3.3.4.4 Verlustleistung und erlaubter
me bis 200 A und Impulsströme über 1000 A Arbeitsbereich
möglich. Die Verlustleistung bestimmt zusammen mit dem
Wärmewiderstand zwischen der Sperrschicht und
3.3.4.2 Sperrspannungen der Umgebung die Temperatur des Halbleiters.
Je dünner die Oxidschicht oder der pn-Übergang Die Datenblätter geben die zulässige Verlustleis-
zwischen dem Gate und dem Kanal ist, desto tung und die zugehörigen Bedingungen an. Die
besser wirkt die Steuerspannung, aber desto emp- Verlustleistung darf bei kurzen Impulsen meist
findlicher wird der Transistor gegen Überspan- erheblich überschritten werden und hängt nicht
nungen. Eine zu große Steuerspannung zerstört von der augenblicklichen Drain-Source-Span-
die Isolierung, auch wenn es nur ein kurzer Im- nung UDS ab. Die FET und MOSFET haben keinen
puls ist. Wegen der geringen Kapazität kann eine sekundären Durchbruch (Abschn. 3.1.5.5), da die
extrem kleine Energie die Isolierung durchschla- Leitfähigkeit des Kristalls mit zunehmender Tem-
gen. Die Strecke zwischen dem Gate und dem peratur abnimmt und sich keine Kanäle mit hoher
Kanal ist die empfindlichste Stelle aller FET- und Verlustleistung ausbilden können. Der erlaubte
MOS-Bauteile. Zum Schutz werden teilweise Z- Arbeitsbereich ist nur durch die Grenzwerte von
Dioden zwischen Gate und Substrat integriert, die Strom, Spannung und Verlustleistung bestimmt.
aber die Restströme und die Kapazität zwischen Die Sperrschicht-FET werden nur für kleine Leis-
Gate und Source erheblich erhöhen. Die Halblei- tungen gebaut, während Leistungs-MOSFET bis
ter müssen vor allem während der Verarbeitung, über 500 W Verlustleistung angeboten werden.
aber auch im Betrieb vor diesen Überspannungen
geschützt werden (Abschn. 3.5.7, Schutzmaßnah-
men für Halbleiter). 3.4 Schaltungstechnik mit
Eine zu große Drain-Source-Spannung UDS Feldeffekttransistoren
verursacht einen Durchschlag von Drain zum
Gate und zerstört den Transistor wie alle ande- 3.4.1 Übergang vom bipolaren
ren Überspannungen auch. Im Gegensatz zum Transistor zum
dauernd zulässigen Drainstrom dürfen die Grenz- Feldeffekttransistor
werte der Spannungen auch nicht kurzzeitig über-
schritten werden. Feldeffekttransistoren sind häufig in gleichen
Eine hohe zulässige Drain-Source-Spannung Schaltungen wie bipolare Transistoren eingebaut.
verschlechtert andere Parameter des Transistors, Abbildung 3.58a zeigt die wichtigsten Daten
218 K. Bressler und H. Rudolph

Abb. 3.58 Vergleich der Beschaltung eines bipolaren Transistors in Emitterschaltung mit einem Feldeffekttransistor
in Source-Schaltung

des bipolaren Transistors, Abb. 3.58b diejenigen geringer Krümmung der Übertragungskennlinie
des FET. Auf diese Eigenschaften sind die Ar- betrieben, weshalb die Verstärkung ziemlich line-
beitswiderstände RC oder RD und weitere in der ar ist. Andererseits unterliegt die Abschnürspan-
Transistorschaltung abzustimmen. nung UP großen Exemplarstreuungen, so dass sie
Die für den Ein- und Ausgang gemeinsame durch eine Gegenkopplung auszugleichen ist.
Elektrode ist mit Masse verbunden und gibt der Bei beiden Typen ändert sich die Verstärkung
Grundschaltung den Namen. Das auf Masse und exemplarabhängig: bei den bipolaren Transisto-
die gemeinsame Elektrode bezogene Eingangs- ren die Stromverstärkung ˇ (100 bis 400) und bei
signal ist beim bipolaren Transistor ein kleiner den FET die Steilheit S (2 mA=V bis 8 mA=V).
Strom (Abb. 3.58a), beim FET eine Spannung
(Abb. 3.58b). Beide Transistoren erhalten ihren 3.4.2 Grundschaltungen
Strom über einen Arbeitswiderstand (RC beim der Feldeffekttransistoren
bipolaren Transistor oder RD beim FET) aus der
Versorgungsspannung US . Der Ausgangsstrom Feldeffekttransistoren kann man ebenso wie bi-
des Transistors verursacht am Arbeitswiderstand polare Transistoren in drei verschiedenen Grund-
RC bzw. RD die erwünschte Ausgangsspannung. schaltungen betreiben (Abb. 3.58).
Der bipolare Transistor hat die Eingangskenn- Abbildung 3.59 stellt die wichtigsten Eigen-
linie einer Diode, d. h., eine linear steigende schaften der drei Grundschaltungen zusammen.
Eingangsspannung verursacht einen exponentiell Viele, aber nicht alle Eigenschaften bleiben er-
steigenden Basis- und Kollektorstrom. Dadurch halten, wenn man die bipolaren durch Feldef-
weicht die Basis-Emitter-Gleichspannung nur fekttransistoren ersetzt. Der Eingangswiderstand
wenig von ihrem Mittelwert ab. Die sehr hohe, ist, außer bei der Gate-Schaltung, nicht mehr
aber nicht lineare Spannungsverstärkung muss vom Transistor, sondern nur von der Zuführung
durch eine Gegenkopplung verringert und linea- der Gatespannung abhängig. Der frequenzabhän-
risiert werden. gige Abfall der Steilheit tritt erst bei mehreren
Der Emitterschaltung des bipolaren Transis- 100 MHz auf und nicht bei 1 MHz. Dafür ist die
tors entspricht die Sourceschaltung des Feldef- Grundverstärkung meistens geringer als bei bipo-
fekttransistors. Der Drainstrom ID wächst mit laren Transistoren. Rauscharme Schaltungen mit
dem Quadrat der Gate-Source-Spannung UGS . hohem Eingangswiderstand lassen sich mit den
Dabei wird der FET meistens in einem Bereich FET besser verwirklichen als mit bipolaren Tran-
3 Transistoren 219

Abb. 3.59 Grundschaltungen der Feldeffekttransistoren

sistoren. Die Gateschaltung findet wegen ihrer größtmögliche Abweichung durch die Exemplar-
geringen Rückwirkung und der Leistungsanpas- streuung dar. Mit zunehmendem Drainstrom ID
sung am Eingang nur für Hochfrequenzverstärker steigt die Verstärkung deutlich an. Die Exemplar-
Verwendung. Ihr Eingangswiderstand ist auch bei streuungen der Abschnürspannung UP verschie-
niedrigen Frequenzen klein. Die Gateschaltung ben den Arbeitspunkt und verändern die Verstär-
ist nur selten in Gebrauch. kung.
Abbildung 3.60b zeigt denselben Verstärker
mit einem Sourcewiderstand RS und rot ein-
3.4.3 Stabilisierung des getragenen Gleichspannungswerten, die den
Arbeitspunktes und der Arbeitspunkt verdeutlichen. Am Sourcewider-
Verstärkung durch stand RS fällt umso mehr Spannung ab, je größer
Gegenkopplung der Sourcestrom IS ist. Ein großer Sourcestrom
führt zu einem großen Spannungsabfall am
Die große Exemplarstreuung der Gate-Source- Sourcewiderstand RS und vergrößert den Be-
Spannung zum Erreichen eines bestimmten trag der negativen Gate-Source-Spannung UGS ,
Drainstroms ID erfordert eine Stabilisierung des wodurch der Drainstrom ID sinkt. Es ist ein Re-
Arbeitspunktes. Hierzu eignet sich ein Wider- gelkreis entstanden, der den Drainstrom ID und
stand in der Source-Leitung des FET, der eine damit die Steilheit S ungefähr konstant hält. Da
Stromgegenkopplung erzeugt (Abschn. 3.2.1.1). über das Gate kein Strom abfließt, sind Drain-
Abbildung 3.60 stellt den Arbeitsbereich bei fes- und Sourcestrom gleich groß.
ter Eingangsspannung für einen FET ohne und In Abb. 3.60b ist die Arbeitsgerade des
mit Stromgegenkopplung dar. Sourcewiderstandes RS in das Kennlinienfeld des
Abbildung 3.60a zeigt die Schaltung und das FET eingetragen. Am Sourcewiderstand RS fällt
Kennlinienfeld eines FET in Sourceschaltung oh- die Summe aus der Eingangsspannung Ue und
ne Gegenkopplung. Die durchgezogene Kenn- der Gate-Source-Spannung UGS ab; ein steigen-
linie stellt den Mittelwert, die gestrichelte die der Drainstrom ID erzeugt mehr Spannungsabfall
220 K. Bressler und H. Rudolph

Abb. 3.60 Stabilisierung des Arbeitspunktes und der Verstärkung beim Feldeffekttransistor

an RS , wodurch die Source-Gate-Spannung UGS Gate-Source-Spannung UGS , welche zur Ver-


erhöht und der Drainstrom ID verringert wird. stärkung beiträgt. Die am Sourcewiderstand RS
Je größer RS ist, desto flacher wird die Ar- abfallende Spannung US muss von der Quelle
beitsgerade von RS und desto genauer wird der aufgebracht werden. Deshalb stabilisiert sie nur
Gleichstromarbeitspunkt stabilisiert. Der Source- den Drainstrom ID und damit den Arbeitspunkt.
widerstand RS darf nicht zu groß werden, da die Die Aufteilung der Eingangsspannung UE in
Spannung US dem FET und seinem Arbeitswi- UGS und US ermöglicht die Berechnung der ver-
derstand fehlt und da RS auch für das Signal minderten Verstärkung des gegengekoppelten
eine Gegenkopplung bildet, die die Verstärkung Verstärkers. Für die Eingangsspannung UE gilt:
mindert. Trotz eines großen Bereichs der Ab-
schnürspannung UP stellt sich ein annähernd UE D UGS C ID  RS D UGS C UGS  S  RS ;
konstanter Drainstrom ID mit geringen exempla- UE D UGS  .1 C S  RS / :
rabhängigen Abweichungen ein. Entsprechend
wenig weicht die Steilheit S von ihrem Mittel- Die Ausgangsspannung UA hängt nur vom Drain-
wert ab. strom ID und dem Arbeitswiderstand RD ab, so
dass gilt:

3.4.4 Wirkung der Gegenkopplung UA D ID  RD D UGS  S  RD ;

Beim gegengekoppelten FET-Verstärker (Abb. wobei für die Spannungsverstärkung v0 gilt:


3.61) fällt an der Gate-Source-Strecke nur ein
Teil der Eingangsspannung UE ab, nämlich die v0 D UA =UE :
3 Transistoren 221

Abb. 3.62 Differenzverstärker mit Feldeffekttransistoren


Abb. 3.61 FET-Verstärker mit Stromgegenkopplung

3.4.5 Differenzverstärker
Daraus kann die Verstärkung mit Gegenkopp- mit Feldeffekttransistoren
lung vg berechnet werden
Aus zwei Feldeffekttransistoren kann man einen
Differenzverstärker aufbauen, bei dem nur die
UGS  S  RD
vg D : (3.64) Spannung zwischen den beiden Eingängen
UGS  .1 C S  RS /
verstärkt wird, während sich die Gate-Source-
Spannungen UGS1 und UGS2 beider FET
Der gegengekoppelte FET kann als Bauteil kompensieren (Abb. 3.62). Der Eigenfehler des
mit geringerer Steilheit S  betrachtet werden, Verstärkers bleibt gering, so dass die Schaltung
wobei gilt zur Verstärkung kleiner Gleichspannungen ge-
eignet ist.
Der Differenzverstärker mit Feldeffekt-
S
S D : (3.65) transistoren wird genauso wie mit bipolaren
1 C S  RS
Transistoren aufgebaut. Dazu verwendet man
FET, deren Gate-Source-Spannungen beim Ar-
Nach Abb. 3.60b hängt die Steilheit S  des beitsstrom durch das Aussuchen geeigneter Paare
gegengekoppelten FET nur wenig von den Ex- oder durch das Herstellverfahren nur wenig
emplarstreuungen der Abschnürspannung ab. Die voneinander abweichen. Diese Fehlspannung
dort gefundene Steilheit S muss nach Gl. 3.65 ist im Allgemeinen größer als bei bipolaren
verringert werden, bevor die Verstärkung mit Transistoren, aber wegen des extrem kleinen
dem Drainwiderstand RD berechnet wird. In Eingangsstroms entsteht auch an einem sehr
Verstärkern für kleine Wechselspannungen (für großen Innenwiderstand der Quelle keine zu-
NF und HF) ist oft eine große Verstärkung sätzliche Fehlspannung mehr. Dagegen erzeugt
erwünscht. In diesem Fall kann man den Source- der Basisstrom bipolarer Transistoren am Innen-
widerstand RS mit dem Kondensator CS für die widerstand einer hochohmigen Quelle eine nicht
Signalfrequenzen kurzschließen. Eine solche zu vernachlässigende Fehlspannung. Deshalb
Schaltung hat trotz großer Parameterstreuun- werden Gleichspannungssignale aus hochoh-
gen einen stabilen Arbeitspunkt und besitzt die migen Quellen (> 100 k) besser mit einem
große Verstärkung der nicht gegengekoppelten Verstärker mit FET-Eingang verarbeitet. Heute
Schaltung (Abb. 3.61). gibt es Operationsverstärker mit FET-Eingang,
222 K. Bressler und H. Rudolph

Abb. 3.63 Steuerbarer Spannungsteiler mit FET

deren Offsetspannung (Abschn. 3.2.6.3) nicht


größer ist, als bei guten bipolaren Differenzver-
stärkern.

3.4.6 Steuerbare Spannungsteiler


mit Feldeffekttransistoren

Abb. 3.64 Feldeffekttransistoren als Schalter für analoge


Bei kleinen Drain-Source-Spannungen UDS und Signale
kleinen Drain-Source-Strömen IDS arbeitet der
FET im ohmschen Bereich als steuerbarer ohm-
scher Widerstand. Zusammen mit einem Fest- Signalspannung beeinflusst und sie dadurch ver-
widerstand lässt sich ein spannungsgesteuerter zerrt.
Spannungsteiler aufbauen.
Abbildung 3.63 zeigt einen Spannungstei-
ler, der aus einem festen Längswiderstand R1 3.4.7 Feldeffekttransistoren als
und einem FET als spannungsgesteuertem Quer- Schalter für analoge Signale
widerstand besteht. Der Querwiderstand wird
unendlich groß, wenn der FET gesperrt ist, Feldeffekttransistoren eignen sich gut zum Schal-
und er wird nicht kleiner als der Kanalwider- ten von analogen Signalen. Bei Mehrfachschal-
stand RDS , wenn der FET durchgesteuert ist. tern (1 aus n) ist ein FET niederohmig, während
Das Verhältnis R1 =RDS bestimmt die größte die übrigen hochohmig sind, so dass man den
Dämpfung. Wenn der FET hochohmig ist, wird analogen Regelbereich vermeidet. Die Schaltung
das Signal nur durch R1 und den Eingangs- wird oft mit MOSFET aufgebaut, um Wechsel-
widerstand Re der nachfolgenden Schaltung wirkungen zwischen dem Signal und der An-
gedämpft. Die Schaltung nach Abb. 3.63a eig- steuerung auszuschließen (Abb. 3.64).
net sich nur für kleine Signale, bei denen der Diese Schalter gibt es auch als integrierte
FET noch keine gekrümmte Kennlinie hat. Schaltung in vielen Varianten. Häufig sind die
Diesen Nachteil vermeidet die Schaltung nach Ansteuerschaltungen der Gates und eine Deco-
Abb. 3.63b, da die Ansteuerung des FET durch dierung enthalten, so dass man den Kanal direkt
das abzuschwächende Signal so ergänzt wird, über eine Adresse mit üblichen logischen Pegeln
dass sein Widerstand konstant bleibt. Der Ab- ansteuern kann. Fertige Schaltungen, meistens
schwächer funktioniert genauso, wenn der FET monolithisch integriert, werden als Analogmulti-
den Längszweig des Spannungsteilers bildet, plexer bezeichnet. Wegen der geringen internen
während der Querzweig fest ist. Bei falscher Durchbruchspannungen sind statische Aufladun-
Dimensionierung dieser Schaltung besteht die gen beim Umgang mit diesen empfindlichen
Gefahr, dass die Gate-Source-Spannung UGS die Bauteilen sorgfältig zu vermeiden.
3 Transistoren 223

ist der Kanal (die Drain-Source-Strecke) entwe-


der gesperrt oder niederohmig; Strom und Span-
nung hängen weitgehend linear miteinander zu-
sammen.
Erhöht man die Gate-Source-Spannung UGS
eines MOSFET, so dass dieser immer unterhalb
Abb. 3.65 Aufbau und Schaltzeichen eines selbstleiten- des Abschnürbereiches arbeitet, so stellt dieser
den n-Kanal-Dual-Gate-MOSFET lediglich einen konstanten Widerstand (rDS(ON) D
konstant) dar (Abb. 3.53). Vor allem für Lasten,
die sehr schnell ein- und wieder ausgeschaltet
3.4.8 Dual-Gate-MOSFET
werden müssen, sind MOSFET-Transistoren her-
(Doppelgate-MOSFET)
vorragend geeignet. Folgende Vorteile weisen sie
auf:
Ein Dual-Gate-MOSFET (Doppelgate-MOSFET)
 Sie lassen sich nahezu leistungslos ansteuern.
entsteht, wenn die Steuerelektrode über dem Ka-
 Der Kanal enthält keinen pn-Übergang, wo-
nal eines MOSFET in zwei Abschnitte geteilt
durch eine Rekombination der Ladungsträger
wird (Abb. 3.65).
entfällt und ein sehr schnelles Schalten (tS
Der Aufbau eines Dual-Gate-MOSFET ent-
100 ns) möglich wird.
spricht weitgehend dem einfachen MOSFET; le-
 Ein zweiter Durchbruch wie bei Bipolar-
diglich die Gate-Elektrode über der Isolierschicht
Transistoren existiert nicht, sondern nur
ist senkrecht zum Kanal geteilt, und beide Gates
ein Avalanche-Durchbruch (Lawinendurch-
werden getrennt von außen angesteuert. Sie be-
bruch). Deshalb sind hohe Ströme problemlos
einflussen den Drain-Source-Strom IDS unabhän-
zu schalten.
gig voneinander, sofern nicht ein Gate den Strom
 Die Verluste werden hauptsächlich durch den
vollständig abschnürt.
Drainstrom ID im Kanalwiderstand rDS (ON)
Den Dual-Gate-MOSFET setzt man vorteil-
bestimmt.
haft als regelbaren Verstärker meistens in Hoch-
 Die von den Herstellern angegebenen maxi-
frequenzschaltungen ein. Dabei steuert das Nutz-
malen Drainströme sind ausnutzbar, solange
signal das Gate 1, während die Regelspannung
die Chiptemperatur Tj den zulässigen Wert
am Gate 2 die Steilheit des MOSFET beein-
nicht überschreitet.
flusst. Damit kann die Verstärkung einer Stufe
 Ein Parallelschalten ist einfach möglich, da
kontinuierlich um bis zu 40 dB verringert werden.
der MOSFET einen Widerstand (rDS (ON) ) dar-
Der erforderliche Bauteileaufwand ist gering. Die
stellt. Sein positiver Temperaturgang sorgt für
Steilheit S des Verstärkers lässt sich nahezu träg-
eine gleichmäßige Stromverteilung im Halb-
heitslos steuern. Wenn an beiden Gates sinus-
leiter.
förmige Spannungen verschiedener Frequenz lie-
MOSFET-Leistungstransistoren besitzen eine
gen, enthält der Drainstrom ID das Produkt der
vertikale Struktur (Abb. 3.66). Der Strom fließt
beiden Steuerspannungen sowie die Summe und
größtenteils vertikal, also senkrecht zur Ober-
die Differenz beider Frequenzen. Der Dual-Gate-
fläche des Chips, vom Drain-Anschluss in den
MOSFET kann als multiplikative Mischstufe ar-
horizontal liegenden und vom Gate gesteuer-
beiten. Es gibt noch eine additive Mischstufe, die
ten Kanal zur Source. Der Kanal (hier mit nC
in Abschn. 3.5.4 beschrieben ist.
gekennzeichnet) liegt unmittelbar am Rand der
Source-Kontaktierung und umgibt diese in ihrem
3.4.9 MOSFET-Leistungstransistoren gesamten Umfang. Jeder Chip ist aus mehreren
für Schalter hundert parallel geschalteten Einzeltransisto-
ren aufgebaut. Hierdurch erhält man niedri-
Die MOSFET setzt man heute auch für hohe ge Drain-Source-Einschaltwiderstände rDS .ON/ .
Spannungen und Ströme ein. Im Schalterbetrieb Jeder Source-Anschluss bildet auf der Ober-
224 K. Bressler und H. Rudolph

n-Kanal-MOSFET. Man setzt P-Kanal MOSFET


nur dort ein, wo die Nachteile wenig stören oder
die Schaltung mit N-Kanal-MOSFET sehr um-
ständlich würde.
Tabelle 3.5 zeigt das Datenblatt eines
Leistungs-MOSFET. Das Datenblatt eines FET
oder MOSFET für kleine Signalgrößen sieht
im Prinzip ähnlich aus wie das eines bipolaren
Transistors. Beim Leistungs-MOSFET kommen
weitere Eigenschaften dazu, das normale Da-
tenblatt zeigt zusätzliche Daten. Üblich sind
Datenblätter in englischer Sprache.
Abb. 3.66 Aufbau eines n-Kanal-MOSFET (Ausschnitt)
Schwellspannung In den Datenblättern wird die
Schwellspannung UGS .th/ für einen Drainstrom
fläche des Chips eine charakteristische Vertie- von ID D 250 A definiert. Sie liegt zwischen
fung. 2 V UGS.th/ 4 V. Um die MOSFET direkt
Hergestellt werden vor allem die N-Kanal- von digitalen integrierten Schaltungen ansteuern
MOSFET. Diese werden mit Sperrspannun- zu können, die mit einer Versorgungsspannung
gen UDS .max/ bis zu 600 V angeboten. Oberhalb von 5 V arbeiten (z. B. die TTL- oder HCMOS-
300 V wird das Typenspektrum deutlich dün- Schaltkreise), wurden sogenannte Logik-Pegel-
ner. Die maximale Verlustleistung PV liegt bei MOSFET entwickelt. Für diese liegt die Schwell-
Transistoren mit einem Chip pro Gehäuse bei spannung UGS.th/ 2;5 V. Um einen MOSFET
PV 300 W selten bis zu 500 W. Meistens wer- als sehr niederohmigen Schalter zu betreiben,
den die MOSFET als Schalter eingesetzt, hier muss die Gate-Source-Spannung UGS deutlich
ist neben der erforderlichen Sperrspannung vor über der Schwellspannung liegen. In der Praxis
allem der Restwiderstand rDS.ON/ wichtig. Werte sind Werte im Bereich 8 V UGS 12 V ausrei-
bis herab zu 1 m sind möglich. chend.
Je höher die zulässige Sperrspannung eines
Leistungs-MOSFET ist, desto länger ist sein in- Schaltzeit Obwohl ein MOSFET nur durch
terner Kanal. Ein längerer Kanal bedeutet mehr Spannung gesteuert wird, muss bei jedem Schalt-
Widerstand, der nur durch einen größeren Quer- vorgang die Eingangskapazität Ciss umgeladen
schnitt, d. h. mehr kleine Einzeltransistoren wie- werden. Die Eingangskapazität Ciss hängt von
der verringert werden kann. Eine große Chipflä- der Größe des Chips, hauptsächlich aber von
che macht den Transistor teuer. Für hohe Span- der Drain-Source-Spannung UDS ab. Die Ka-
nungen und hohe Ströme nutzt man in der Leis- pazität Ciss wird bei UDS D 25 V sowie einer
tungselektronik deshalb häufig IGBT Halbleiter Gate-Spannung UGS D 0 V gemessen. Für
(Insulated Gate Bipolar Transistor). Dort steuert MOSFET-Leistungstransistoren erreicht die Ein-
ein kleiner FET einen großen bipolaren Leis- gangskapazität Ciss Werte bis zu CiSS 10 nF.
tungstransistor. Mit dieser Technologie können Die Schaltzeit tS wird maßgeblich durch die Zeit
hoher Strom und hohe Spannung mit einem viel bestimmt, die man zum Umladen des Gates be-
kleineren und deshalb preisgünstigen Transistor nötigt. Diese ist bei gegebenem Gatestrom direkt
geschaltet werden (Abschn. 5.2.3 IGBT). proportional zur Gate-Ladung Qg und beträgt
P-Kanal MOSFET haben schlechtere Eigen- tS D Qg =IG . Die Gate-Ladung Qg wird von den
schaften. Die zulässige Drain-Source-Spannung Herstellern zusätzlich zur Eingangskapazität Ciss
ist selten größer als 100 V, der Restwiderstand angegeben.
im Bereich 60 m bis 0,5 Ohm. Die Steilheit ist Die Ein- und die Ausschaltzeiten eines MOS-
mit 1 S bis 10 S, wesentlich geringer als beim FET vergrößert man in der Praxis durch das Ein-
3 Transistoren 225

Tab. 3.5 Datenblatt eines Leistungs-MOSFET


Deutsche Bezeichnung Wert Englische Bezeichnung
Kurzdaten Quick Reference Data
Drain-Source-Spannung VDSS Drain-to-Source Voltage
Kleinster Drain-Source-Widerstand RDS.on/ Drain-to-Source On-Resistance
Drain-Strom ID Continuous Drain Current
Typ: n-Kanal oder p-Kanal Type; n-Channel or p-Channel
Anwendung Application
Gehäuse D-Pak Case
Grenzwerte Maximum Ratings
Drain Dauerstrom ID Continuous Drain Current
Drain Impuls-Spitzenstrom IDM Pulsed Drain Current
Verlustleistung Ptot Maximum Power Dissipation
Drain-Source-Durchbruchspannung V.BR/DSS Drain-to-Source Breakdown Voltage
Gate-Source-Spannung VGS Gate-to-Source Voltage
Impulsenergie einmaliger Lawinendurchbruch EAS Single Pulse Avalanche Energy
Impulsenergie wiederholter Lawinendurchbruch EAR Repetitive Avalanche Energy
Zulässiger Strom beim Lawinendurchbruch IAR Avalanche Current
Erholgeschwindigkeit der Substratdiode dv=dt Peak Diode Recovery
Lagertemperatur Tj Storage temperature
Sperrschichttemperatur TA Junction temperature
Arbeitstemperaturbereich TS Operating ambient temperature
Löttemperatur und -zeit Soldering temperature and time
Kennwerte Electrical Characteristics
Therm. Widerstand Sperrschicht-Umgebung Rth;J-A Thermal resistance junction to ambient
Thermischer Widerstand Sperrsch.-Gehäuse Rth;J-C Thermal resistance junction to case
Einschaltwiderstand RDS.on/ Drain-to-Source On-Resistance
Gate Schwellspannung VGS.th/ Gate Threshold voltage
Vorwärtssteilheit gfs Forward Transconductance
Drain-Source-Reststrom IDSS Drain-to-Source Leakage Current
Gate-Source-Reststrom IGSS Gate-to-Source Leakage Current
Gateladung Qg Total Gate Charge
Verschiedene Verzögerungszeiten t Different Delay Times
Eingangskapazität CISS Input Capacitance
Ausgangskapazität COSS Output Capacitance
Kennwerte der Substratdiode Diode Characteristics (Body Diode)
Zulässiger Dauerstrom der Substratdiode IS Continuous Source Current
Zulässiger Impulsstrom der Substratdiode ISM Pulsed Source Current
Durchlassspannung der Diode VSD Diode Forward Voltage
Erholzeit nach Stromfluss in der Diode trr Reverse Recovery Time
Zulässiger Ausräumstrom der Sperrschicht IRPM Maximum Reverse Recovery Current
Zahlreiche Diagramme bei Arbeitspunkt abhängigen Größen
Zeichnung des Gehäuses und der empfohlenen Lötflächen auf der Leiterplatte
Referenzliste: Zuordnung der vollständigen Halbleiterbezeichnung zu den zwei bis drei aufgedruckten Zeichen des
Kurzcodes, sofern das Gehäuse sehr klein ist.
Angaben zum Fertigungsstatus: Vorläufige Daten einer Neuentwicklung, laufende Fertigung, nicht für Neuentwicklun-
gen oder abgekündigt.
226 K. Bressler und H. Rudolph

Um bei hohen Anstiegsgeschwindigkeiten


(du=dt) der Drain-Source-Spannung ein unbe-
absichtigtes Einschalten des Transistors über
die Miller-Kapazität Cgd (Abschn. 3.2.1.5) zu
verhindern, muss der Treiber selbst dann nieder-
ohmig sein, wenn der Transistor gesperrt sein
soll. Aus diesem Grund ist in der Regel ein Wi-
derstand zwischen dem Gate und der Source
(RGS ) erforderlich.
Abb. 3.67 Gateladung Qg in Abhängigkeit von der Gate-
Gate-Source-Überspannungen Die maxima-
Source-Spannung UGS
le Gate-Source-Spannung UGS max darf man auf
keinen Fall überschreiten. Sie beträgt meistens
UGS max D ˙20 V, beim Logik-Pegel-MOSFET
fügen eines Gate-Widerstandes RG in die Gate-
ist sie auf UGS max D ˙10 V begrenzt. Die
leitung, wobei Werte im Bereich 10  RG
MOSFET sind gegenüber elektrostatischen Ent-
50  üblich sind. Diese Widerstände verringern
ladungen (engl.: ElectroStatic Discharge D ESD)
auch die Neigung zu unerwünschten Schwingun-
empfindlich (Abschn. 3.5.7). Gefährdet ist die
gen.
sehr dünne Siliciumdioxid-Schicht (SiO2 ), mit
der die Gate-Metallisierung isoliert ist. Obwohl
Treiber Zur praktischen Dimensionierung vor allem bei größeren Chips die vergleichs-
des Treibers ist nicht die Eingangskapazität weise hohe Eingangskapazität Ciss die mögliche
Ciss , sondern die Größe der gesamten Gate- Gefährdung verringert, sollte man die von den
ladung Qg maßgeblich. Die Gateladung Qg Herstellern empfohlenen Schutzmaßnahmen
ist die Ladungsmenge, die unter betriebsna- auch beim Umgang mit MOS-Bauelementen
hen Bedingungen zum Ein- und Ausschalten beachten. Auch in einer praktischen Schaltung
des MOSFET erforderlich ist. Der zum Umla- sollte die Gate-Source-Spannung UGS einen
den des Gates erforderliche Strom erzeugt im deutlichen Abstand von UGS max haben, da sonst
Gate-Serienwiderstand und in dem Treiber die die Zuverlässigkeit des MOSFET beeinträch-
Verlustleistung PV D Qg  UGS max  fS . tigt wird. Beispielsweise verringert sich die
In Abb. 3.67 ist die gesamte Gateladung Qg in Fehlerrate um etwa 105 , wenn man bei einer
Abhängigkeit von der Gatespannung UGS mit der Chiptemperatur von Tj D 150 ı C die Gate-
Drain-Source-Spannung UDS als Parameter bei Source-Spannung von 20 V auf 10 V herabsetzt.
konstantem Drainstrom ID für zwei Transistor-
typen verschiedener Hersteller angegeben. Ob- Maximaler Drain-Strom MOSFET-Leistungs-
wohl beide Transistoren die gleichen Grundda- transistoren können sehr hohe Ströme schalten.
ten haben (die maximale Drain-Source-Spannung Der in den Datenblättern als gepulster Drain-
beträgt UDS D 200 V und der Drain-Source- Strom IDM angegebene Maximalstrom darf
Einschaltwiderstand beträgt rDS.ON/ D 0;1 , ausgenutzt werden, weil sich der Strom im
sind die großen Differenzen bei der Auslegung ganzen Halbleiter gleichmäßig verteilt. In der
der Treiberschaltung zu berücksichtigen. Praxis wird der maximale Drain-Strom durch
Typische Tabellenwerte der Gate-Ladung Qg die Erwärmung des Kristalls, die maximal zu-
für die Leistungs-MOSFET schwanken in dem lässige Gate-Source-Spannung sowie die interne
Bereich 8 nC Qg 200 nC. Zum schnellen Kontaktierung des Transistors (Bonddraht und
Umladen des Gates muss die Treiberschaltung die Metallisierung des Source-Anschlusses) be-
in der Lage sein, vergleichsweise hohe Spitzen- grenzt. Dabei fließt der Drainstrom über den
ströme (IG j˙1 Aj/ abzugeben und aufzuneh- meist großflächigen Drainanschluss, Hochstrom-
men. MOSFET können mehrere Sourceanschlüsse
3 Transistoren 227

haben, auf die sich der hohe Strom verteilt. Bei gleichmäßige Stromaufteilung unter den Transis-
einzelnen Hochstromtransistoren sind im s- toren erreichen.
Bereich bis zu 1000 A ohne Schaden möglich.
Faustregel: Der Strom in einem Leistungs-
Einschaltwiderstand rDS.ON/ Der Einschalt-
MOSFET kann so hoch sein, wie es sein Kühl-
widerstand rDS .ON/ ist einer der wichtigsten
system zulässt.
Parameter eines als Schalter betriebenen MOS-
In den Datenblättern wird der maximale
FET. Der Temperaturkoeffizient ˛ ist positiv
Drain-Strom angegeben, der für eine Gehäu-
und schwankt im Bereich 0;7 % K1 ˛
setemperatur TC D 25 ı C zutreffend ist. Für
1;8 % K1 . Den positiven Temperaturkoeffizi-
nicht gepulsten Drain-Strom sind Werte von
enten darf man vor allem bei hohen Umge-
90 ı C TC 100 ı C praxisgerecht. Der aus-
bungstemperaturen nicht vernachlässigen, da
nutzbare Drain-Strom ID ist
hierdurch die Verlustleistung ansteigt. Im Ex-
s tremfall führt dies zum thermischen Weglaufen
Tj max  TC
ID D : (3.66) des Transistors und damit zu seiner Zerstö-
rDS (ON) Rth (JC) rung.

Hierbei sind: Tj max die maximal zulässige Chip- Avalanche-Durchbruchspannung Moderne


temperatur, TC die Gehäusetemperatur, rDS .ON/ MOSFET halten einen Betrieb im Avalanche-
der Einschaltwiderstand und Rth .JC/ der thermi- Durchbruch aus, der sich periodisch wiederholen
sche Widerstand des MOSFET zwischen dem darf. Der Avalanche Durchbruch ist ein kontrol-
Chip (im Angelsächsischen als junction bezeich- lierter Lawinendurchbruch im Halbleiter. Wird
net) und dem Gehäuse (engl.: case). die Drain-Source-Spannung zu weit überschrit-
Die maximale zulässige Chiptemperatur be- ten, dann schwillt der Strom in dieser Strecke
trägt Tj 150 ı C. Für neuere MOSFET-Typen lawinenartig auf den nur extern begrenzten Wert
mit einer Durchbruchspannung UDS.max/ 100 V an. Da MOSFET meistens kurzzeitig einen sehr
sind Chiptemperaturen von Tj 175 ı C. zuläs- hohen Stoßstrom aushalten kann, wird der Halb-
sig. Für pulsförmige Belastungen muss man die leiter dabei nicht geschädigt. Beim Avalanche
vom Hersteller angegebene thermische Impedanz Durchbruch fließt ein Strom, gleichzeitig liegt
des betreffenden Transistors zur Ermittlung der eine hohe Spannung am Chip. Diese Arbeit er-
Chiptemperatur heranziehen. Die zum Schalten wärmt den Halbleiter schnell. Der Hersteller gibt
von hohen Drain-Strömen erforderlichen Gate- im Datenblatt die Arbeit (in mJ) für einmaligen
Source-Spannungen sollten aus Gründen der Zu- und periodischen Durchbruch an. Der Stoßstrom
verlässigkeit aber immer deutlich unter UGS < muss durch externe Maßnahmen auf den zulässi-
20 V liegen. gen Wert begrenzt werden.
Deshalb können MOSFET ohne Beeinträchti-
Parallelschalten Da die MOSFET im „gesät- gung die beispielsweise von Streuinduktivitäten
tigten Betrieb“ einen Widerstand (rDS .ON/ D L verursachten Spannungsspitzen kappen.
konstant) darstellen, lassen sich diese parallel Die vom Transistor durch die Streuinduktivi-
schalten. Allerdings müssen die Gates voneinan- tät zusätzlich aufgenommene Verlustleistung
der entkoppelt werden, um ein Schwingen zu ver- (P D L  iO2  fS ) ist bei der Berechnung der Ge-
meiden, welches die Transistoren zerstört. Dies samtverluste zu berücksichtigen. Durch die vom
kann durch einen Serienwiderstand (RS
4;7 ) Hersteller garantierte Avalanche-Festigkeit der
in jeder Gate-Leitung, aber auch durch separate MOSFET kann man auf eine Bedämpfung (RC-
Treiber geschehen. Erleichtert wird das Parallel- und RCD-Glieder, fWiderstand R, Kondensator
schalten der Transistoren durch ihren positiven C und Diode Dg verzichten, wenn diese nicht
Temperaturkoeffizienten ˛ des Kanals. Bei der zum Erreichen der vorgeschriebenen Grenzwerte
thermischen Kopplung kann man dadurch eine zur Funkentstörung notwendig sind.
228 K. Bressler und H. Rudolph

a b c d

Abb. 3.68 Ersatzschaltbild eines MOSFET mit parasitärem Bipolartransistor

Sperrerholzeit der Substratdiode Wird ein dem ungewollt eingeschalteten Transistor (para-
MOSFET mit inverser Spannung betrieben, dann sitärer npn-Transistor) in Serie liegt und beide
wird die Substratdiode (der pn-Übergang zwi- die Versorgungsspannung kurzschließen. Abbil-
schen Source und Drain) leitend. In Brückenschal- dung 3.68a zeigt den parasitären Transistor als
tungen ist dies häufig der Fall. Die Substratdiode zwei Dioden dargestellt.
ist zwar nutzbar, aber nicht sehr schnell. Sie lässt Abhilfe bringt eine interne Verbindung zwi-
sich nämlich nur recht langsam wieder ausschal- schen Substrat und Source. Es bleibt ein FET
ten, weil die Sperrverzögerungsladung Qrr groß mit einer nicht steuerbaren Diode übrig, die nor-
und deshalb die Sperrerholzeit trr hoch ist. malerweise gesperrt ist. Die Transistorfunktion
Der MOSFET ist auf dem Halbleitersubstrat ist verschwunden (Abb. 3.68c). Diese Darstel-
(engl.: bulk) aufgebaut. Die pn-Übergänge zwi- lung ist häufig in den Datenblättern zu finden
schen dem Kanal, Drain und Source, isolieren die (Abb. 3.68d).
Elektroden vom Substrat durch in Sperrrichtung Bei der Auswahl der MOSFET für Brücken-
gepolte Dioden (Abb. 3.68a). Diese Anordnung schaltungen (z. B. für Stromversorgungen und
kann in ungünstigen Fällen als bipolarer Transis- Motorsteuerungen) ist darauf zu achten, dass
tor wirken, der dem FET parallel geschaltet ist die Substratdiode eine kleine Sperrerholzeit trr
(Abb. 3.68b). Vor allem während der Sperrerhol- besitzt. Außerdem soll eine hohe Spannungs-
zeit der Substratdiode kann man diesen Transistor Anstiegsgeschwindigkeit du=dt (engl.: di-
bei großen Spannungs-Anstiegsgeschwindig- oderecovery, dv=dt) während der Dioden-
keiten du=dt durch seine Miller-Kapazität Cds Sperrerholzeit garantiert werden. Beispielsweise
einschalten, wenn der interne Basis-Emitter- ist für 230 V-Anwendungen bei 50 Hz eine Sperr-
Widerstand rbe nicht niederohmig genug ist, um erholzeit trr 300 ns und ein du=dt
3 V=ns
einen Basisstrom zu verhindern. In Brücken- ausreichend.
schaltungen sind stets zwei Transistoren in Reihe
geschaltet, einer davon muss sicher aus sein. Hier Bemerkungen zur Auswahl von Transisto-
kann das Einschalten des parasitären Transis- ren Die Halbleiterhersteller bieten eine große
tors des gesperrten MOSFET zur Zerstörung des Vielzahl unterschiedlicher Transistoren mit sehr
leitenden MOSFET führen, weil der gewollt ein- verschiedenen Eigenschaften an. Dabei sind stets
geschaltete Transistor (der MOSFET selbst) mit ein oder mehrere Parameter auf Kosten der übri-
3 Transistoren 229

gen optimiert. Der Halbleiter muss die wichtigen Wegen der vergleichsweise großen Gatela-
Daten, beispielsweise Drain-Source-Spannung, dung Qg der Leistungs-MOSFET mit vertikaler
Drainstrom, und Verlustleistung mit etwas Reser- Struktur und der damit verbundenen Verluste bei
ve garantieren. Bei 70 % Ausnutzung erhält man hohen Schaltfrequenzen einerseits und wegen
eine gute Zuverlässigkeit. Die übrigen Daten des recht hohen Aufwandes andererseits, ist die
müssen nicht wesentlich besser sein als not- Anwendung von pulsbreitengesteuerter Technik
wendig. Beispiel: bei einem Transistor, der 1 A nicht immer vorteilhaft. Hier bieten sich Schal-
schalten soll, reichen 50 m bis 100 m EIN- tungen an, bei denen die MOSFET im linearen
Widerstand gut aus. Die dadurch verursachten Bereich arbeiten (s. Ausgangskennlinienfeld des
Verluste müssen mit den übrigen Verlusten ein n-Kanal-MOSFET in Abb. 3.53b).
vernünftiges Verhältnis bilden. Ein Transistor
mit 1 m EIN-Widerstand ist zwar lieferbar,
aber teuer. Außerdem erfordert seine große Gate- 3.4.10 MOSFET-Leistungstransistoren
Kapazität eine unnötig starke Ansteuerschaltung für analoge Verstärker
mit viel höheren Verlusten.
Der Schaltungsentwickler muss nach der ers- Obwohl die meisten Leistungs-MOSFET haupt-
ten überschlägigen Dimensionierung auch die sächlich für Anwendungen als Schalter vorgese-
Nebeneffekte prüfen und die Dimensionierung hen sind, eignen sie sich auch für analoge Verstär-
bei Bedarf korrigieren. ker. Bei kleinen Leistungen kann der MOSFET
Auf die bei fast allen Parametern schlech- im A-Betrieb arbeiten. Dabei wird der Signal-
teren P-Kanal-MOSFET wurde am Anfang von wechselstrom zum Ruhestrom des Verstärkers
Abschn. 3.4.9 hingewiesen. Man setzt P-Kanal addiert, so dass der Strom im FET immer po-
MOSFET nur dort ein, wo die Nachteile wenig sitiv ist (Abb. 3.69a). Dieser Ruhestrom verur-
stören oder die Schaltung mit n-Kanal-MOSFET sacht nicht nur eine hohe Verlustleistung, er ist
sehr umständlich würde. häufig auch in der Nutzlast unerwünscht (z. B.
bei einem Lautsprecher). Beim B-Betrieb wird
Anwendungsbeispiele für die MOSFET als die positive und die negative Halbwelle des Si-
Schalter Von den zahlreichen Anwendungs- gnalstromes über verschiedene Transistoren aus
möglichkeiten seien nur einige genannt: zwei Betriebsspannungen unterschiedlicher Po-
Getaktete Stromversorgungen, Frequenzum- larität verursacht (Abb. 3.69b). Dabei erzeugt
richter für Motorsteuerungen, Wechselrichter, ein Transistor einen Strom in der Nutzlast, wäh-
Ultraschallgeneratoren, Induktionsheizungen, rend der andere Transistor in dieser Halbwelle
Hochfrequenz-Schweißgeräte, Klasse-D-Nie- stromlos ist. Dieser Betrieb wird auch als Gegen-
derfrequenzverstärker, amplitudenmodulierte taktbetrieb bezeichnet.
Sender und Halbleiterrelais für Gleichspannung. Eine Verstärkerendstufe für B-Betrieb lässt
Die in den Beispielen genannten Geräte ar- sich gut aus zwei komplementären MOSFET
beiten nach dem gleichen Prinzip: Die Hüllkurve aufbauen. Ein Paar komplementärer MOS-
der Ausgangsspannung wird durch Änderung der FET besteht aus einem n-Kanal- und einem
Pulsbreite einer Spannung mit konstanter Am- p-Kanal-MOSFET, deren übrige Daten mög-
plitude gesteuert. Nachgeschaltete Filter dienen lichst übereinstimmen, damit die positive und die
zur Mittelwertbildung und sieben den hochfre- negative Halbwelle gleich behandelt werden. Die
quenten Anteil aus. Um eine bipolare Wechsel- Stromübernahme im Nulldurchgang des Aus-
spannung zu erhalten, sind Gegentaktschaltungen gangsstroms führt leicht zu Verzerrungen und
erforderlich, die meistens als Brücke ausgefüllt Störungen, weshalb ein geringer Ruhestrom von
sind. Jede Halbwelle wird mit jeweils einem der der positiven Versorgungsspannung über beide
beiden Brückenzweige erzeugt, das heißt, dass Transistoren in die negative Versorgungsspan-
jeder der beiden Zweige für die Zeitdauer einer nung fließt. Bei kleiner Aussteuerung arbeiten
Halbperiode aktiv ist. beide Transistoren im A-Betrieb, während bei
230 K. Bressler und H. Rudolph

potenzial (Massepotenzial) für beide Spannungen


liegt an der Last.
Der Verstärker arbeitet im sogenannten AB-
Betrieb. Solange keine Ansteuerung durch ein
Nutzsignal Ue vorhanden ist, fließt durch beide
Transistoren (T1 und T2 ) lediglich ein kleiner Ru-
hestrom IR . Bei vorhandenem Nutzsignal wird
jeder der beiden Transistoren von jeweils nur
einer Halbwelle durchgesteuert. Während einer
positiven Halbwelle wird der mit seinem Drain
an der positiven Versorgungsspannung (CUS ) lie-
gende n-Kanal-MOSFET T1 aktiv; der darunter
liegende p-Kanal-MOSFET T2 dagegen wird fast
vollständig gesperrt. Eine negative Halbwelle be-
wirkt eine Spiegelung des Vorgangs im p-Kanal-
MOSFET, der die Last RL mit Hilfe der negativen
Spannung US treibt.
In Abb. 3.70 bilden die Widerstände R1 und
R2 beziehungsweise R3 und R4 Spannungstei-
Abb. 3.69 Betriebsarten analoger Verstärker ler, welche die Gate-Source-Spannungen UGS1
und UGS2 im Ruhezustand bestimmen. Mit
den Trimmwiderständen R2 und R3 werden die
größerer Aussteuerung jeweils nur einer der bei- Gate-Source-Spannungen UGS1 und UGS2 so
den Transistoren aktiv und der andere stromlos eingestellt, dass durch beide Transistoren ein
ist (B-Betrieb). Deshalb spricht man von einem kleiner, aber gleich großer Drainstrom fließt
AB-Betrieb. (z. B. IR 100 mA für einen 60-W-Verstärker).
In Schaltungen, wie in Abb. 3.69 gezeigt, ver- Durch den Ruhestrom vermeidet man den Betrieb
wendet man komplementäre MOSFET in Drain- der Transistoren im stark nichtlinearen Bereich
Schaltung. Diese wird auch als Spannungsfol- der Übertragungskennlinie (Abb. 3.53a) (z. B.
ger oder Source-Follower bezeichnet. Die Span- mit Drain-Source-Spannungen, die im Bereich
nungsverstärkung der Drain-Schaltung ist klei- der Schwellspannungen liegen). Obwohl die
ner als eins, so dass eine Schwingneigung auf- Gleichspannungs-Potenziale der beiden Gates
grund unerwünschter Mitkopplungen leicht zu gegeneinander verschoben sind, werden sie über
unterdrücken ist. Zusätzlich vermeidet man ei- die beiden Koppelkondensatoren C1 und C2
ne unerwünschte gegenseitige kapazitive Kopp- wechselspannungsmäßig parallel geschaltet.
lung über einen auch als Montagefläche dienen- Die Widerstände R5 und R6 bringen die
den Kühlkörper, da die Drainanschlüsse jeweils nötige Gate-Spannung vom Teiler zu den
auf dem Versorgungs-Spannungspotenzial (CUS Gateanschlüssen. Da das Gate frei von Gleich-
bzw. US ) ruhen. strom ist, reichen hochohmige Widerstände
Um die Last RL (z. B. einen Lautsprecher) aus. Der Hochpass aus C1 und R5 hat ei-
direkt, d. h. ohne zusätzlichen in Serie liegen- ne niedrige Grenzfrequenz. Die Bedämpfung
den Koppelkondensator, anschließen zu können, durch den Gate-Spannungsteiler kann man
benötigt eine Schaltung nach Abb. 3.69 zwei durch die Verwendung einer Bootstrapschal-
gleich große Versorgungsspannungen, eine posi- tung (Abb. 3.33) vermindern. Bei der Schaltung
tive CUS und eine negative US . Die Last RL nach Abb. 3.70 würde eine Überbrückung der
selbst liegt im Brückenzweig zwischen der Leis- Abgleich-Widerstände R2 und R3 mit je einem
tungsendstufe und den beiden Versorgungsspan- Kondensator ausreichen, da diese bereits auf
nungen CUS und US . Das gemeinsame Bezugs- die Ausgangsspannung UA aufgestockt sind. Ei-
3 Transistoren 231

Abb. 3.70 Schaltung einer MOSFET-Gegentakt-


Endstufe

ne weitere Möglichkeit, eine Bedämpfung des


Nutzsignals zu vermeiden, besteht im Ersatz des
Widerstands R1 durch eine Konstantstromquelle Abb. 3.71 Übertragungskennlinien eines Verstärkers
bzw. von R4 durch eine Konstantstromsenke.
In Abb. 3.70 ist ein Vorverstärker schema-
tisch dargestellt. Dieser soll nicht nur die Ein- durch eine Gegenkopplung deutlich vermindert.
gangsspannung Ue verstärken, sondern auch eine Damit werden auch die Verzerrungen mit dem
Gegenkopplung über den gesamten Verstärker er- Grad der Gegenkopplung kleiner. Gute Verstär-
möglichen. Mit Hilfe der Gegenkopplung werden ker weichen wenig von der schwarzen Ideallinie
Nichtlinearitäten vor allem bei der Stromüber- ab. Weiterhin sollen die Verstärkung im wichti-
nahme im B-Betrieb und durch die nichtlinea- gen Frequenzbereich und die Laufzeit durch den
ren Kennlinien der Leistungstransistoren deutlich Verstärker konstant sein. Hierdurch kann man
verringert (Abschn. 3.5.1). nicht sinusförmige Signale, die aus mehreren Fre-
quenzen bestehen, unverformt übertragen (z. B.
im Audio-Verstärker das Frequenzgemisch von
Sprache oder Musik).
3.5 Lineare und nichtlineare Ein Beispiel soll die möglichen Auswirkun-
Verstärker gen einer nichtlinearen Übertragungskennlinie
verdeutlichen. Die Kennlinie Ua D f .Ue / lässt
3.5.1 Wichtige Eigenschaften linearer sich durch eine ganze rationale Funktion höherer
Verstärker Ordnung annähern:

Ein idealer Verstärker verstärkt das Eingangs- Ua D a  Ue C b  Ue2 C c  Ue3 C : : : : (3.67)


signal um einen konstanten Faktor, unabhängig
von seiner Größe (gemäß der schwarzen Übertra- Beim idealen Verstärker sind alle Koeffizienten
gungskennlinie in Abb. 3.70). außer a gleich null.
Der reale Verstärker weicht je nach Güte mehr
oder weniger von dieser Kennlinie ab, wie die ro-
te Kennlinie in Abb. 3.71 zeigt. Eine nichtlineare 3.5.2 Herleitung
Übertragungskennlinie verformt die Signale und der Oberschwingungen
erzeugt (meist unerwünschte) Oberschwingun- und der Mischprodukte
gen der Nutzfrequenzen. Die Güte des realen Ver-
stärkers wird besser, wenn man seine Grundver- Wird eine Wechselspannung, die nur eine Fre-
stärkung höher als erforderlich wählt und danach quenz enthält, in einem Verstärker mit gekrümm-
232 K. Bressler und H. Rudolph

dulation. Neben der Ausgangsleistung und dem


Frequenzbereich sind der Klirrfaktor und die In-
termodulation wichtige Beurteilungskriterien für
Audio-Verstärker. Sie sind auch auf andere Ver-
stärker anzuwenden.

3.5.3 Messverfahren zur Beurteilung


von Verstärkern

Breitbandige Verstärker werden häufig mit einem


Dreieck- oder Rechtecksignal in einer Schaltung
nach Abb. 3.73a geprüft.
Der Spannungspegel und die Frequenz (ein-
schließlich der erwünschten Oberschwingungen)
müssen vom Verstärker noch gut verarbeitet wer-
Abb. 3.72 Frequenzspektrum der Ein- und Ausgangssi- den können. Das Ein- und das Ausgangssignal
gnale eines Verstärkers untersucht man auf dem Oszilloskop auf Verzer-
rungen, indem man beide Signale miteinander
vergleicht (Abb. 3.73b). Dieses Verfahren kann
ter Kennlinie verstärkt, dann entstehen Vielfa- stufenweise erfolgen und es erlaubt Rückschlüs-
che der Grundfrequenz, sogenannte harmonische se auf die Ursache der Verzerrung. Bei kleinen
Frequenzen (Abb. 3.72). Verzerrungen (unter 1 %) lässt sich die Abwei-
Die Summe aller im Verstärker erzeug- chung optisch nicht mehr ablesen. Für kleine-
ten Harmonischen wird auf die Spannung der re Verzerrungen oder schmalbandige Hochfre-
Grundfrequenz bezogen und als Klirrfaktor K quenzverstärker eignet sich die Schaltung nach
bezeichnet. Der Name beschreibt die charakteris- Abb. 3.74.
tische Klangveränderung durch Audio-Verstärker Der Verstärker wird mit einem sinusförmigen
mit gekrümmter Übertragungskennlinie. Signal angesteuert und das Ausgangssignal mit
q einem selektiven Voltmeter angezeigt (das ist ein
U12 C U22 C U32 C : : : Voltmeter, das nur Wechselspannungen einer be-
KD  100% : (3.68) stimmten, vorher eingestellten Frequenz misst).
U0
Die Ergebnisse kann man in ein Diagramm wie
Werden gleichzeitig zwei oder mehr unterschied- Abb. 3.72b eintragen. Noch schneller und beque-
liche Frequenzen verstärkt, dann werden diese mer misst ein Spektrum-Analysator (Abb. 1.63
Frequenzen untereinander gemischt, d. h. es tre- in Abschn. 1.7), der häufig in der Hochfrequenz-
ten alle Summen und Differenzen der Ausgangs- messtechnik eingesetzt wird, aber wegen seines
frequenzen auf. Dieser Vorgang heißt Intermo- hohen Preises für gewöhnliche Anwendungen

Abb. 3.73 Messschaltungen für lineare Verstärker


3 Transistoren 233

Abb. 3.74 Messschaltung für kleine Verzerrungen

Abb. 3.76 Verstärker mit Rückkopplung

3.5.5 Aufbau linearer Verstärker in der


Praxis

Häufig arbeiten richtig dimensionierte Verstärker


oder andere erfolgreich erprobte Linearschaltun-
gen nicht einwandfrei, weil beispielsweise ein
neuer ungeschickter Aufbau oder eine nicht aus-
reichend durchdachte Leitungsführung innerhalb
der Schaltung unerwünschte Wechselwirkungen
verursachen.
Ein Verstärker soll nur das von außen zuge-
führte Signal verstärken und am Ausgang wieder
abgeben. Trotzdem gelangt immer ein kleiner
Teil des Ausgangssignals auf unerwünschte Wei-
Abb. 3.75 Mischen zweier Frequenzen an einer quadra- se an den Eingang zurück, so dass eine Rück-
tischen Kennlinie kopplung entsteht, wie Abb. 3.76 zeigt.
Das Signal wird zuerst um den Faktor v ver-
stärkt und danach um den Koppelfaktor k ab-
selten zur Verfügung steht. Der Spektrum- geschwächt. Wenn das Produkt k  v > C1
Analysator schreibt direkt ein in dB geeichtes ist, dann entsteht am Eingang ein größeres Si-
Diagramm wie Abb. 3.72b auf dem Bildschirm. gnal, das nochmals verstärkt wird und den Kreis
Die Bezugsgröße ist meistens 1 mW D 0 dBm . aus Verstärker und Rückkoppelstrecke solange
durchläuft, bis das Ausgangssignal an die Aus-
steuergrenze stößt. Eine Rückkopplung, welche
3.5.4 Nichtlineare Verstärker die Verstärkung erhöht, bezeichnet man als Mit-
kopplung. Sind der Verstärker und die Rückkopp-
Es gibt Verstärker, die absichtlich nichtlinear lung rein gleichspannungsgekoppelt, dann bleibt
sind, um eine bestimmte Signalverarbeitung zu das Signal am Verstärkerausgang an der positi-
erreichen. Dazu gehören FET-Verstärker, de- ven oder negativen Aussteuergrenze stehen und
ren quadratische Übertragungskennlinie sich gut es kann kein Nutzsignal mehr verstärkt werden,
zum Mischen zweier Frequenzen eignet, weil z. B. beim Schmitt-Trigger (Kap. 8, Operations-
sich an ihr keine Mischprodukte 3. Ordnung bil- verstärker). In den meisten Fällen kommt die
den (Abb. 3.75). Da die Signale auf der Kennlinie Rückkopplung über parasitäre Kapazitäten zu-
addiert werden, heißt das Verfahren additive Mi- stande oder sie wirkt induktiv. Wenn der Ver-
schung. Dieser Mischer erzeugt die Summe und stärker die Aussteuergrenze erreicht hat, wird
die Differenz beider Frequenzen, weshalb un- die rückgekoppelte Spannung kleiner und kehrt
erwünschte Anteile im Allgemeinen mit einem bald ihr Vorzeichen um. Entsprechend ändert sich
nachgeschalteten Filter unterdrückt werden. auch die Ausgangsspannung von der bisher obe-
234 K. Bressler und H. Rudolph

Abb. 3.77 Signal- und Stromführung in einem mehrstu-


figen Verstärker
Abb. 3.78 Signal- und Stromführung in einem Hochfre-
quenzverstärker
ren Aussteuergrenze zur unteren und wieder zu-
rück. Der Verstärker schwingt mit der Frequenz, schließen sind, dann ist auch auf induktionsarme
für die das Produkt von Koppelfaktor k und Ver- Leitungen (kurz und großer Umfang der Leitung)
stärkung v, d. h. (k  v) am größten ist. Diese zwischen Verstärker und Abblockkondensator zu
Eigenfrequenz liegt oft viel höher als der Ar- achten. Der Kondensator bildet mit der Induktivi-
beitsfrequenzbereich des Verstärkers. Bei einem tät seiner Zuleitungen einen Reihenschwingkreis,
Verstärker ist das Schwingen durch eine geeigne- der oberhalb seiner Resonanzfrequenz induktiv
te Schaltung und den richtigen Aufbau sicher zu ist und dadurch wenig Siebwirkung zeigt. Der
vermeiden. Strompfad aus der Verstärkerstufe V1 und dem
Einstufige Niederfrequenzverstärker sind we- Abblockkondensator C1 (in Abb. 3.77 rot einge-
gen ihrer geringen Verstärkung unkritisch. Ein- zeichnet) soll eine möglichst kleine Fläche F1
stufige Hochfrequenzverstärker haben meistens umschließen. Dadurch sinkt nicht nur die In-
eine geringere Verstärkung, aber die hohe Ar- duktivität des ganzen Kreises, sondern auch die
beitsfrequenz verstärkt die Rückkopplung. Not- Fähigkeit, Störungen abzustrahlen und zu emp-
wendige Gegenmaßnahmen sind bei den mehr- fangen (U D F  d˚=dt). Wenn diese Siebkreise
stufigen Verstärkern beschrieben. keine gemeinsamen Strompfade haben, sondern,
Mehrstufige Verstärker haben eine große Ver- wie Abb. 3.78 zeigt, nur sternförmig über die
stärkung v. Es genügt ein sehr kleiner Koppel- Masse verbunden sind, ist die unerwünschte Ver-
faktor k, um die Schwingbedingung zu erfüllen. kopplung zwischen den Stufen minimal.
Deshalb muss die Schaltung entsprechend sorg- Die Signalpfade muss man auf die gleiche
fältig entkoppelt sein. Weise entkoppeln. Auch in diesem Fall sind ge-
Der Verstärker in Abb. 3.77 besteht aus drei meinsame Strompfade in den Maschen der Si-
hintereinander geschalteten Stufen. Die Versor- gnalpfade F4 und F5 zu vermeiden und, falls
gungsspannung jeder einzelnen Stufe muss mit das nicht möglich ist, wenigstens sehr nieder-
einem eigenen Abblockkondensator C1 bis C3 ohmig und induktionsarm zu halten. Ebenso sind
entkoppelt werden. Diese Kondensatoren verrin- die Flächen der Signalmaschen F4 und F5 klein
gern Störungen, die von der Versorgungsspan- zu halten, da sie wie Koppelspulen wirken. Be-
nung US in die einzelnen Verstärkerstufen ge- sonders gefährlich sind gemeinsame Strompfade
langen können. Sie verhindern weiterhin, dass der Signal- und der Stromversorgungskreise, da
von der Endstufe verursachte Änderungen der der Spannungsabfall direkt zur Eingangsspan-
Versorgungsspannung auf die Vorstufen zurück- nung addiert wird. Die Stromversorgung sollte
wirken. Die Widerstände R1 bis R3 verbessern man stets bei der ausgangsseitigen Verstärkerstu-
die Entkopplung, da über sie nur noch Gleich- fe anschließen.
strom fließt. Der notwendige Wechselstromanteil Diese Überlegungen gelten im Prinzip ge-
wird vom jeweiligen Abblockkondensator aufge- nauso für Hochfrequenzverstärker. Je höher die
bracht, der umso besser wirkt, je kürzer und je Arbeitsfrequenz ist, desto sorgfältiger sind die
niederohmiger seine Zuleitungen zum Verstärker Gleichstrom- und Signalpfade zu entkoppeln
sind. Wenn hochfrequente Spannungen kurzzu- (Abb. 3.78).
3 Transistoren 235

Hierbei koppelt man das Signal nicht gal- die Resonanzfrequenz, nur Widerstände können
vanisch, sondern induktiv an die nächste Stufe. Arbeit aufnehmen.
Über die gemeinsame Masse fließt nur noch der
Versorgungsgleichstrom. Die einzelnen Stufen 3.5.6 Schaltungstechnische
sind gut entkoppelt. Der Strompfad für den Ver- Besonderheiten
sorgungsstrom und den Abblockkondensator C2 gegengekoppelter Verstärker
(rot eingezeichnet) hat mit dem Eingangskreis F2
und dem Ausgangskreis F3 keinen gemeinsamen Ein Verstärker kann schwingen, wenn seine Ge-
Strompfad. Wenn die geometrischen Abmessun- genkopplung nicht richtig dimensioniert ist. Die
gen der Kreise klein sind, wird die unerwünschte Gegenkopplung soll das Ausgangssignal gegen-
Kopplung gering. phasig an den Eingang zurückführen, wo es die
In modernen Hochfrequenzverstärkern kop- Eingangsspannung Ue zum größten Teil kom-
pelt man häufig integrierte HF-Verstärkerstufen pensiert und damit das Eingangssignal des Ver-
über Kondensatoren. Die Stromzuführung und stärkers verkleinert. Das funktioniert bei Gleich-
der Signalweg haben dadurch einen gemeinsa- strom und niedrigen Frequenzen gut. Mit zuneh-
men Strompfad. Bei diesen Verstärkern erfolgt mender Frequenz verursacht die konstante Lauf-
die Signal- und Stromführung meistens auf ei- zeit im Verstärker einen steigenden Phasenwinkel
ner Seite der Leiterplatte, während die Rücksei- zwischen Ein- und Ausgangssignal. Die gegen-
te eine fast geschlossene Massefläche ist. Da- phasige Rückkopplung entspricht einer Phasen-
durch werden die gemeinsamen Strompfade so drehung um 180°, bezogen auf das Eingangssig-
niederohmig und induktionsarm, dass der entste- nal Uaf 1 (Abb. 3.79d). Abbildung 3.79 zeigt den
hende Spannungsabfall nicht mehr stört. Diese Übergang von der Gegenkopplung zur Mitkopp-
Fläche ist nicht nur eine hervorragende Masse, lung.
sie verringert auch elektrische Felder durch die Abbildung 3.79a zeigt einen beliebigen Ver-
Abschirmung und magnetische Felder durch Wir- stärker mit einer Gegenkopplung, Abb. 3.79b den
belstrombildung, so dass man Störstrahlungen Frequenzgang, d. h. die Verstärkung als Funktion
hinreichend klein halten kann. der Frequenz, und Abb. 3.79c den Phasengang,
d. h. die Phasendrehung als Funktion der Fre-
quenz. Die Phasendrehung nimmt in der Nähe
Schwingneigung verringern Manchmal neigen der Grenzfrequenz stark zu und erreicht bald
schon einstufige Transistorverstärker zu hochfre- 90°. Diese 90° werden zu den 180° der Gegen-
quenten Schwingungen. Sie werden von internen kopplung addiert, weshalb die Phasendrehung
Laufzeiten, Kapazitäten und Induktivitäten verur- jetzt 270° beträgt. In Abb. 3.79d sind der Be-
sacht, die normalerweise vernachlässigt werden. trag und die Phase der Ausgangsspannung Ua bei
Eine immer zutreffende Bedingung ist die hohe drei verschiedenen Frequenzen verdeutlicht. Mit
Stromverstärkung zusammen mit der hohen Tran- weiter steigender Frequenz kann die Phase im
sitfrequenz. Häufig kann diese Schwingneigung Verstärker oder im Gegenkopplungszweig wei-
nur in einem kleinen Teil des Arbeitsbereichs be- ter zunehmen, so dass die rückgekoppelte Span-
obachtet werden, der übrige Bereich ist stabil. nung die Eingangsspannung nicht mehr kompen-
Abhilfe bringen oft kleine Längswiderstände siert, sondern einen gleichphasigen Anteil ad-
in der Basisleitung. Sie bedämpfen den schwing- diert. Die Gegenkopplung hat sich in eine Mit-
fähigen Schaltungsteil indem sie Arbeit aufneh- kopplung verkehrt, und die Schaltung schwingt.
men, die nicht mehr zur Rückkopplung zur Verfü- Abhilfe schafft ein zusätzlicher Tiefpass, der die
gung steht. Mitunter helfen auch kleine Induktivi- Verstärkung bei hohen Frequenzen so weit ab-
täten (Drosseln) in der Kollektor- oder der Emit- senkt, dass das Produkt v  k < 1 ist, wenn
terleitung, Hochfrequenz kann sich durch den die Phasendrehung 180° erreicht. Schaltungen
erhöhten Längswiderstand schlechter ausbreiten. zur Schwingungserzeugung (Oszillatoren) wer-
Aber: Drosseln und Kondensatoren verschieben den bewusst mit einer Mitkopplung realisiert.
236 K. Bressler und H. Rudolph

Abb. 3.79 Übergang von der Gegenkopplung zur Mitkopplung

3.5.7 Vorsichtsmaßnahmen Folgende Vorsichtsmaßnahmen zum Schutz


beim Umgang mit Halbleitern gegen elektrostatische Aufladung (engl.: Electro-
Static Discharge, ESD) sollten beachtet werden:
Die meisten Halbleiter enthalten feine Struk-  Die zulässigen Spannungen zwischen den
turen. Schon kleine Spannungen erzeugen an Halbleiteranschlüssen dürfen niemals über-
den sehr dünnen isolierenden Schichten zwi- schritten werden, was nach Fertigstellung der
schen den internen Elektroden große Feldstärken. Schaltung durch die Beschaltung der einzel-
Wird die Feldstärke zu groß, dann wird die nen Halbleiter sicherzustellen ist. Vor und
Isolierschicht oder die Sperrschicht durchge- während der Verarbeitung sind große Span-
schlagen und dabei zerstört. Die Elektroden nungen vom Halbleiter fernzuhalten.
sind klein, dementsprechend gering ist ihre  Große Spannungen entstehen meistens durch
Kapazität und damit die Ladung zum Auf- Reibung, beispielsweise beim Gehen, wenn
bau einer hohen Spannung. Diese Halbleiter die Sohlen und der Fußboden gut isolieren
können leicht durch elektrostatisch aufgelade- oder durch Reiben der Kleidung auf dem Sitz.
ne Personen oder Werkzeuge zerstört werden. Wird der Abstand zwischen den verschieden
Dabei kann der Halbleiter sofort vollständig geladenen Schichten vergrößert, beispielswei-
zerstört oder nur teilweise geschädigt sein. se beim Aufstehen vom Sitz, bleibt zwar die
Letzteres kann man durch normales Prüfen oft Ladung erhalten, die Spannung steigt aber auf
nicht feststellen, weshalb diese Schäden be- viele kV. Je trockener die Luft ist, besonders
sonders heimtückisch sind. Allgemein gilt: je im Winter, desto besser laden sich die Schich-
feiner und dünner die Strukturen des Halbleiters ten auf. Alle Schutzmaßnahmen sollen ent-
sind und je besser er intern isoliert ist (MOS- weder die Ladungstrennung verhindern oder
Technologie), desto leichter wird er zerstört. auf Wegen außerhalb des Halbleiters einen La-
Bipolare Transistoren für mittlere und große dungsausgleich herbeiführen.
Leistungen sind vergleichsweise wenig gefähr-  Empfindliche Halbleiter werden in einer leit-
det. fähigen Verpackung geliefert. Dazu benutzt
3 Transistoren 237

man Metalle, leitfähige oder leitfähig be- Halbleiter berücksichtigt werden, kann eine klei-
schichtete Kunststoffe oder Pappbehälter, die ne Schaltung sehr viel Arbeit verursachen. Um
durch ihren Feuchtigkeitsgehalt schwach leit- die Arbeit zu erleichtern und zuverlässige Ergeb-
fähig sind. Halbleiter soll man auf Tischen nisse zu erhalten, wurde in den 1960er Jahren
mit einer geerdeten leitfähigen Oberfläche an der Universität Berkeley in Californien das
verarbeiten. Hierfür gibt es schwach leitfähi- Programm SPICE (Simulation Program with
ge Kunststoffbeläge, die auf einem geerdeten Integrated Circuit Interface) entwickelt. Dieses
Gitter aufgeklebt sind. Notfalls genügt auch Programm erleichtert die Schaltungsberechnung
ein unbehandelter Holztisch. Weiterhin soll- und macht mindestens einen Versuchsaufbau im
ten Sitze und Fußboden schwach leitfähig und Labor überflüssig. Die Vollversion des Programms
hochohmig geerdet sein, damit die Ladung ist sehr teuer, Einführungen mit einem Programm
von Personen und Geräten abfließen kann. eingeschränkter Leistung (bis 60 Schaltungsele-
Hierzu sind Schuhe mit leitfähigen Sohlen er- mente) sind aber schon günstig zu haben. Damit
forderlich. Sicherer, aber mitunter lästig und ist auch eine arbeitsintensive Einarbeitung mög-
deshalb inkonsequent angewandt, sind leit- lich. Das Programm ist unter dem Namen PSPICE
fähige Armbänder, die hochohmig (1 M/ bekannt. Wegen des großen Umfangs wird auf die
geerdet sind. Bei der Kleidung sollten gut iso- einschlägige Fachliteratur verwiesen.
lierende Stoffe, beispielsweise Kunstfasern,
Wolle, Seide und Gummi- oder Kunststoff- 3.6 Zur Übung
sohlen vermieden werden. Ü 3-1 Eine Emitterschaltung nach Abb. 3.28a ist
Wenn man diese Vorsichtsmaßnahmen bei mo- zu dimensionieren.
dernen Halbleitern, besonders MOS, nicht beach- Schaltungsdaten:
tet, dann ist mit „ungeklärten“ Bauteilausfällen  Versorgungsspannung US D 20 V,
und einer drastisch verminderten Zuverlässigkeit  Spannungsverstärkung vu D 20,
zu rechnen.  Laststrom vernachlässigbar,
Bei ungenügend geschützten Geräten können  RB1 < 1 M.
elektrostatische Entladungen zu vorübergehen- Transistordaten:
den Störungen führen. Dabei werden keine Tei-  ˇ D 300,
le (Halbleiter), sondern Informationen, beispiels-  ga D 30 S,
weise in Flip-Flops oder größeren Speichern, ge-  RBE D 12 k bei IC D 1 mA.
ändert. Wird diese Information erneuert, dann Gesucht:
ist der Fehler verschwunden. Diese Fehler be-  Werte der Widerstände RC , RE , RB1 , RB2 ,
zeichnet man auch als Softerrors. Ihre genauen  Eingangswiderstand Re und
Ursachen sind schwer zu ermitteln. Deshalb ist  Ausgangswiderstand Ra .
ein vorbeugender Schutz durch eine durchdachte
Leitungsführung, konsequentes Abblocken und Ü 3-2 Eine Emitterschaltung nach Abb. 3.28a ist
eventuell durch eine Abschirmung zweckmäßig. zu dimensionieren.
Hinweise über den Schutz vor ESD und Schaltungsdaten:
auf zugehörige Prüfverfahren sind in der IEC-  Versorgungsspannung US D 15 V,
Norm 801-4 zu finden.  Spannungsverstärkung vu D 10,
 Grenzfrequenz (3 dB) fg D 500 kHz,
 der Ausgang wird mit einer Last 10 kk100 pF
3.5.8 Rechnergestützte belastet,
Schaltungsberechnung  vom Kollektor fließt kein Gleichstrom in die
Last.
Die manuelle Berechnung der Transistorschal- Transistordaten:
tungen ist manchmal eine mühsame Fleißarbeit.  ˇ D 200,
Sollen viele Parameter und Nichtlinearitäten der  ga D 50 S,
238 K. Bressler und H. Rudolph

 fT D 300 MHz.
Gesucht:
 Werte der Widerstände RC , RE , RB1 , RB2 .

Ü 3-3 Eine Kollektorschaltung nach Abb. 3.32


ist zu dimensionieren.
Schaltungsdaten:
 RL D 16 ,
 US D 15 V,
 IR2 D 3 IB .
Transistordaten:
 ˇ D 50,
 ga D 200 S. Abb. 3.80 Ersatzschaltbild, mechanisch
Gesucht:
 Mittlere Gleichspannung am Emitter,
 Eingangswiderstand Re , oszillatoren in Kombination mit preisgünstigen
 Spannungsverstärkung bei RG D 100  und Synthesizer-ICs haben von wenigen Ausnahmen
bei RG D 0 . abgesehen die abstimmbaren LC-Oszillatoren er-
setzt. Deshalb werden in diesem Werk keine LC-
Oszillatoren mehr behandelt.
Ü 3-4 Eine Stromquelle nach Abb. 3.36 ist zu
dimensionieren.
Schaltungsdaten: 3.7.1 Grundlagen
 Versorgungsspannung US D 15 V,
 Strom IC D 25 mA, 3.7.1.1 Mechanische Analogien
 Spannungsbereich am Kollektor: 0 V bis 10 V. Der Schwingquarz ist ein Feder-Masse-System
Transistordaten: mit sehr hoher Güte, das durch eine angelegte
 ˇ D 100, Wechselspannung auf seiner Resonanzfrequenz
 ga D 50 S. über den Piezoeffekt zum Schwingen angeregt
Gesucht: wird. Ein Verstärker nimmt die Piezospan-
 Werte der Widerstände R1 , R2 , RE , nung auf und treibt den Quarz phasenrichtig
 dynamischer Innenwiderstand der Stromquel- zum Weiterschwingen an. Dabei werden die
le Ri , sehr geringen inneren Reibungsverluste durch
 größte Verlustleistung des Transistors Pmax . die von außen zugeführte Arbeit ersetzt. Der
Quarz schwingt kontinuierlich mit konstan-
ter Amplitude. Der angeregte Quarz schwingt
3.7 Quarzoszillatoren mechanisch und elektrisch. Das mechanische
Modell des Quarzes in Abb. 3.80 kann mit ei-
Wenn die Stabilität von LC-Oszillatoren besser nem Masse-Feder-System verglichen werden,
als 1 % sein soll, kostet das den Entwickler erheb- wie es beispielsweise auch die Unruhe einer me-
liche Anstrengungen. Selbst einfachste Quarz- chanischen Uhr darstellt. Wenn man das aus der
oszillatoren kommen auf eine Frequenzstabili- Masse m1, der Feder c1, dem Dämpfer d1 und
tät von besser als 0,01 % oder 100 ppm (104 ). der parallel-geschalteten Feder co bestehende
Das erklärt zusammen mit dem meist günsti- System in jeder Periode wenig anregt, schwingt
gen Preis, warum heute fast alle LC-Oszillato- es mit seiner Eigenresonanz weiter.
ren durch Quarzoszillatoren ersetzt wurden. Der Das vereinfachte elektrische Ersatzschaltbild
Quarzoszillator besteht aus einem rückgekoppel- eines Quarzes in Abb. 3.81 zeigt die Ersatzpa-
ten Verstärker mit dem Quarz als hochgenau- rameter L1 , C1 , R1 und Co des Quarzes, wie
es, die Frequenz bestimmendes Element. Quarz- sie im Quarzdatenblatt angegeben werden und
3 Transistoren 239

Abb. 3.81 Ersatzschaltbild, elektrisch Abb. 3.82 Rückgekoppeltes System

elektrisch mit einem Netzwerkanalysator gemes-


sen werden können. Der Quarz hat eine sehr
hohe Güte; d. h. die Schwingung wird nur sehr
schwach gedämpft. Die gemessenen Werte der
Elemente dieses Ersatzschaltbildes können des-
halb nicht mit realen diskreten Bauelementen
realisiert werden.

3.7.1.2 Schwingbedingungen Abb. 3.83 Vereinfachtes Oszillator-Modell


Ein Oszillator lässt sich vereinfacht als ein Sys-
tem beschreiben, das aus einem Verstärker mit
der Übertragungsfunktion: Damit der Oszillator aus dem Eigenrauschen
 j˛.!/ des Systems anschwingen kann, muss die Schlei-
A.j!/ D a.!/ e (3.69)
fenverstärkung während des Anschwingvorgangs
und einem Rückkopplungsnetzwerk mit der fol- größer als 1 sein. Bei einem realen System,
genden Übertragungsfunktion besteht: das aus einem Verstärker und einem geeigneten
B.j!/ D b.!/ ej ˇ.!/ (3.70) Rückkopplungsnetzwerk besteht, geht der Ver-
stärker mit zunehmender Signalamplitude in die
Abbildung 3.82 zeigt dieses rückgekoppelte Begrenzung. Das bedeutet: Die Verstärkung wird
System. mit zunehmender Signalamplitude kleiner, so-
Damit dieses System stabil schwingt, müssen dass sich im eingeschwungenen Zustand tatsäch-
zwei Bedingungen erfüllt sein: lich die oben genannten Bedingungen einstellen.
1. die sogenannte Schleifenverstärkung, Verstär- Abbildung 3.83 zeigt ein vereinfachtes Schalt-
kung mal Rückkoppelfaktor, muss gleich 1 bild eines Quarzoszillators. Die Lastkapazität ist
sein: die Kapazität mit der der Quarz in der Oszil-
latorschaltung „belastet“ wird. Über die Wahl
jA.j!/j  jB.j!/j D a.!/  b.!/ D 1 (3.71) der Lastkapazität lässt sich der Quarzoszilla-

und tor in seiner Frequenz geringfügig verstimmen.


2. die sogenannte Phasenbedingung, d. h. die Eine zusätzliche Lastkapazität wirkt sich wie
Summe der Phasenänderungen ˛.!/ C ˇ.!/ eine geringe Erhöhung der Masse des mecha-
in der geschlossenen Schleife muss in Grad nischen Feder-Masse-Systems aus. Typische
ausgedrückt gleich n  360ı sein oder in Rad: Werte der möglichen Frequenzänderung liegen
für Grundtonquarze bei einigen 100 ppm. Ist die-
˛.!/ C ˇ.!/ D n  2 (3.72) se beschaltete Lastkapazität wertmäßig identisch
240 K. Bressler und H. Rudolph

mit der nominalen Lastkapazität des Quarzes, bei ten Betriebsbedingungen zum Zeitpunkt der
der er auf seine Nominalfrequenz abgeglichen Lieferung bzw. Erstinbetriebnahme beim An-
wurde, ergeben sich die geringsten Abweichun- wender.
gen der tatsächlichen Oszillatorfrequenz von der Für viele Anwendungen ist die Frequenzto-
nominalen Frequenz des Quarzes. Wird eine leranz eher von sekundärer Bedeutung, da die-
variable Lastkapazität gewählt, ein Kondensator- se meist durch entsprechende Abgleichelemen-
Trimmer oder eine Kapazitätsdiode, so kann der te wie mechanische Trimmer oder spannungsge-
Quarzoszillator in seiner Frequenz um 10 ppm steuert durch Vergleich mit einem Frequenznor-
bis 100 ppm verstimmt werden. Diese Möglich- mal kalibriert werden können.
keit wird benutzt, um den Oszillator exakt auf Bei vielen Anwendungen hochgenauer Quarz-
seine Sollfrequenz abzugleichen – unmittelbar oszillatoren als Referenzoszillator beispielsweise
bei der Herstellung oder auch nach Einbau in für Direct Digital Synthesizer (DDS) spielt
der entsprechenden Applikationsschaltung. Wird die Absolutfrequenz eine untergeordnete Rol-
die Lastkapazität mittels einer spannungsge- le. Wichtig ist die Frequenzstabilität, d. h., dass
steuerten Kapazitätsdiode dynamisch geändert, nach dem Kalibrierprozess die oben beschriebe-
spricht man von einem spannungsgesteuerten nen Frequenzänderungen möglichst klein sind,
Quarzoszillator, (engl.: Voltage Controlled Xtal damit das Systems nicht oder nur selten nachka-
Oscillator, VCXO). libriert werden muss.
Die Kunst des Oszillatorentwicklers besteht Quarzoszillatoren verfügen zwar schon über
nun darin, dafür zu sorgen, dass der Oszillator eine relativ gute Frequenzstabilität. Doch einige
sicher anschwingt, dass er auf der richtigen Fre- Einflussfaktoren können die Frequenzstabilität
quenz schwingt und dass er seine Schwingung negativ beeinflussen. Neben einer Initialto-
unter allen Betriebszuständen und Umgebungs- leranz c – manchmal auch Abgleichtoleranz
einflüssen sicher und frequenzstabil aufrechter- genannt – sind die Frequenzstabilität f .T /=f
hält. über der Temperatur T und die sogenann-
Da qualitativ gute Standard-Quarzoszillatoren te Langzeitstabilität auch Alterung genannt,
sehr preiswert am Markt erhältlich sind, macht es von Bedeutung (Abschn. 3.7.6). Darunter ver-
bis auf wenige Ausnahmen keinen Sinn, seinen steht man die Frequenzdrift f .t/=f über der
Quarzoszillator selbst zu entwickeln. Zeit t. Daneben zeigt der Oszillator aber auch
eine mehr oder minder ausgeprägte Abhängig-
keit der Frequenz von der Betriebsspannung
3.7.2 Frequenzstabilität f .VS /=f und der Ausgangslast f .ZL /=f .
und Frequenzgenauigkeit Die beiden letztgenannten Faktoren lassen sich
durch entsprechenden Aufwand in der Schal-
Im Folgenden werden die wichtigsten Begriffe tungstechnik soweit verringern, dass sie nicht
definiert. entscheidend für die Gesamtstabilität eines Oszil-
 Frequenzgenauigkeit: bedeutet die Abwei- lators sind. Die nachfolgende Formel beschreibt
chung der tatsächlich gemessenen Frequenz die relative Frequenzabweichung f .t/ eines
zu einer Sollfrequenz – oder auch Nominal- (Quarz-)Oszillators bezogen auf die Nominal-
frequenz genannt – im betrachteten Zeitpunkt frequenz f0 als Summe der oben genannten
der Messung Parameter.
 Frequenzstabilität: beschreibt, inwieweit sich f f .T / f .t/
die Frequenz unter dem Einfluss verschiede- DcC C
f f f
ner Parameter wie beispielsweise Zeit, Tempe- (3.73)
f .VS / f .ZL /
ratur, Betriebsspannung, Last, Luftdruck und C C
Gravitation verändert. f f
 Frequenztoleranz: beschreibt die Frequenzab- Tabelle 3.6 zeigt eine Übersicht über die Ty-
weichung zur Nominalfrequenz unter definier- pen von Quarzoszillatoren.
3 Transistoren 241

Tab. 3.6 Typenübersicht bei Quarzoszillatoren


Abkürzung Englische Bezeichnung Deutsche Bezeichnung Typische Anwendungen
XO Crystal Oscillator Quarzoszillator Takt für synchrone Digitalschaltungen,
FPGAs oder Microcontroller
VCXO Voltage Controlled Crystal Spannungsgesteuerter Synchronisation von Telekommuni-
Oscillator Quarzoszillator kationsnetzen, Spannungs-Frequenz-
Konversion, Phase Locked Loop
TCXO Temperature Compensated Temperaturkompensierter GPS-Empfänger, UMTS-Mobilfunk-
Crystal Oscillator Quarzoszillator Endgeräte
OCXO Oven Controlled Crystal Temperaturgeregelter Quarz- Referenzoszillator für Festnetz und
Oscillator oszillator Mobilfunk-Basisstationen, Messtech-
nik
DOCXO Double Oven Controlled Doppelt temperaturgeregel- Wie OCXO, aber erhöhte Stabilitätsan-
Crystal Oscillator ter Quarzoszillator forderungen

Abb. 3.84 Allan Standard


Deviation (ADEV)  . /
als Funktion der Zeitdau-
er 

Müssen mehrere zeitnahe Vorgänge mit der abhängig vom betrachteten Zeitfenster . Man er-
genau gleichen Frequenz bewertet werden, ist die kennt die typische „Badewannenfunktion“, dies
Kurzzeitstabilität wichtig. Bei einer Uhr ist dage- bedeutet, die Kurzzeitstabilität von Quarzoszil-
gen die Langzeitstabilität wichtig. (Abschn. 3.7.3 latoren ist üblicherweise im Bereich von 1 s bis
Kurzzeitstabilität und Abschn. 3.7.6 Langzeitsta- 10 s am besten; bei kleineren und bei größeren
bilität). Zeitfenstern  ist sie schlechter.
Als Maß für die Kurzzeitstabilität wird
3.7.3 Kurzzeitstabilität, üblicherweise die Allan Standard Deviati-
Phasenrauschen und Jitter on (ADEV) angegeben, benannt nach dem
US-Wissenschaftler David W. Allan. Die Mess-
3.7.3.1 Kurzzeitstabilität methode und die mathematische Definition der
Für viele Anwendungen ist die Kurzzeitstabi- ADEV werden auf www.allanstime.com und
lität von großer Bedeutung. Hierunter versteht http://en.wikipedia.org/wiki/Allan_variance de-
man die Frequenzstabilität innerhalb eines relativ tailliert erläutert.
kurzen Betrachtungszeitraums. Üblich sind hier Sehr gute OCXOs im Frequenzbereich von
Zeitfenster von 0,1 s bis 100 s; meist wird nur der 2,5 MHz bis 10 MHz können für ein Zeitfenster 
Wert für eine Zeitdauer  von 1 s angegeben. im Bereich 1 s bis 10 s Werte der ADEV  ./ im
Abbildung 3.84 zeigt am Beispiel eines Bereich von 11012 oder sogar kleiner erreichen,
10 MHz OCXOs den typischen Verlauf der was besser ist, als die entsprechende Kurzzeitsta-
Kurzzeitstabilität  ./ von Quarzoszillatoren bilität eines Rubidium- oder Caesium-Normals.
242 K. Bressler und H. Rudolph

Abb. 3.85 Phasenrauschen einer guten 10 MHz Taktquelle

3.7.4 Phasenrauschen Das Phasenrauschen von Oszillatoren hängt zum


einen von der Güte des verwendeten Resonators
3.7.4.1 Definition und zum anderen von der Topologie der elek-
Die Kurzzeitstabilität betrachtet die Änderung tronischen Schaltung ab, d. h., von den Arbeits-
der Frequenz innerhalb eines relativ kurzen punkteinstellungen und der Auswahl und Dimen-
Zeitraumes. Das Phasenrauschen betrachtet die sionierung der elektronischen Bauelemente. Sehr
im statistischen Sinne zufälligen Änderungen wichtig ist aber auch das optimale Zusammen-
der Phase eines Signals im Frequenzbereich. spiel dieser Komponenten, d. h. es genügt nicht,
Der Phasenjitter betrachtet die zufälligen Pha- einen guten Resonator und einen rauscharmen
senänderungen im Zeitbereich. Das bedeutet, Verstärker irgendwie zu kombinieren, sondern
Phasenrauschen und Phasenjitter sind un- die Auslegung des Gesamtsystems Oszillator ist
terschiedliche Betrachtungsweisen desselben entscheidend.
Effektes – zum einen im Frequenzbereich und Phasenrauschen entsteht bereits in der Takt-
zum anderen im Zeitbereich. quelle, dem Oszillator, als Ergebnis des Rau-
Das Phasenrauschen wird als Einseitenband- schens der elektronischen Bauelemente, Halblei-
Phasenrauschen L.fc / – im Englischen „Sin- ter, Widerstände, und des Resonators, des Quar-
gle Side Band“ (SSB) – in BC =Hz angegeben zes. Die zwar hohe, aber endliche Güte des Quar-
und ist definiert als das logarithmische Verhältnis zes verringert das Phasenrauschen, kann es aber
der Phasen-Rauschleistung PSSB in einer Band- nicht ganz verhindern. Nachfolgende Verstärker-
breite von 1 Hz zur Träger-Leistung PC im Trä- stufen verschlechtern das Rauschen weiter. Ab-
gerabstand fc bildung 3.85 zeigt die typische Darstellung des
Ergebnisses einer Phasenrauschmessung. Auf der

Abszisse ist der Trägerabstand fc in Hz auf-
PSSB .fc / getragen; der zugehörige Messwert L.fc / in
L.fc / D 10  log (3.74)
PC dBc=Hz ist die oben beschriebene Phasenrausch-
3 Transistoren 243

zunehmender Nähe zum Träger zu. Aufgrund


der spektralen Verteilung dieses Rauschens
ist deshalb der Einfluss auf den Phasenjit-
ter für trägernahe Frequenzen viel größer als
für trägerferne Frequenzen. Es ist daher sehr
wichtig, dass bei der Spezifikation des zuläs-
Abb. 3.86 Phasenjitter bei digitaler Datenübertragung sigen Phasenjitters sowohl die untere als auch
die obere Frequenzgrenze der Messung mit
angegeben wird. Beispielsweise kann allei-
leistung in einer Bandbreite von 1 Hz bezogen ne eine Änderung der unteren Grenzfrequenz
auf die Signalleistung des Trägers (engl.: carri- von 10 Hz auf 1 Hz eines betrachteten Fre-
er). Das Phasenrauschen ist nahe am Träger am quenzbandes bis 1 MHz eine Erhöhung des
größten (Abschn. 3.7.5). Jitterwertes um ein Vielfaches bewirken, ob-
wohl die betrachtete Bandbreite nur geringfügig
größer ist. Der Effektivwert-Jitter (engl.: Root
3.7.5 Phasenjitter Mean Square, RMS, Jitter), ist in dem für
viele Telekom-Anwendungen wichtigen Fre-
In den zurückliegenden Jahren hat sich das Da- quenzbereich von 12 kHz bis 20 MHz vom
tenaufkommen in Telekommunikationsnetzen Träger sehr viel kleiner als in einem betrach-
vervielfacht und die Anforderungen an die Netz- teten Bereich von 10 Hz bis 1 MHz, obwohl die
werke der Telefongesellschaften steigen weiter. Bandbreite im zweiten Fall nur ungefähr ein
Um diese hohen Mengen an Daten fehlerfrei Zwanzigstel der Bandbreite des ersten Falls be-
übertragen zu können, werden für die leitungsge- trägt.
bundene Übertragung über lange Strecken immer Der Anteil nahe dem Träger, der einen hohen
höhere Bitraten verwendet. Mit höheren Taktra- Anteil des Jitters bewirkt, wird maßgeblich durch
ten werden die Anforderungen an die Frequenz- die endliche Güte des Quarzes bestimmt. Der An-
und Phasenstabilität des Systemtaktes immer teil für Frequenzen über 1 kHz wird maßgeblich
größer. Zeitliche Abweichung von den idealen durch das Rauschen der eingesetzten Halbleiter
Taktzeiten bezeichnet man als Phasenjitter oder und passiven Bauelemente bestimmt.
auch einfach Jitter. Das Auftreten von Jitter führt Diese Art der Verteilung der Amplituden
zu verstärktem Auftreten von Bitfehlern, die dann nennt man Gauss- oder Normalverteilung. Trägt
wieder korrigiert werden müssen, was den Da- man die Häufigkeit der Ereignisse, der Mess-
tendurchsatz – und damit die effektive Bitrate – werte, in Abhängigkeit von ihrer Amplitude dar,
vermindert. erhält man die Darstellung in Abb. 3.87.
Abbildung 3.86 zeigt, was unter dem Begriff Der RMS Jitter wird entweder aus der im Zeit-
Jitter zu verstehen ist. Ein ideales, jitterfreies Si- bereich gemessenen Rauschleistung oder im Fre-
gnal weist exakt zeitlich äquidistante Flanken mit quenzbereich aus dem gemessenen Phasenrau-
einem Abstand der Periodendauer  D 1=2 f schen errechnet. Rauschen ist ein zufälliger (sto-
auf. Tatsächlich jittern die Flanken aber mehr chastischer) Prozess, der einer Gauss-Verteilung
oder weniger um diesen Sollwert von n mal , mit einer Standardabweichung  folgt, d. h. die
d. h. die nachfolgenden Flanken zeigen eine zu- Amplitudenwerte des Rauschens liegen mit ei-
fällige kleine zeitliche Differenz zu dem Sollwert ner Wahrscheinlichkeit von 68 % innerhalb eines
von n mal . Phasenjitter ist eine Betrachtung im Wertebereichs von ˙1 .
Zeitbereich. Die entsprechende Betrachtung im Mittels Abb. 3.87 wird die Bedeutung des
Frequenzbereich ist das bereits besprochene Pha- Begriffs RMS Jitter und peak to peak Jitter ver-
senrauschen. ständlich. Beide Begriffe sind nur statistisch zu
Bei Oszillatoren nehmen die Rauschleistungs- verstehen, d. h. auch der peak to peak Jitter gibt
dichte und damit auch die Jitteramplitude mit keinen absoluten Spitzenwert an, sondern gibt
244 K. Bressler und H. Rudolph

Abb. 3.87 Definition


RMS Jitter und peak to
peak Jitter

lediglich an, dass die Messwerte mit einer Wahr- 3.7.6 Langzeitstabilität
scheinlichkeit von 99,7 % innerhalb eines durch
den peak to peak Wert definierten Intervalls von Quarzoszillatoren zeigen eine Langzeitinstabili-
˙3 liegen. tät, die von verschiedenen Parametern abhängt
Die Angabe des Spitzenwertes erfolgt da- und oft als Alterung (engl.: aging) bezeichnet
her meist auf rein statistischer Basis, wobei wird. Darunter versteht man die Frequenzdrift
unter Annahme einer Gauss-Verteilung ein so- über der Zeit, wobei üblicherweise Zeiträume
genannter Crestfaktor von 3 bis 4 zwischen zwischen einem Tag und 20 Jahren betrachtet
Spitzenwert bzw. Faktor 6 bis 7 zwischen dem werden.
Spitze-Spitze- und dem Effektivwert angenom- Abbildung 3.88 zeigt das Einlaufen (all-
men wird. Die maximale Jitteramplitude liegt mähliches Annähern an die Endfrequenz) eines
dann mit einer hinreichenden Wahrscheinlichkeit 100 MHz-Quarzoszillators über eine Dauer von
von 99,7 % innerhalb der statistischen Grenzen etwa 200 Tagen. Die Anfangsalterung oder Fre-
von ˙3 . quenzdrift im ersten Monat betrug etwa 300 ppb

Abb. 3.88 Typische Alterungsdrift eines Quarzoszillators


3 Transistoren 245

(1 ppb D 1 part per billion D 1  109 ), die sich daher, dass für OCXOs Quarze im 3. oder 5.
nach 6 Monaten auf etwa 50 ppb=Monat verrin- Oberton betrieben werden und daher sehr viel
gert hat. Die Jahresalterung dieses Beispiels liegt weniger von Änderungen der Lastkapazität ab-
im ersten Jahr bei ca. C1:0 ppm; die Alterung hängig sind als VCXOs oder TCXOs, die meist
der folgenden Jahre ist aufgrund der sich ver- mit Quarzen, die im Grundton betrieben werden,
ringernden Steigung von f .t/ deutlich geringer. bestückt sind. Mit Ofen bezeichnet man das Ge-
Die Werte der Alterungsdrift sind abhängig vom häuse mit geregelter Innentemperatur, in dem der
Herstellprozess, von der Betriebstemperatur und Quarzoszillator auf einer konstanten Temperatur
von der Größe und der Frequenz des Quarzes. über der Umgebungstemperatur betrieben wird.
Betrachtet man die beiden ersten Parameter als Ein weiterer Grund für gute Werte der meisten
konstant, dann gilt: je größer der Quarz und je OCXOs kommt von einer aufwändigeren Ent-
kleiner die Frequenz, desto besser (geringer) ist kopplung der eigentlichen Oszillatorstufe vom
die Alterungsdrift. Ein guter 10 MHz-Oszillator Ausgang.
hat eine etwa 10-fach geringere Alterungsdrift Die Abhängigkeit der Oszillatorfrequenz von
als das oben gezeigte Beispiel. der Betriebsspannung rührt von Änderungen der
Leider sieht der tatsächliche Verlauf der Al- Sperrschichtkapazitäten bei Änderung der Sperr-
terung nicht immer wie oben dargestellt aus. Die schichtspannungen der Transistoren her. Ober-
Alterungsdrift streut von einer Produktionscharge tonquarze sind gegen Änderungen der Lastka-
zur nächsten, und die Exemplarstreuung inner- pazität weniger empfindlich als hochfrequente
halb einer Charge ist auch relativ groß. Deshalb VCXOs mit Grundtonquarzen, die eine höhe-
müssen für gute Alterungsanforderungen die zu re Ziehsteilheit haben. Hochwertige TCXOs und
verwendenden Quarze vorselektiert werden. OCXOs werden über einen Spannungsregler be-
Typische Werte der Alterung liegen zwi- trieben, der die Spannung am Oszillator trotz Än-
schen ˙1 ppm=Jahr für einen einfachen XO derungen der Versorgungsspannung relativ kon-
oder VCXO und 0;01 ppm=Jahr für einen sehr stant hält. Die typischen Werte der Betriebsspan-
guten niederfrequenten OCXO mit SC-Quarz nungsabhängigkeit (Änderung der Frequenz bei
bei 5 MHz bis 10 MHz. Der Ausdruck SC steht Änderung der Betriebsspannung um 5 %) liegen
für Stress Compensated und bezeichnet Quar- bei einigen ppm (106 ) für VCXOs, bei etwa
ze mit einem speziellen gedrehten Schnitt mit 0,01 ppm bis 0,1 ppm (107 ) für TCXOs und
zweidimensionalem Schnittwinkel. Diese Quar- können bis zu 1 ppb (109 ) und kleiner für hoch-
ze weisen gegenüber AT-Schnitt Quarzen eine wertige OCXOs spezifiziert werden.
geringere Alterungsdrift auf.

3.7.7 Last- und Betriebsspannungs- 3.7.8 Frequenzgenauigkeit


abhängigkeit der Frequenz
Tabelle 3.7 zeigt typische Wertebereiche der Fre-
Die Lastabhängigkeit der Frequenz wird üb- quenzstabilität in Abhängigkeit von der Tem-
licherweise für eine Toleranz von ˙10 % der peratur für drei klassische Temperaturbereiche,
Nominal-Lastimpedanz des Oszillators angege- eine typische Nennfrequenz- oder Abgleichtole-
ben. Der erreichbare Wert hängt davon ab, wie ranz und die typische Langzeitstabilität (Alte-
gut die eigentliche Oszillatorstufe vom Ausgang rung) für die verschiedenen Oszillator-Typen und
entkoppelt ist. Typische Werte liegen im Be- -Klassen. Die Frequenzgenauigkeit im schlech-
reich ˙0;1 ppm für einen einfachen XO oder testen Fall, das heißt die maximal mögliche Ab-
VCXO, bei ˙0;01 ppm für einen guten TCXO weichung von der Nominalfrequenz, errechnet
und bei ˙1 ppb oder weniger für einen guten sich aus der Summe der Nennfrequenztoleranz,
OCXO. Die geringere Lastabhängigkeit eines der Frequenzstabilität f .T / und der Langzeit-
guten OCXO kommt nicht vom Ofen, sondern drift.
246 K. Bressler und H. Rudolph

Tab. 3.7 Vergleich der Frequenzgenauigkeit verschiedener Oszillatortypen


Typische Frequenzstabilität über der Temperatur Typ. Nenn- Typ. Alte- Typ. Lang-
f(T) in ˙ ppm (16 ) frequenz- rungsdrift zeitdrift über
toleranz pro Jahr 10 Jahre
Temperaturbereich/ °C ˙ ppm ˙ ppm ˙ ppm
Oszillatortyp 0 bis C50 20 bis C70 40 bis C85
XO / VCXO 5 bis 20 10 bis 30 15 bis 40 5 bis 20 1 bis 3 5 bis 20
TCXO 0;5 bis 1 1 bis 2 1 bis 3 1 bis 2 0;5 bis 1 5 bis 10
HP TCXO 0;1 bis 0;5 0;2 bis 0;5 0;3 bis 1 0;2 bis 0;5 0;2 bis 0;5 2 bis 5
OCXO 0;001 bis 0;1 0;002 bis 0;2 0;005 bis 0;5 0;1 bis 0;5 0;1 bis 0;5 0;5 bis 3
DOCXO 0;0001 bis 0;0002 bis 0;0005 bis 0;05 bis 0;1 0;01 bis 0;1 0;1 bis 0;5
0;001 0;002 0;005

3.7.9 XOs ändern die in der Oszillatorschaltung effektiven


Koeffizienten dieser Parabel. Das macht sich
Takt-Oszillatoren (XOs) werden heute in fast je- meist als eine „Drehung“ des Quarzwinkels be-
dem elektronischen Modul oder Gerät eingesetzt. merkbar (Abb. 3.89). Der Entwicklungsingenieur
Alle synchronen digitalen Schaltungen, wie bei- muss das bei der Spezifikation des optimalen
spielsweise Microcontroller, FPGAs, Gate Ar- Schnittwinkelbereichs berücksichtigen.
rays, A=D- und D=A-Wandler, Interface-Baustei- Wie man an der Kurvenschar in Abb. 3.89
ne, digitale Video- und Audio-ICs und viele an- sieht, gibt es für jeden betrachteten Tempe-
dere elektronische Schaltungen benötigen einen raturbereich genau einen optimalen Quarz-
Takt, der ihnen die Schrittgeschwindigkeit vor- Schnittwinkel. Wenn es darauf ankommt, einen
gibt und sie miteinander synchronisiert. unkompensierten XO mit möglichst guter Fre-
quenzstabilität zu bauen, ist es wichtig, den
Arbeitstemperaturbereich zu definieren und dann
3.7.10 Temperaturabhängigkeit einen Quarz mit einem für diesen Tempera-
der Frequenz turbereich optimalen Schnittwinkel zu wählen.
In der Praxis hat man eine Schnittwinkeltole-
Ein AT-Schnitt Quarz wie er heute in fast allen ranz bei der Herstellung des Quarzes und eine
XOs und VCXOs eingesetzt wird, besitzt eine Temperaturabhängigkeit der weiteren elektroni-
Frequenzabhängigkeit von der Temperatur, die schen Bauelemente der Oszillatorschaltung, die
sich mathematisch näherungsweise als Parabel den effektiven Schnittwinkel mehr oder weni-
3. Ordnung beschreiben lässt: ger beeinflusst, d. h., eine virtuelle „Drehung“
des Schnittwinkels herbeiführt. Die Kunst ei-
f .T /=f D a C b  T C c  .T /2 C d  .T /3 ner guten Quarzfertigung besteht auch darin,
(3.75) Quarze mit engen Winkeltoleranzen herstellen
zu können oder eine entsprechende Selektion des
mit T D T  T0 (T0 D Inversionstemperatur) Schnittwinkels durchführen zu können. Die theo-
und f0 D Nominalfrequenz. retischen Grenzen liegen bei etwa ˙3 ppm für
Der Name AT-Schnitt bezeichnet einen be- den Bereich von 20 °C bis C70 °C bzw. etwa
stimmten Winkel zwischen der Kristallstruktur ˙9 ppm für den Bereich von 40 °C bis C85 °C.
und der Schnittrichtung, damit wird ein definier- Aufgrund von Fertigungstoleranzen und Win-
ter Temperaturgang der Frequenz erreicht. kelstreuung ist die mögliche Frequenzstabilität
Im Grunde folgt der einfache XO dieser über der Temperatur auf praktikable ˙10 ppm im
Temperaturcharakteristik des Quarzes. Der Tem- Temperaturbereich von 20 °C bis C70 °C bzw.
peraturgang der weiteren frequenzbestimmenden ˙15 ppm im Bereich von 40 °C bis C85 °C
Bauelemente wie Spulen und Kondensatoren ver- begrenzt.
3 Transistoren 247

Abb. 3.89 Temperatur-


abhängigkeit der Frequenz
für Quarze mit AT-Schnitt

Abb. 3.90 Prinzip des


spannungsgesteuerten
Quarzoszillators (VCXO)

3.7.11 VCXO logie, dem Ziehspannungsbereich V und der


Ziehsteilheit k D C =V der Kapazitätsdiode
3.7.11.1 Definition (Abb. 3.90).
Für viele Anwendungen ist es erforderlich, dass Die Frequenzabweichung eines VCXOs als
die Frequenz des Quarzoszillators verstimmbar Funktion der Temperatur T und der Ziehspan-
oder sogar modulierbar ist. Zumeist, um den nung VC lässt sich folgendermaßen beschreiben:
Oszillator auf eine Referenz phasenstarr synchro-
nisieren zu können; aber auch um den Oszillator f =f D a C b  T C c  .T /2
(3.76)
kalibrieren zu können oder um eine Frequenz zu C d  .T /3 C k  .Vc  V0 /
modulieren.
Quarze und damit auch Quarzoszillatoren las- f0 ist die Nominalfrequenz; V0 ist die mittlere
sen sich in gewissem Rahmen (je nach Typ und Ziehspannung bei der der Oszillator nicht ver-
Grund- oder Obertonmodus: typisch 1 ppm bis ei- stimmt ist.
nige hundert ppm) in ihrer Frequenz verstimmen k D f =f =VC ist die so genannte Ziehsteil-
(ziehen). Dies geschieht in der Regel dadurch, heit des VCXOs, die üblicherweise in [ppm=V]
dass die Lastkapazität des Quarzes mit einer Ka- angegeben wird und für die Berechnung der ‚Pha-
pazitätsdiode, auch Varaktor genannt, verändert se Locked Loop‘ (PLL) von Bedeutung ist (Ab-
wird. Die relative Größe der Frequenzänderung schn. 13.5), die die wichtigste Anwendung von
hängt zum einen von der Frequenz und vom VCXOs darstellt. Der Ausdruck k .Vc V0 / steht
Quarz ab, aber auch von der Schaltungstopo- für den Ziehbereich des VCXOs (engl.: Tuning
248 K. Bressler und H. Rudolph

Range oder Pulling Range). Dieser auf die mitt- Es gibt prinzipiell drei unterschiedliche Mög-
lere Frequenz bei V0 bezogene Ziehbereich wird lichkeiten hochfrequente VCXOs zu bauen, die
zur Unterscheidung des auf die Nominalfrequenz alle ihre Vor- und Nachteile haben:
bezogenen kleineren Ziehbereichs auch Gesamt-
ziehbereich (engl.: Total Pulling Range) genannt. 3.7.12.1 HFF-VCXOs
Der VCXO im PLL muss unter allen Be- HFF-VCXOs verwenden sogenannte Inver-
triebsbedingungen und über die gesamte Lebens- ted Mesa-Quarze. Dies sind hochfrequente
dauer des Systems auf die Referenz eingerastet Grundton-Quarze (engl.: High Frequency Funda-
(engl.: locked) werden können. Damit dies ge- mental Mode Xtal) auch „HFF-Quarze“ genannt.
währleistet ist, muss der Ziehbereich des VCXOs Der Name ‚Inverted Mesa‘ rührt daher, dass bei
größer sein, als seine Gesamtstabilität inklusive diesen Quarze der innere mechanisch schwin-
der Alterung. Aus diesem Grunde hat man den gende aktive Teil der Quarzscheibe aus einer
englischsprachigen Begriff des Absolute Pulling mechanisch ausreichend dicken Scheibe dünner
Range (deutsch: unbedingter Ziehbereich) ein- geätzt wird. Damit ist der Quarz mechanisch
geführt, der besagt, wie weit der VCXO unter ausreichend stabil und kann dennoch bei rela-
allen Betriebszuständen (z. B. Temperatur, Be- tiv hohen Frequenzen im Grundton schwingen.
triebsspannungsschwankungen, Lastschwankun- Derartige VCXOs sind ohne zusätzliche Fre-
gen) und innerhalb seiner definierten Lebensdau- quenzvervielfachung derzeit auf Frequenzen bis
er von 10 bis 20 Jahren bezogen auf die Nomi- etwa 200 MHz begrenzt. Die typische Gesamtsta-
nalfrequenz noch gezogen werden kann, d. h., es bilität für den erweiterten Temperaturbereich von
gilt: 40 °C bis C85 °C einschließlich 10 Jahre Alte-
rung liegt bei etwa ˙50 ppm. Der Nachteil dieser
I Absolute Pulling Range APR D Total Pulling Technologie sind die im Vergleich zu herkömm-
Range – Gesamtstabilität lichen Quarzen relativ hohen Herstellkosten.

3.7.12 Hochfrequente VCXOs 3.7.12.2 Oberton-VCXOs


VCXOs mit Oberton-Quarzen haben einige Vor-
Mit der bereits in Abschn. 3.7.5 beschriebenen teile, wie die Möglichkeit der Verwendung von
Zunahme der Übertragungskapazitäten von lei- kostengünstigen Quarzen, und sie verfügen in
tungsgebundenen als auch drahtlosen Übertra- der Regel über ein gutes Phasenrauschen. Al-
gungssystemen erhöhten sich auch die notwendi- lerdings sind die Ziehempfindlichkeit und auch
gen Frequenzen der für diese Systeme benötigten der mögliche Ziehbereich von Obertonquarzen
VCXOs. Heute existieren handelsübliche VC- sehr viel geringer als von Grundtonquarzen. Dies
XOs für Telekomunikations-Anwendungen bis erschwert, einen großen Ziehbereich bei gleich-
etwa 700 MHz; für Spezialanwendungen auch zeitig guter Linearität der Ziehkennlinie zu errei-
darüber. chen. Auch derartige VCXOs sind ohne weitere
Konventionell gefertigte AT-Schnitt-Quarze Frequenzvervielfachung auf Frequenzen bis etwa
sind nur bis etwa 50 MHz im Grundton her- 150 MHz begrenzt.
stellbar, da die Schwingfrequenz umgekehrt
proportional zur Dicke der Quarzscheibe oder 3.7.12.3 Frequenzvervielfacher
des Quarzplättchens ist. Ein 50 MHz AT-Blank Für die Frequenzvervielfachung von Oszillatorsi-
hat eine Stärke von nur noch 33 m, d. h. die gnalen werden mehrere Methoden eingesetzt,
Bruchgefahr bei der mechanischen Bearbeitung wobei die derzeit gebräuchlichste Art die
wird mit zunehmender Frequenz immer größer PLL-Vervielfachung ist. Mittels PLL-ICs mit
und damit die Ausbeute immer kleiner. Daher integrierten VCOs lassen sich sehr kleine und
ist es nicht so einfach, VCXOs für Frequenzen kostengünstige HF-VCXOs bis in den GHz-
größer als 50 MHz zu bauen. Bereich realisieren. Nachteil dieser Schaltungen
3 Transistoren 249

ist das meist schlechte Phasenrauschen bzw. das DECT-Basisstationen, reicht die Frequenzstabi-
schlechte Jitter-Verhalten. lität eines einfachen Quarzoszillators abhängig
Frequenzvervielfachung durch Filterung und von der Temperatur nicht aus. Es gibt zwei un-
Selektion von Harmonischen der eigentlichen terschiedliche Methoden, wie man die Tempe-
Oszillatorfrequenz bietet gegenüber den PLL- raturabhängigkeit des Quarzes verringern kann.
Vervielfachern weniger Phasenrauschen und eine Zum einen durch eine schaltungstechnische Kom-
bessere Unterdrückung der Subharmonischen. pensation der Temperaturabhängigkeit und zum
Das sind Spektralanteile der ‚Urfrequenz‘ und zweiten durch eine Verringerung des Tempera-
ihrer Harmonischen, die sowohl unterhalb als turbereichs durch den Betrieb des Quarzes in
auch oberhalb der gewünschten Ausgangsfre- einem temperaturgeregelten Ofen (Thermostat).
quenz liegen und die für manche Anwendungen Zunächst wird die Kompensationsmethode be-
störend sein können. schrieben und im nächsten Abschnitt der Betrieb
Bei der Frequenzvervielfachung wird auch im Thermostat.
der Störfrequenzhub vervielfacht. Dadurch ver-
schlechtert sich das Phasenrauschen wie folgt:
3.7.13 (VC)TCXOs
L.fm /multiplied D L.fm / C 20  lg.n/ (3.77)
3.7.13.1 Kompensation der Temperatur-
L.fm /multiplied Phasenrauschen abhängigkeit der Frequenz
des vervielfachten Signals Wie bereits erklärt, können die relativen Fre-
quenzänderungen über der Temperatur je nach
L.fm / Phasenrauschen
betrachtetem Temperaturbereich und Quarz-
des Grundtonsignals schnittwinkel einschließlich der zu berücksich-
n Vervielfachungsfaktor tigenden Winkeltoleranzen für einen AT-Quarz
selbst bei optimalem Quarzschnittwinkel bis zu
Obige Gleichung besagt, dass beispielsweise etwa ˙20 ppm betragen. Berücksichtigt man
eine Vervielfachung der Frequenz um einen Fak- noch die Abgleichtoleranz (konstanter Faktor),
tor 2 zu einer Verschlechterung des Phasenrau- beträgt die Abweichung des unkompensierten
schens in einem definierten Abstand fm der Trä- Quarzoszillators von der Nominalfrequenz sogar
gerfrequenz fc um 6 dB führt; bei einer Verzehn- bis zu etwa ˙30 ppm. Dieser Wert muss durch
fachung der Oszillatorfrequenz von beispielswei- ein geeignetes Verfahren deutlich verringert wer-
se 100 MHz auf 1 GHz verschlechtert sich das den. Die Grundidee der Kompensation besteht
entsprechende Phasenrauschen um 20 dB. darin, die Lastkapazität des Quarzes tempera-
turabhängig so zu verändern, dass die daraus
3.7.12.4 Temperaturabhängigkeit der resultierende Frequenzänderung die temperatur-
Frequenz bedingte Frequenzänderung bei jeder Temperatur
Die Temperaturabhängigkeit der Frequenz ge- gerade kompensiert.
hört zu den unangenehmsten Eigenschaften von Wie aus dem Prinzip-Schaltbild in Abb. 3.91
Quarzen oder Quarzoszillatoren. Wie bereits in ersichtlich ist, kombiniert man dazu einen VC-
Abschn. 3.7.2 beschrieben, kann sie für einen AT- XO mit einem Temperatursensor, der die Quarz-
Schnitt Quarz durch eine Parabel dritter Ordnung temperatur misst, und einer Kompensationsschal-
dargestellt werden. Diese Temperaturabhängig- tung, die eine temperaturabhängige Steuerspan-
keit ist mit ˙50 ppm in einem Temperaturbereich nung erzeugt, mit der der VCXO angesteuert
von 40 °C bis 85 °C immer noch viel kleiner wird. Daraus ergeben sich folgende Zusammen-
als bei LC- oder keramischen Oszillatoren. Trotz- hänge:
dem reicht sie für viele Anwendungen nicht aus. Die relative Frequenz des unkompensierten
Für viele Systeme und Geräte, wie beispiels- VCXOs in Abhängigkeit von der Umgebungs-
weise UMTS-Mobil Phone, GPS-Receiver oder temperatur T und der Ziehspannung VC mit der
250 K. Bressler und H. Rudolph

einen programmierbaren integrierten Polynom-


generator mit integriertem Temperatursensor,
der wie ein programmierbarer Analogrechner
arbeitet. Programmierbar bedeutet, dass die
Koeffizienten des Polynoms in ein EEPROM
(Electrical Erasable Programable Read Only Me-
mory, Abschn. 12.3.2) geschrieben werden, das
mit Unterstützung der entsprechenden Mess- und
Abb. 3.91 Prinzip-Schaltbild eines TCXOs Kompensations-Software mehrfach beschrieben
werden kann. Damit kann die errechnete Kom-
pensation später korrigiert werden. Wichtig ist
Ziehsteilheit k des VCXOs in ppm=V errechnet eine gute thermische Kopplung des Tempera-
sich zu: tursensors an den Quarz, um die thermischen
Gradienten zu minimieren. Nach Einbau des
f .T /=f0 D a C b  .T =T0 / C c  .T =T0 /2
Kompensations-Netzwerks bzw. der Programmie-
C d  .T =T0 /3 C k  VC rung des Polynomgenerators erfolgt eine zweite
(3.78) Messung des Oszillators über der Temperatur,
um das Kompensationsergebnis zu verifizieren
Mit der Nominalfrequenz f0 und der „relativen“ und zu dokumentieren.
Frequenz f .T /=f0 D .f .T /  f0 /=f0 . Wie man aus der realen Kurve des TCXOs
Die Kompensationsschaltung erzeugt eine in Abb. 3.92 sieht, ist f .T / nicht tatsächlich
Kompensationsspannung VC .VT / mit der Tem- null. Hierfür gibt es mehrere Ursachen, die im
peraturspannung VT D V .T / D m  .T  T0 /: Folgenden etwas näher erläutert werden. Zum
einen lässt sich die tatsächliche Frequenzabhän-
VC D f .VT /
gigkeit von der Temperatur nicht ausreichend
D q  V0 C r  .VT  V0 / (3.79) durch ein Polynom dritter Ordnung beschrei-
C s  .VT  V0 / C t  .VT  V0 /
2 3 ben. Der Quarz selbst kann von dieser idealen
Funktion abweichen und der nicht ganz lineare
Bei geeigneter Wahl von V und der Koeffizi- Zusammenhang zwischen Temperatur und Tem-
enten q, r, s und t wird f .T /=f0 idealerweise peraturspannung V .T / einerseits und Frequenz
gleich null. Die Koeffizienten a, b, c, d und k und Ziehspannung f .VC / andererseits bewirken,
werden im Rahmen einer sogenannten Vormes- dass die Funktion f .T / Anteile höherer Ordnung
sung des unkompensierten Oszillators ermittelt. enthält, die durch eine Kompensation dritter Ord-
Das bedeutet, man misst die Temperatur- nung nicht kompensiert werden können. Die
Charakteristik f .T / jedes einzelnen Oszillators Grenzen für eine Kompensation 3. Ordnung lie-
und errechnet aus der gemessenen Funktion gen bei ca. ˙1 ppm im Frequenzbereich von
die oben genannten Koeffizienten. Gleichzei- 20 °C bis C70 °C oder ca. ˙2 ppm von 40 °C
tig misst man die der jeweiligen Messtemperatur bis C85 °C. Will man bessere Ergebnisse erzie-
zugeordnete Temperaturspannung des Tempera- len, muss man auch Anteile höherer Ordnung
tursensors und ermittelt während der Vormessung kompensieren. Es existieren daher auch bereits
auch die zur Kompensation notwendige Kom- ‚High Performance‘-TCXOs, die mit integrier-
pensationsspannung VC . Aus diesen Messdaten ten Polynomgeneratoren 5. Ordnung arbeiten.
werden V und die Koeffizienten q, r, s und t er- Mit diesen ICs in Verbindung mit einem ‚gu-
rechnet. Das aus diesen Koeffizienten errechnete ten‘ Quarz sind heute Frequenzstabilitäten von
Kompensationsnetzwerk kann ein aus ohmschen besser als ˙0;5 ppm im Temperaturbereich von
Widerständen und Negative Temperature Coeffi- 40 °C bis C85 °C erzielbar. Geht es aber darum,
cient (NTC)-Widerständen aufgebautes passives eine absolute Frequenzstabilität im Sub-ppm-
Netzwerk sein. Neuere Entwicklungen nutzen Bereich zu erreichen, geraten TCXOs schnell an
3 Transistoren 251

Abb. 3.92 Vergleich der unkompensierten und kompensierten Temperatur-Charakteristik eines realen Beispiel-
TCXOs

ihre Grenzen. Wie es dennoch möglich ist, mit


Quarzoszillatoren eine Frequenzstabilität über
der Temperatur zu erreichen, die nochmals um
bis zu einem Faktor 1000 besser als die eines
TCXO sein kann, wird im folgenden Abschnitt
beschrieben.

3.7.14 (VC)OCXOs
Abb. 3.93 Prinzipschaltbild eines OCXOs
3.7.14.1 Stabilisierung
der Arbeitstemperatur
Der in Abb. 3.89 und 3.92 dargestellte Verlauf
der Frequenz über der Temperatur eines AT- Abbildung 3.93 zeigt den grundsätzlichen
Schnitt-Quarzes zeigt, dass es Arbeitspunkte Aufbau eines OCXOs. Der Quarz wird über ei-
gibt, in denen die Steilheit f =T null ist. ne geregelte Heizquelle – dies sind in der Regel
An den Extremstellen der Funktion, hier Um- Leistungstransistoren – möglichst konstant auf
kehrpunkte genannt, ändert sich die Frequenz der Umkehrpunkt-Temperatur gehalten. Hierzu
mit der Temperatur fast nicht. Das Prinzip des wird die Quarztemperatur über einen Tempera-
sogenannten ‚Oven Controlled Crystal Oscilla- turfühler gemessen und die Heizleistung über
tors‘ (OCXO) besteht nun darin, den Quarz im einen Regelkreis an die sich ändernde Umge-
oberen Umkehrpunkt dieser Funktion zu betrei- bungstemperatur angepasst. Dieser Umkehrpunkt
ben, d. h., den Quarz durch eine Regelung auf der liegt – wie man in Abb. 3.89 sieht – je nach
Umkehrpunkt-Temperatur zu halten. Der Quarz Schnittwinkel des Quarzes im Bereich zwischen
wird in einer wärmeren Umgebung mit kon- C50 °C und C85 °C. Zu niedrigeren Tempe-
stanter Temperatur betrieben; daher kommt der raturen als C50 °C fallen Umkehrpunkt und
Begriff „Ofenoszillator“ oder engl.: ‚Ovenized der sogenannte Inversionspunkt (Wendepunkt
Oscillator‘. der Funktion) immer mehr zusammen, bis man
252 K. Bressler und H. Rudolph

Abb. 3.94 Temperatur-


Charakteristik von SC-
Schnitt-Quarzen

schließlich den sogenannten Nullwinkel erreicht. SC-Schnitt (SC steht für stress compensated),
Der gewählte Arbeitspunkt muss oberhalb des der ein doppelt-gedrehter Schnitt ist, d. h., man
praktisch nutzbaren Arbeitstemperaturbereiches hat sowohl einen Schnittwinkel zur Z- als auch
liegen, da selbstverständlich nur dann eine Tem- zur X-Achse des Kristallgitters. Das macht die
peraturstabilisierung durch Heizen möglich ist. Je Herstellung des Quarzes schwieriger und teurer.
höher die Arbeitspunkttemperatur gewählt wird, Abbildung 3.94 zeigt die Temperaturcharakteris-
desto steiler wird die Funktion um den Umkehr- tik von SC-Quarzen. Die Inversionstemperatur
punkt herum (Abb. 3.89). Da ein OCXO nur eine von SC-Quarzen liegt mit etwa C90 °C sehr
endliche thermische Güte TA =TX hat, d. h. viel höher als die von AT-Quarzen. Prinzipiell
sich bei Änderungen der Umgebungstemperatur kann man bei SC-Schnitt Quarzen als OCXO-
auch die Temperatur des Quarzes leicht ändert, ist Arbeitspunkt entweder den unteren oder auch
es in zweifacher Hinsicht schwieriger, eine gute den oberen Umkehrpunkt der f .T /-Funktion
Frequenzstabilität über einen großen Tempera- verwenden. Da aber die Leistungsaufnahme
turbereich bis zu hohen Umgebungstemperaturen des OCXOs bei höherer Arbeitstemperatur hö-
zu schaffen. Zum einen ist die Temperaturände- her ist – was für die Anwendung ein Nachteil
rung am Quarz proportional der Änderung der darstellt – und außerdem wegen der höheren
Umgebungstemperatur – und damit größer – und Temperatur auch die Zuverlässigkeit ( , MTBF)
zusätzlich ist die Steilheit um den Arbeitspunkt abnimmt, wird – von speziellen Ausnahmen ab-
(Umkehrpunkt) bei hohen Umkehrpunkttempe- gesehen – in der Regel der untere Umkehrpunkt
raturen größer. als Arbeitspunkt verwendet.
Wie in Abb. 3.89 ersichtlich, wird die mit Abbildung 3.95 zeigt eine gezoomte Dar-
AT-Quarzen erreichbare Frequenzstabilität für stellung des Temperaturbereichs um den In-
eine bestimmte thermische Güte (TU =TQ ), versionspunkt zwischen 80 °C und 95 °C. Die
d. h. dem Verhältnis der Änderung der Umge- dargestellten f .T /-Funktionen unterscheiden
bungstemperatur zu der Änderung der Quarztem- sich nur um je 1=10 Winkelminute, d. h. 6
peratur, mit höheren Arbeitspunkt-Temperaturen Winkelsekunden. SC-Quarze mit solch kleinen
(> 60 ı C) immer schlechter. Es gibt aber ne- Winkeltoleranzen herzustellen, ist nicht mög-
ben dem AT-Schnitt noch weitere Quarzschnitte, lich. Daher muss der Oszillator-Entwickler eine
die zwar gewisse Nachteile haben, aber für ganz angemessene Toleranz des Arbeitspunktes be-
bestimmte Anwendungen durchaus von Vorteil rücksichtigen oder die Quarze müssen teuer
sind. Einer dieser Schnitte ist der sogenannte selektiert werden.
3 Transistoren 253

Abb. 3.95 Gezoomte


Darstellung f .T / von
SC-Schnitt-Quarzen

Abb. 3.96 Vergleich AT-


und SC-Schnitt-Quarz

Abbildung 3.96 zeigt den Vergleich des Ver- Temperaturbereich bis C85 °C bauen will, ist die
laufs der Frequenz als f .T / für einen AT- und Winkeltoleranz sehr kritisch (Abb. 3.95).
einen SC-Quarz im direkten Vergleich bei ei-
nem Umkehrpunkt von C75 °C. Man sieht sehr
deutlich, dass die Kurve des SC-Quarzes in der 3.7.15 Auswahlkriterien
Umgebung des Umkehrpunktes sehr viel flacher
verläuft. Dies macht sich in einer besseren Fre- Bei der Wahl eines geeigneten Quarzoszillators
quenzstabilität des OCXOs bemerkbar. Die Fre- ist es sehr wichtig, nicht nur die mit dem Oszilla-
quenzstabilität f .T / des SC-OCXO ist bis zu tor anzusteuernde Schaltung, sondern das ganze
10mal besser als die des AT-OCXO. System zu betrachten. Der Entwickler muss sich
Die Nachteile des SC-Quarzes ist der höhe- die grundsätzliche Frage stellen, welche Anfor-
re Preis (durch die beiden Schnittwinkel in zwei derungen an den Oszillator hinsichtlich seiner
Ebenen ist die Herstellung sehr viel schwieriger Langzeit- und Kurzzeitstabilität gestellt werden.
und die Ausbeute entsprechend geringer). Gera- Eine weitere wichtige Frage ist, ob der Oszillator
de wenn man SC-OCXOs für den industriellen über eine PLL mit einer „höherwertigen“ Refe-
254 K. Bressler und H. Rudolph

renz synchronisiert oder ‚gelockt‘ wird und ob 10.000-fache Volumen des kleinen XOs oder TC-
diese Korrektur kontinuierlich oder nur zyklisch XOs.
erfolgt.
Aus diesen Fragestellungen wird klarer, ob
nur die Kurzzeitstabilität von Bedeutung ist – 3.7.16 Kostenparameter
solange der Oszillator über einen ausreichend von Quarzoszillatoren
großen Ziehbereich verfügt, um ihn jederzeit auf
Sollfrequenz zu ziehen – oder ob der Oszilla- Folgende Parameter beeinflussen maßgebend die
tor zumindest für eine definierte Zeit über eine Kosten und damit die Preise von Quarzoszillato-
Gesamtstabilität, die unter allen denkbaren Be- ren:
triebszuständen eine bestimmte Größe nicht ver-  Frequenzstabilität über Temperatur, Betriebs-
letzen darf, die sogenannte ‚holdover stability‘ spannungs- und Laständerungen,
verfügen muss.  Temperaturbereich,
Der Ziehbereich eines Oszillators muss auch  Langzeitstabilität (Alterung),
für synchronisierte Oszillatoren größer als die  Kurzzeitstabilität,
Gesamtstabilität einschließlich der Alterung sein,  Frequenz,
da der Oszillator sonst irgendwann nicht mehr auf  Phasenrauschen oder Jitter,
seine Nominalfrequenz gezogen und damit nicht  Ziehbereich,
mehr synchronisiert werden kann. Deshalb ist  Subharmonischen-Abstand bei Frequenz-Ver-
selbst bei GPS-gelockten Oszillatoren – obwohl vielfachern,
die Frequenzänderungen der Referenz vernach-  Baugröße,
lässigbar klein sind – darauf zu achten, dass der  maximal zulässige Leistungs- oder Stromauf-
Ziehbereich des Oszillators groß genug ist, um nahme,
die eigene Gesamttoleranz und -stabilität über die  Aufwärmzeit und Frequenztoleranz,
Lebensdauer und unter allen Betriebszuständen  Retrace-Forderungen (die Frequenzabwei-
ausregeln zu können. chung eines Oszillators nach einer definierten
Neben der Frequenzstabilität gibt es noch wei- Aus- und neuerlichen Einschaltdauer bezogen
tere Kriterien, die die Entscheidung für den einen auf die Frequenz vor dem Ausschalt-
oder anderen Typ beeinflussen. Das ist beispiels- Zeitpunkt),
weise der Preis. Es ist angenehm, eine gute Fre-  Betriebsspannung.
quenzstabilität zu haben. Die Frage aber ist, ob
der Kunde bereit ist, dafür einen entsprechend hö-
heren Preis zu bezahlen. Ein guter OCXO kann 3.7.17 Vor- und Nachteile
mehr als 200 Mal teurer sein als ein Standard- von Ausgangssignalformen
XO.
Auch die Leistungsaufnahme ist wichtig. Für Das Ausgangssignal des Oszillators muss das
viele Batterie betriebene Geräte kommt ein OC- nachfolgende System optimal ansteuern. Die
XO nicht in Frage, weil das Gerät sonst eine zu Schnittstelle des Folgesystems bestimmt die
kurze Betriebsdauer hätte oder zu groß und zu Signalform und den Pegel. Frühzeitiges Nach-
schwer würde. Letztendlich spielt auch die Größe denken und die richtige Spezifikation des
des Oszillators eine wichtige Rolle. Es gibt Takt- Oszillators vermeiden viele Folgeprobleme. Pe-
Oszillatoren (XOs) und selbst TCXOs in einer gelwandler können hier ausgleichen, ein passend
Größe von 2:0 mm 1:6 mm 0:8 mm; das Volu- vorgeschriebener Ausgangspegel des Oszillators
men ist kleiner als 3 mm3 . Auf der anderen Seite ist die einfachere Lösung.
haben moderne Doppelöfen der Stabilitätsklasse Abbildung 3.97 zeigt das Oszillogramm eines
f .T /=f von 1 ppb (109 ) und besser ein Vo- typischen Rechteck-Ausgangssignals. Es dient
lumen von etwa 50 mm 40 mm 20 mm; dies zur Erläuterung der wichtigen Eigenschaften der
entspricht 40.000 mm3 , d. h., dies ist mehr als das Rechtecksignale, wie Anstiegs- und Abfallzeit
3 Transistoren 255

Frequenzspektrum. Der Anwender muss klären,


ob das stört.
Die Tab. 3.8 zeigt einige elektrische Eigen-
schaften der gebräuchlichsten Signalformen von
Oszillator-Ausgangssignalen und stellt die Vor-
und Nachteile dieser typischen Ausgangssignale
gegenüber.
 HCMOS: High Speed Complementary Metal
Oxid Semiconductor
 (LV)PECL: (Low Voltage) Positive-referenced
Abb. 3.97 Eigenschaften einer Ausgangssignal-Kurven- Emitter-Coupled Logic
form  LVDS: Low Voltage Differential Signal.
Tabelle 3.9 zeigt die unterschiedlichen Aus-
gangssignale von Quarzoszillatoren und deren
(engl: rise and fall time). Wenn nicht anders Vor- und Nachteile.
angegeben, sind in den Datenblättern die größt- Unsymmetrische Signale werden nur aus einer
möglichen Werte für die Anstiegs- und Abfallzeit Signalquelle mit einer Leitung gespeist und auf
der Rechteck-Ausgangsignale der Quarzoszilla- nur einen Signaleingang geschaltet; das Potenzial
toren angegeben. Sie geben die maximale Zeit der Ein- und Ausgänge und der Leitung ist auf
zwischen 10 % und 90 % des Spannungshu- Masse bezogen.
bes vom LOW-Zustand zum HIGH-Zustand an, Symmetrische Signale sind zwei Signale
wenn der Oszillatorausgang wie definiert belastet im Gegentakt, die auf zwei parallelen oder
ist. In den Datenblättern wird zum Rechteck- verdrillten Leitungen vom Sender zum Emp-
Ausgangssignale ein Tastverhältnis (engl.: duty fänger laufen. Der Empfänger bewertet nur die
cycle) angegebenen Es beträgt meistens 55=45 % Differenz, auf beiden Leitungen gemeinsam
oder 40=60 %) und gibt das zeitliche Verhält- vorhandene Störsignale werden wirksam unter-
nis der H-Zeit, Pulsdauer t1 , und der L-Zeit, drückt.
Pulsdauer t2 , zur gesamten Periodendauer an. Ab-
weichungen von der idealen 50=50 %-Verteilung
können sich nachteilig auswirken, wenn bei syn- 3.7.18 Anpassung der Schnittstelle
chronen Digitalschaltungen die positiven als auch zum Verbraucher
die negativen Flanken zum Triggern genutzt
werden, was grundsätzlich vermieden werden Insbesondere bei hochfrequenten Oszillatoren
sollte. Im Frequenzbereich betrachtet, bewirkt (f > 100 MHz) mit (LV)PECL- oder LVDS-
ein zeitlich unsymmetrisches Rechtecksignal ne- Ausgangssignal ist es wichtig, dass der Signal-
ben den prinzipiell vorhandenen ungeradzahligen ausgang des Oszillators richtig abgeschlossen
zusätzlich auch geradzahlige Harmonische im wird (Abschn. 4.2). Bei PECL-Ausgängen ist

Tab. 3.8 Wichtige Eigenschaften der gebräuchlichsten Signalformen


Signal Betriebsspannung H-Pegel L-Pegel Pegel- Anstiegs- und Last-Impedanz
VCC Differenz Abfallzeit
HCMOS C5 V > 4:5 V < 0:5 V < 10 ns 10 k//15 pF
LVHCMOS C3:3 V > 2:97 V < 0:33 V < 5 ns 10 k//15 pF
PECL C5 V > 4V < 3:45 V 1:4 Vpp < 1 ns 50 
LVPECL C3:3 V > 2:3 V < 1:75 1:4 Vpp < 1 ns 50 
LVDS C3:3 V=C2:8 V > 1:475 V < 0:925 V 0:7 Vpp < 1 ns 100  sym.
Sinus 0 bis C20 dBm 50 
Clipped Sine ca. 1 Vpp 10 k//10 pF
256 K. Bressler und H. Rudolph

Tab. 3.9 Vor- und Nachteile verschiedener Signaltypen


Signal Vorteile Nachteile
(LV)HCMOS  Für Taktoszillatoren Quasi-Standard für Fre-  Unsymmetrisch,
quenzen bis 100 MHz;  bei Frequenzen > 100 MHz ist der richtige
 kostengünstig; HF-Abschluss kritisch;
 für verschiedene Betriebsspannungen von 5  Stromaufnahme steigt mit zunehmender
bis zu 1,8 V verfügbar. Frequenz.
(LV)PECL  Symmetrisch komplementär ! gute  Hohe Stromaufnahme
Gleichtaktunterdrückung (Common Mode  teuer im Vergleich zu HCMOS (wird daher
Suppression); in der Regel erst bei Frequenzen größer als
 gute Flankensteilheit auch bei hohen Frequen- 100 MHz verwendet.
zen;
LVDS  Symmetrisch komplementär;  Teurer als HCMOS;
 gute Flankensteilheit auch bei hohen Frequen-  LVDS-Takteingänge bei ICs weniger ver-
zen; breitet.
 im Verhältnis zu PECL geringerer Strom.
Sinus  Ist ein „reines“ Signal;  Keine steilen Flanken, d. h. nicht geeignet
 kann gut an 50  angepasst werden; um Digitalschaltungen direkt zu anzusteuern.
 geringes Phasenrauschen, d. h. kleiner ‚noise  Unsymmetrisch;
floor‘.  teuer, wenn rückwirkungsarm und ein großer
Abstand der Harmonischen zur Grund-
schwingung gefordert wird.
Clipped Sine  Kleine Ausgangsleistung ! geringe Strom-  Das Signal muss vor der Taktung eines Ver-
aufnahme. brauchers aufbereitet und gewandelt werden.

zudem nicht nur der HF-Abschluss mit 50  anwendungen haben sich Keramikgehäuse mit
wichtig, sondern auch die richtige Vorspannung 7 mm 5 mm oder kleiner durchgesetzt. Es
(engl. biasing). gibt mittlerweile XOs, VCXOs und TCXOs in
6 mm 3;5 mm, 5 mm 3;2 mm, 4 mm 2;5 mm,
Was für HF-Schaltungen grundsätzlich gilt, ist
auch für Quarzoszillatoren zu beachten. Auch 3;2 mm 2;5 mm, 2;5 mm 2;0 mm und sogar
wenn es sich nicht um Oszillator-Frequenzen im 2;0 mm 1;6 mm. Allerdings ist bei den kleins-
GHz-Bereich handelt, erzeugen diese schnellen ten Oszillatoren der verfügbare Frequenzbereichs
Signale wie PECLs und LVDS doch Spektralan- kleiner und ihre elektrischen Eigenschaften wie
teile, die bis in den GHz-Bereich reichen. Des- Phasenjitter und Phasenrauschen schlechter.
halb ist auch besondere Sorgfalt auf die Aus- Auch wenn in der Miniaturisierung der Quarz-
legung des Leitungswiderstandes und die Lei- oszillatoren in den letzten 20 Jahren erhebliche
tungsführung zu richten (Abschn. 4.2). In der Fortschritte erzielt wurden, gilt noch immer,
weiterführenden Literatur, speziell bei MAXIM dass die stabilsten Oszillatoren leider auch die
INTEGRATED PRODUCTS: Application Note größten sind. Abbildung 3.98 zeigt die gän-
HFAN-1.0 (Rev 0;9=00) werden eine ganze Rei- gigsten Ausführungsformen von Quarzoszillato-
he nützlicher Hinweise und Tipps für ein HF- ren.
gerechtes Layout gegeben. Einige typische Oszillatoren mit erhöh-
ten Anforderungen an Frequenzstabilität und
Phasenrauschen zeigt Abb. 3.98. Das Foto
3.7.19 Ausführungsformen von
links oben zeigt einen Taktoszillator im OMB-
Quarzoszillatoren
Keramikgehäuse mit 7 mm 5 mm. In der Mitte
Quarzoszillatoren sind heute in vielen verschie- ist ein temperaturkompensierter Oszillator, TC-
denen Gehäuseformen erhältlich. Für Standard- XO, 5 mm 3;2 mm. Beide Gehäusegrößen sind
3 Transistoren 257

Abb. 3.98 Ausführungsformen von Quarzoszillatoren, nicht maßstäblich (Werkfoto: KVG Quartz Crystal Technology
GmbH, Neckarbischofsheim)

heute Standard. Rechts oben ist ein weiterer  Heinemann, R.: (2011) PSPICE Einführung
TCXO zur Oberflächenmontage 7 mm 5 mm in die Elektroniksimulation. Hanser Verlag
groß. 7. Auflage.
Das Foto links unten zeigt eine typische  Reinhold, W.: (2010) Elektronische Schal-
SMD-Ausführungsform mit den Abmessungen tungstechnik, Grundlagen der Analogelektro-
von 14 mm 9 mm 5 mm, wie sie oftmals nik. Hanser Verlag, 1. Auflage.
für hochfrequente VCXOs mit PECL- oder  Seifarth: Analoge Schaltungen. 6. Auflage
LVDS-Ausgängen oder auch für spezielle TC- Verlag Technik Berlin.
XOs verwendet wird. In der Mitte und rechts  Tenten, W.: (2012) Analoge Schaltungstechni-
sind hochwertige Ofenoszillatoren abgebildet. ken der Elektronik. Oldenburg Verlag 1. Auf-
Das Bild unten rechts zeigt einen typischen lage.
Präzisionsoszillator (OCXO) der ppb-Klasse in  Tietze, U., Schenk, Ch.: (2009) Halbleiter-
einem hermetisch dichten Metallgehäuse mit Schaltungstechnik. Springer Verlag 13. Aufla-
den Abmessungen 36 mm 27 mm 20 mm. ge.
Dieses Metallgehäuse wird heute bevorzugt für Die technischen Publikationen der Halblei-
HiRel-Anwendungen verwendet. High-Rel, ist terhersteller sind heute eine wichtige Informati-
die Abkürzung für High Reliability und bedeutetonsquelle. Auf den Internet-Seiten der Hersteller
„hohe Zuverlässigkeit“. findet man u. a. unter den Stichworten Applica-
tion Note oder Technical Documentation viele,
zum Teil ausführliche Veröffentlichungen. Die-
se Dokumentation ist ausschließlich in englischer
3.8 Weiterführende Literatur zu Sprache.
Abschn. 3.1 bis Abschn. 3.5  www.fairchildsemi.com
 www.infineon.com
 Beetz: (2007) Elektroniksimulation mit PSPI-  www.irf.com
CE. Vieweg C Teubner.  www.nxp.com
258 K. Bressler und H. Rudolph

 www.semikron.com  Allan, D. W.: (1966, IEEE 54, No 2, S. 221–


 www.st.com (STMicroelectronics) 231, BIN 7) Statistics of Atomic Frequency
 www.ti.com Standard, Proceedings of the IEEE.
 www.vishay.com  Filler, R. F.: (Mai 1998, Vol. 35, No. 3) The
 www.fischerelektronik.com (Kühlkörper) Accelaration Sensitivity of Quartz Crystal Os-
cillators: A Review, IEEE TRANSACTIONS
ON ULTRASONICS, FERROELECTRICS,
3.8.1 Normen
AND FREQUENCY CONTROL.
 Galliou, Sthal, Gufflet, Mourey: (2000, Rev.0,
 DIN EN 60679-1 Ed. 3: Fachgrundspezifikati-
9=00) Predicting Phase Noise in Crystal Oscil-
on.
lators, Laboratoire de Chronométrie Electro-
 DIN IEC 61837-4: Oberflächenmontierbare
nique et Piézoélectricité, ENSMM and KVG
piezoelektrische Bauteile zur Frequenzstabili-
Quartz Crystal Technology GmbH.
sierung und –Selektion: Norm-Gehäusemaße
 John R. Vig, J.: (2005) Quartz Crystal Re-
und Anschlüsse; Teil 4: Hybridgehäuse.
sonators and Oscillators For Frequency
 DIN EN 60679-3: Quarzoszillatoren mit be-
Control and Timing Applications – A Tuto-
werteter Qualität: Norm- Gehäusemaße und
rial. US Army Communications-Electronics
Anschlussdrähte.
Research, Development & Engineering Center
 IEC 49=784=NP: Guide of phase jitter mea-
Fort Monmouth, NJ, USA.
surement method for quartz crystal oscillators
 MAXIM INTEGRATED PRODUCTS: (2000,
and SAW oscillators.
Rev. 0, 9=00): Application Note HFAN-1.0.
 DIN IEC 60679-1-Amendment 2: Quartz
 1MIL-PRF-55310E, 31 March 2006, PER-
Crystal Controlled Oscillators of Assessed
FORMANCE SPECIFICATION OSCILLA-
Quality; Part 1: Generic specification.
TOR, CRYSTAL CONTROLLED, GENE-
RAL SPECIFICATION.
3.8.2 Weiterführende Literatur  B. Neubig, B., Briese, W.: (1997) Das große
zu Abschn. 3.7 Quarzkochbuch. Franzis-Verlag.
 Steven J. Fry, S. J.: (Oktober 2004) Size Up
 Acterna Eningen GmbH: To shake or not to Acceleration Sensitivity On XOs, Microwaves
shake?, Application Note 71. & RF.
 Aeroflex Inc.: PN9000 Automated Phase Noise  Story, M.: (1998) Timing Errors and Jitter.
Measurement System, Application Note 1. dCS Ltd.
 Agilent Technologies: Agilent E5052B Signal
Source Analyzer, Advanced Phase Noise and
Transient Measurement Techniques, Applica- 3.8.3 Web-Seiten
tion Note.
 Agilent AN 1289: (2000) The Science of Time-
 http://www.allanstime.com/AllanVariance/
keeping. Application Note, Agilent Technolo-
index.html
gies.
 http://en.wikipedia.org/wiki/Allan_variance
 Agilent Technologies: Using Clock Jitter Ana-
 http://www.kvg-gmbh.de
lysis to Reduce BER in Serial Data Applicati-
 http://www.maximintegratedcircuits.com
ons, Application Note.
 http://www.maximintegratedcircuits.com
 Analog Devices: LVDS Data Outputs for
High-Speed Analog-to-Digital Converters,
Application Note AN 586.
Hochfrequenz-Verstärker
4
Jürgen Langner

Transistorverstärker finden bis zu sehr ho- dann wird ein Teil der Leistung an der Stoßstelle
hen Frequenzen Verwendung. Beim Entwurf der beiden Impedanzen in die Quelle reflektiert
der Schaltung sind außer den Transistoreigen- (Abb. 4.1c). Befinden sich mehrere Glieder im
schaften bei hohen Frequenzen auch die HF- Weg des Hochfrequenzsignals, dann muss jede
Eigenschaften von Bauteilen und Leitungen zu Last an ihre Quelle angepasst sein, wobei Leitun-
berücksichtigen. Bei HF-Schaltungen muss des- gen in der Kette an ihrem Anfang als Last zählen,
halb mit allen komplexen Vierpolparametern des am Ende als Quelle.
Transistors gerechnet werden. Vernachlässigun- Hochfrequenzbaugruppen, die zu Geräten
gen führen schnell zu groben Fehlern. Ebenso oder Systemen zusammengesetzt werden, stellt
ist die Anordnung aller Bauteile genau zu durch- man möglichst immer mit der gleichen Impe-
denken. In HF-Schaltungen soll die Energie nur danz her. In der professionellen HF-Technik sind
in der erwünschten Richtung fließen; man er- es 50 , da Kapazitäten und Induktivitäten bei
reicht dies durch eine geeignete Anpassung aller diesem Wert gut zu handhabende Werte und
Elemente im Signalweg. die Kabel günstige Abmessungen haben. Das
ist beispielsweise bei Leitungen, Dämpfungs-
gliedern, Leistungsteilern und anderen passiven
HF-Baugruppen möglich, während die Impedan-
4.1 Anpassung und Reflexion
zen eines Verstärkers von den Transistordaten
abhängen und meistens erheblich von den er-
Die erzeugte Hochfrequenzleistung soll mög- wünschten 50  abweichen. Quelle und Last
lichst verlustlos zum Verbraucher gelangen. muss man dann durch ein Anpassnetzwerk anein-
Dies geschieht durch eine Leistungsanpassung ander anpassen (Abb. 4.2).
zwischen Quelle und Last, d. h., wenn die In- Haben Quelle und Last unterschiedliche, aber
nenwiderstände der Quelle RQ und der Last RL rein ohmsche Impedanzen, dann kann das An-
gleich groß sind. passnetzwerk ein Transformator sein, der die
Abbildung 4.1a zeigt die Signalquelle und die Impedanz der Quelle an die Last anpasst. Sind die
Last, Abb. 4.1b die Welle, die ungehindert von charakteristischen Widerstände komplex, dann
der Quelle zum Verbraucher fließt. Weichen die wird der Blindanteil durch einen zusätzlichen
charakteristischen Innenwiderstände oder Impe- konjugiert komplexen Widerstand (bestehend
danzen der Quelle und der Last voneinander ab, aus einer Spule oder einem Kondensator) zu ei-
nem rein ohmschen Wert ergänzt (beispielsweise
J. Langner () der Kondensator C in Abb. 4.2). Diese Kom-
E-Mail: juergen.lan@web.de pensation stimmt genau genommen nur für eine

© Springer-Verlag GmbH Deutschland 2017 259


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_4
260 J. Langner

Abb. 4.2 Anpassnetzwerk zwischen Quelle und Last

Abb. 4.3 Fortschreitende Welle auf einer Leitung

Leitung als Funktion des Ortes x und der Zeit t.


Gleichzeitig fließt in beiden Leitungen ein der
Spannung proportionaler Strom. Am Ende der
Leitung fließt der Strom durch den Lastwider-
stand RL . Wenn das Verhältnis von Strom und
Spannung zusammen mit dem Wert des Last-
widerstandes RL dem ohmschen Gesetz genügt,
fließt die ganze Energie in den Abschlusswider-
stand: Die Leitung ist angepasst.
Abb. 4.1 Leistungsanpassung bzw. Fehlanpassung zwi-
schen Quelle und Last Ist die HF-Leitung nicht mit ihrem charak-
teristischen Widerstand abgeschlossen, sondern
mit einem anderen ohmschen Wert, einem Blind-
Frequenz exakt, sie reicht aber für die meist klei- widerstand oder im Extremfall kurzgeschlossen
ne Bandbreite der HF-Verstärker aus. bzw. unbelastet; dann wird ein Teil oder die gan-
ze HF-Leistung am Leitungsende reflektiert. Die
hin- und die rücklaufende Welle überlagert sich
4.2 Transport der Hochfrequenz auf der Leitung zu einer stehenden Welle. Dabei
auf Leitungen fließt die HF-Energie der Quelle wieder in diese
zurück und stört deren Funktion. Abbildung 4.4
Die Hochfrequenz breitet sich im freien Raum zeigt die Entstehung stehender Wellen.
und in Leitungen als fortschreitende Welle aus. Die Amplituden der vor- und der zurück-
Für die Wellenlänge gilt laufenden Welle addieren sich linear. Am
c Schwingungsknoten bleibt die Amplitude immer
D ; (4.1) null, während sie sich am Schwingungsbauch
f
vom Maximum über den Wert null zum Mini-
wobei c D 2;99792  108 m=s (Lichtgeschwindig- mum und wieder zurück ändert.
keit) und f die Frequenz ist. Ein Maß für die Anpassung ist der Reflexi-
Abbildung 4.3 zeigt die Augenblickswerte der onsfaktor r, oft auch mit  bezeichnet, der das
Spannung zwischen den beiden Adern einer HF- Verhältnis zwischen der hinlaufenden Spannung
4 Hochfrequenz-Verstärker 261

Abb. 4.5 Reflexionsfaktor, Rückflussdämpfung und


Stehwellenverhältnis

nach Gl. 4.3 berechnen:

RL =RQ  1
rD : (4.3)
RL =RQ C 1

In der Praxis wird häufig das Leistungsverhält-


nis zwischen hin- und rücklaufender Welle in dB
angegeben. Dieser Wert wird Rückflussdämpfung
(engl.: return loss R.L.) oder einfach Anpassung
genannt; es gilt

R.L. D 10  log r 2 dB : (4.4)

Die Güte der Anpassung wird auch als Steh-


wellenverhältnis (engl.: Voltage Standing Wave
Ratio, VSWR) bezeichnet; hierfür gilt

1Cr
VSWR D : (4.5)
1r

Abbildung 4.5 zeigt den Zusammenhang


Abb. 4.4 Entstehung einer stehenden Welle auf einer am
Ende offenen Leitung zwischen dem Reflexionsfaktor r, der Rückfluss-
dämpfung R.L. und dem Stehwellenverhältnis
VSWR.
Uh und der zurücklaufenden Spannung Ur angibt: Ist eine Größe, beispielsweise 21 dB Rück-
flussdämpfung, bekannt, dann kann man den re-
r D Ur =Uh : (4.2) flektierten Anteil direkt an der oberen Skala des
Nomogramms ablesen. Die Höhe des Schnitt-
Der Reflexionsfaktor r lässt sich aus den Innen- punkts der senkrechten Linie mit der Kurve gibt
widerständen der Quelle RQ und der Last RL das Stehwellenverhältnis VSWR an.
262 J. Langner

Beispiel 4.2-1
Die Schaltung in Abb. 4.2 hat eine Rückfluss-
dämpfung von 20 dB. Welcher Lastwiderstand
RL ergibt sich bei einem Quellenwiderstand
von RQ D 50 ?

Lösung
Für den Reflexionsfaktor gilt nach Gl. 4.4:

r D 10R.L.=20 D 1020=20 D 0;1 :

Für den Lastwiderstand ergibt sich nach


Gl. 4.3:
1Cr 1 C 0;1
RL D RQ D 50  D 61;11  :
1r 1  0;1

4.3 Wellenwiderstand
einer Hochfrequenzleitung

Hochfrequenz kann man über drei verschiedene


Leitungstypen weiterleiten (Abb. 4.6). Für die
Verbindung zwischen Geräten, zur Antenne und
über größere Strecken verwendet man vorwie-
gend koaxiale Leitungen (Abb. 4.6a). Bei geringen
Anforderungen an die Störfestigkeit kann man
Abb. 4.6 Hochfrequenzleitungen
Hochfrequenz auch über eine symmetrische
Leitung (Abb. 4.6b) führen. Innerhalb der Bau-
gruppen wird die Hochfrequenz heute meistens und den bekannten Daten der Leiterplatte: Di-
über Mikrostreifenleitungen geführt, die direkt auf cke H (engl.: Height) und Permittivitätszahl "r ,
der Leiterplatte hergestellt werden (Abb. 4.6c und die erforderliche Breite W (engl.: Width) nach
Abb. 1.88 in Abschn. 1.9.2). Bei allen Typen hängt Gl. 4.8 und 4.9 näherungsweise berechnen. Die
der Wellenwiderstand Z von den mechanischen Formeln gelten nicht exakt; sie liefern aber einen
Abmessungen quer zur Ausbreitungsrichtung, guten Näherungswert, wenn man zum Verhält-
von der Permittivitätszahl "r des Isoliermateri- nis W=H die passende Formel wählt.
als zwischen den Leitungen, nicht aber von der Die Berechnung des Wellenwiderstandes Z
Länge der Leitung ab. Spezialisierte Kabelher- für die gegebene Geometrie W=H und "r für
steller liefern Koaxialleitungen und garantieren 0;05 W=H 1 und "r 16 ergibt:
alle wichtigen elektrischen Werte. Die Bedeutung  
60 H W
symmetrischer Leitungen nimmt immer mehr ab. ZDp ln 8 C 0;25 : (4.6)
"r eff W H
Mikrostreifenleitungen innerhalb einer Schaltung
dimensioniert der Entwickler der Schaltung so, Die effektive Permittivitätszahl "r eff errechnet
dass er die gewünschte Impedanz erreicht. sich gemäß
Der Wellenwiderstand Z einer Mikrostreifen-
"r C 1 "r  1
leitung ist aus den Abmessungen und der Per- "r eff D C
" 2 2
mittivitätszahl "r der Leiterplatte nach Gl. 4.6 0;5   #
und 4.7 näherungsweise zu berechnen; ebenso H H 2
 1 C 12 C 0;04 1  :
kann man bei gegebenem Wellenwiderstand Z W W
4 Hochfrequenz-Verstärker 263

Für 1 W=H 20 und "r 16 gilt Der Wellenwiderstand Z ergibt sich nach
Gl. 4.7 zu
120
ZDp 120
"r eff ZDp
1 1;962
 1
W=H C 1;398 C 0;667 ln.W=H C 1;444/ 
(4.7) 2;992 C 1;398 C 0;667 ln.2;992 C 1;444/
und D 49;99  :
"r C 1 "r  1
"r eff D C .1 C 12 H=W /0;5 :
2 2
4.4 Eingangs-
Zur Berechnung der Geometrie W=H für den ge- und Ausgangswiderstände
gebenen Wellenwiderstand Z und ein gegebenes von HF-Transistoren
"r gilt 0;05 W=H 2 und "r 16
Bei niedrigen Frequenzen sind die Ein- und Aus-
W 8 eA
D 2A : (4.8) gangswiderstände und die Stromverstärkung ei-
H e 2
nes Transistors reell. Bei hohen Frequenzen wer-
Für 2 W=H 20 und "r 16 gilt den die Kenngrößen des Transistors komplex;
denn die Kapazitäten benachbarter Schichten im
W 2
D B  1  ln.2 B  1/ Transistor, die Zuleitungsinduktivitäten und die
H  Laufzeiteffekte bewirken Verzögerungen der Si-

"r  1 0;61
C ln .B  1/ C 0;39  : gnalübertragung.
2 "r "r Abbildung 4.7 zeigt ein vereinfachtes Ersatz-
(4.9) schaltbild eines HF-Transistors. Die Kapazitäten
s   zwischen allen Elektroden des Transistors und
Z0 "r C 1 "r  1 0;11
AD C 0;83 C ; die Zuleitungsinduktivitäten beeinflussen seine
60 2 "r C 1 "r Eigenschaften und sind deshalb zu berücksichti-
377  gen (Abb. 3.6 für niederfrequente Anwendungen;
BD p :
2 Z0 "r Abschn. 3.2.8, in dem der Einfluss der wichtigs-
ten Blindwiderstände beschrieben ist).
Beispiel 4.3-1 Die Basis-Emitterkapazität CBE liegt zum
Eine Mikrostreifenleitung mit Teflon als Eingang parallel und verbraucht einen Teil des
Substrat ("r D 2;33, Substratdicke H D in der Basis benötigten Eingangsstroms. Die
0;787 mm, Kupferbelag 17 m) hat eine Län- Basis-Emitterkapazität ist eine Diffusionska-
ge von 3,25 cm und eine Breite von 2,355 mm. pazität und entsteht durch Ladungsträger, die
Welchen Wellenwiderstand hat die Leitung? vom Emitter in die Basis gelangen. Mit zu-
nehmendem Emitterstrom nimmt die Zahl der
Lösung Ladungsträger und damit die Speicherzeit zu.
W=H D 2;355 mm=0;787 mm D 2;99 und Sie ist bei HF-Transistoren immer groß im Ver-
"r eff für 1 W=H 20 gleich zur Periodendauer. Deshalb hängt der
Eingangswiderstand des HF-Transistors nicht
"r C 1 "r  1
"r eff D C .1 C 12 H=W /0;5 ; vom Augenblickswert der Eingangsleistung ab.
2 2 Die Kollektor-Emitterkapazität CCE liegt
2;33 C 1
"r eff D zum Ausgang parallel und belastet die Aus-
2
 0;5 gangsspannung. Ist der Arbeitswiderstand ein
2;33  1 1 Schwingkreis, dann wird die Kapazität einbezo-
C 1 C 12
2 2;992 gen und stört nicht, solange die Bandbreite 10
D 1;962 : bis 20 % der Mittenfrequenz nicht überschrei-
264 J. Langner

Abb. 4.7 Vereinfachtes Ersatzschaltbild eines HF-Tran-


sistors

tet. Breitbandverstärker arbeiten bei mittleren


Strömen und verwenden Transistoren mit hoher
Transitfrequenz. Interne und externe Lastkapazi-
täten sind sehr klein zu halten.
Über die Kollektor-Basiskapazität CCB fließt
ein Strom vom Kollektor zur Basis. Da die Basis-
und die Kollektorspannung gegenphasig sind,
wirkt der Strom in der Kollektor-Basis-Kapazität
dem Eingangsstrom entgegen. Dadurch werden
der Eingangswiderstand und die Verstärkung ver-
ringert. Tritt im Eingangs- und Ausgangskreis
eine zusätzliche Phasendrehung auf, dann kann
über die Kollektor-Basiskapazität eine Mitkopp-
lung entstehen und die Schaltung schwingt.

Abb. 4.8 Ankommende und abgehende Spannungen an


4.4.1 S-Parameter einem Vierpol

Die S-Parameter (Streu-Parameter, engl.: scat-


tering-parameter) beschreiben die wichtigen werk zu betrachten ist. Der Quotient dieser zwei
Eigenschaften eines HF-Transistors, wie Ein- komplexen Spannungen ist dimensionslos. Ab-
gangswiderstand, Ausgangswiderstand, Verstär- bildung 4.8a veranschaulicht die Verhältnisse
kung und Rückwirkung bei einer bestimmten der ankommenden und abgehenden Wellen im
Frequenz. Jeder S-Parameter ist das Verhältnis Vierpol.
aus einer beim Transistor ankommenden und von Die S-Parameter berechnet man aus vier Span-
ihm abgehenden Welle. Sie sind deshalb gut mit nungen (a, b) am Transistor. Die Spannungen
Standardmessgeräten der HF-Technik zu messen sind komplexe Größen und müssen deshalb nach
und – im Gegensatz zu den H- und Y-Parame- Betrag und Phase bekannt sein. Es gilt:
tern – auch im Mikrowellenbereich zuverlässig zu a1 : Amplitude und Phase der ankommenden
bestimmen. Spannung am Tor 1
a2 : Amplitude und Phase der ankommenden
Spannung am Tor 2
4.4.2 Definition der S-Parameter b 1 : Amplitude und Phase der abgehenden Span-
nung am Tor 1
Jeder S-Parameter ist der Quotient aus der b 2 : Amplitude und Phase der abgehenden Span-
Spannung der abgehenden Welle (b/ und der nung am Tor 2.
Spannung der ankommenden Welle (a) am Z G ist die Impedanz des Generators und ZL die
Transistor, der als Vierpol oder als Zweitornetz- Lastimpedanz.
4 Hochfrequenz-Verstärker 265

Abb. 4.9 Mess-Schaltung


für S-Parameter

Die vier S-Parameter kann man nach Abb. 4.8 In den Hochfrequenzschaltungen sind oft vie-
als Verhältnis der ankommenden und der abge- le Einzelkomponenten im Signalweg in Reihe
henden Spannungen wie folgt beschreiben: geschaltet. Die Eigenschaften der Gesamtschal-
Eingangsreflexionsfaktor: tung lassen sich mit der Matrizenrechnung gut
ˇ berechnen, und sind deshalb häufig in Matrizen-
b 1 ˇˇ
S1 1 D ; (4.10) schreibweise dargestellt (Gl. 4.17).
a1 ˇa2 D0 " # " # " #
b1 S1 1 S1 2 a1
Vorwärtsübertragungsfaktor: D (4.16)
b1 S2 1 S2 2 a1
ˇ
b 2 ˇˇ
S2 1 D ; (4.11) oder
a1 ˇa2 D0 " #
S1 1 S1 2
Rückwärtsübertragungsfaktor: b D S a; wobei gilt: S D
S2 1 S2 2
ˇ
b 1 ˇˇ
S1 2 D ; (4.12) Die vier komplexen Elemente der Matrix S be-
a2 ˇa1 D0 schreiben die Transistoreigenschaften vollstän-
dig. Die gemessenen Werte sind frequenzabhän-
Ausgangsreflexionsfaktor: gig.
ˇ
b 2 ˇˇ
S2 2 D : (4.13)
a2 ˇa1 D0 4.4.3 Messung der S-Parameter
Abbildung 4.8b zeigt den Signalfluss im Vierpol Nach den Gleichungen 4.10 bis 4.13 ermittelt
und 4.8c den Vergleich mit einem Transistor. man die S-Parameter als Verhältnis einer ankom-
Die folgende Rechnung soll aus den Eingangs- menden und einer abgehenden Spannung gemäß
größen der Schaltung (a1 und a2 / und den Tran- der Mess-Schaltung nach Abb. 4.9.
sistoreigenschaften (den S-Parametern) die Aus- Die Mess-Schaltung besteht aus einem Hoch-
gangssignale b 1 und b 2 ermitteln. Das Ausgangs- frequenzgenerator mit dem Quellwiderstand Z0 ,
signal am Tor 1 setzt sich aus dem reflektierten einem Richtkoppler in der Basisleitung, einem
Eingangssignal a1  S 1 1 und dem Eingangssignal Messadapter für den zu prüfenden Transistor,
am Tor 2, multipliziert mit dem Rückwirkungs- einem zweiten Richtkoppler in der Kollektorlei-
faktor a2  S 1 2 zusammen. Das Ausgangssignal tung und einem Abschlusswiderstand mit Z0 .
am Tor 2 wird in der gleichen Weise berechnet Der Richtkoppler ist eine Mess-Sonde, mit de-
und es ergibt sich ren Hilfe man einen genau bekannten Anteil der
fließenden Hochfrequenzleistung an einem Aus-
b 1 D S 1 1 a 1 C S 1 2 a2 und (4.14) gang auskoppeln kann. Vor- und zurücklaufen-
b 2 D S 2 1 a 1 C S 2 2 a2 : (4.15) de HF-Leistungen werden getrennt erfasst und
266 J. Langner

Tab. 4.1 S-Parameter-Satz


Transistor JL 1958 (T D 25 ı C, VDS D 2 V, ID D 15 mA)
f (GHz) S11 S12 S21 S22
M A (deg) M A (deg) M A (deg) M A (deg)
1,0 0,833 52,9 0,059 50,2 3,230 132,3 0,604 37,3
1,5 0,812 63,7 0,073 42,6 3,077 122,0 0,595 44,9
2,0 0,791 76,0 0,078 34,9 2,920 111,1 0,587 51,5
2,5 0,768 82,9 0,081 28,0 2,751 101,7 0,580 58,2
3,0 0,751 91,5 0,084 21,0 2,592 92,5 0,576 65,0

an zwei verschiedenen Ausgängen ausgegeben. Die Abkürzungen bedeuten:


Fließt Hochfrequenz von 1 nach 2 vorwärts durch VCE Kollektor-Emitterspannung
den Richtkoppler, dann wird ein kleiner definier- IC Kollektorstrom
ter Teil dieser Leistung am Ausgang 10 (Vor- T Temperatur in ı C
lauf) ausgekoppelt. Am Ausgang 20 (Rücklauf) M Amplitude (Magnitude)
erscheint nur dann ein Signal, wenn die Hochfre- A (deg) Phasenwinkel in Grad (Angle in De-
quenzleistung von 2 nach 1 fließt. gree).
Wird HF-Leistung am Transistoreingang re- Aus den S-Parametern lassen sich die Leis-
flektiert, dann fließt die Energie gleichzeitig in tungsverstärkung G und die Rückwirkung R
beiden Richtungen; dementsprechend wird an nach folgenden Gleichungen direkt ermitteln:
beiden Ausgängen, 10 und 20 , eine Spannung
ausgekoppelt. Den Betrag und die Phase der G D 20 log jS21 j dB ; (4.17)
ausgekoppelten Spannung kann man mit einem R D 20 log jS12 j dB: (4.18)
Vektorvoltmeter messen (Messung der Abso-
lutbeträge, des Spannungsverhältnisses und der Tabelle 4.1 zeigt die S-Parameter für den Tran-
Phasendifferenz zweier gleichfrequenter Hoch- sistor JL 1958.
frequenzspannungen).
Die Mess-Strecke enthält am Anfang einen
HF-Generator G1 und am Ende einen Abschluss- 4.5 Rauschparameter
widerstand Z0 . Die Strecke wird in beiden Rich-
tungen vermessen und liefert die vier komplexen Hochfrequenzsignale erreichen auf ihrem Weg
Spannungen a1 , a2 , b 1 und b 2 . Daraus kann man häufig sehr kleine Pegel, beispielsweise das
nach Gl. 4.16 die S-Parameter berechnen. Die- Antennensignal am Eingang eines Funkemp-
se hängen von der Frequenz, vom Arbeitspunkt fängers. Die folgenden Verstärkerstufen sollen
des Transistors und von der Sperrschichttempe- nur das Nutzsignal verstärken, aber kein Ei-
ratur ab. Sie lassen sich gut mit einem Netzwerk- genrauschen hinzufügen. Da alle Komponenten
analysator bestimmen, der die Mess-Schaltung rauschen, kann man diese Störung nicht ver-
nach Abb. 4.9 und einen Auswerterechner so- hindern, sondern nur verringern. Solange die
wie weitere Messmöglichkeiten enthält. Mit Hil- Signalpegel klein sind, ist nicht die größtmög-
fe eines Steuerprogramms kann man einen S- liche Verstärkung, sondern das kleinstmögliche
Parameter-Satz für viele Frequenzbereiche und Rauschen anzustreben. Hierzu wird der Transis-
Arbeitspunkte des Transistors mit geringem ma- tor rauschangepasst. Die Rauschanpassung ist
nuellem Zeitaufwand ermitteln. Tabelle 4.1 zeigt im Allgemeinen keine Leistungsanpassung. Die
einen S-Parameter-Satz. Im Allgemeinen werden Tab. 4.2 der Rauschparameter gibt die Quellen-
die S-Parameter den Datenbüchern entnommen. und Lastreflexionsfaktoren S bzw. L sowie
Diese Werte sind Mittelwerte, die (wie die meis- die erreichbare Verstärkung bei der günstigsten
ten Transistordaten) erheblich streuen können. Rauschanpassung an. Die Reflexionsfaktoren S
4 Hochfrequenz-Verstärker 267

Tab. 4.2 Typische Rauschparameter


Transistor PL 1962 (VCE D 10 V, IC D 10 mA)
Frequenz NFmin GA S Source L Load
GHz dB dB Mag. Angle Mag. Angle
1,0 1,3 15 0,17 64 0,76 88
2,0 2,2 7 0,56 172 0,93 78

und L müssen am Transistor eingestellt sein, um


die optimale Rauschzahl NFmin zu erreichen.
Die Abkürzungen bedeuten:
S Source: Reflexionsfaktor der Quellimpe-
danz ZS (E in Abb. 4.14c)
L Load: Reflexionsfaktor der Lastimpedanz
ZL (A in Abb. 4.14c)
GA : Verstärkung (Associated Gain).
Abbildung 4.10 zeigt die Signal- und Rausch-
pegel am Eingang und am Ausgang eines Verstär-
kers. Das starke Eigenrauschen des Verstärkers
verringert den Eingangsrauschabstand Se =Ne D
55 dB auf den Ausgangsrauschabstand Sa =Na D
50 dB. Das Bild zeigt die Aufnahme eines Spek-
trum-Analysators vor und nach dem Verstärker.

4.5.1 Rauschfaktor

Das Verhältnis des Signal-Rauschabstands am Abb. 4.10 Signal-Rauschverhältnis vor und nach einem
Eingang Se =Ne , zum Signal-Rauschabstand am Verstärker
Ausgang Sa =Na , wird Rauschfaktor F genannt.

Se =Ne Se  Na Verstärkung G plus dem im Verstärker erzeugten


Rauschfaktor: F D D ; (4.19)
Sa =Na Sa  Ne Rauschen Nx , so dass gilt:

wobei Na D GNe C Nx : (4.21)


Se : Eingangssignalleistung
Sa : Ausgangssignalleistung Für die Ausgangsnutzleistung Sa gilt
Ne : Eingangsrauschleistung
Na : Ausgangsrauschleistung Sa D GSe : (4.22)
In Datenbüchern wird häufig die Rauschzahl
NF (engl.: Noise Figure) in dB angegeben. Die Die Eingangsrauschleistung Ne ist in Ab-
Rauschzahl NF ist der in dB umgerechnete schn. 3.1.3.6, Gl. 3.12, als PR beschrieben. Sie
Rauschfaktor F . Es gilt demnach: ist physikalisch bedingt und kann nur durch ein
Absenken der absoluten Temperatur T und der
Rauschzahl: NF D 10 log F dB : (4.20) Bandbreite df verringert werden. Die Verstär-
kung G ist unbedingt erforderlich, und man kann
Die Ausgangsrauschleistung Na besteht aus der sie nicht herabsetzen. Das im Verstärker erzeugte
Eingangsrauschleistung Ne multipliziert mit der Rauschen Nx hängt somit von der Bauart und der
268 J. Langner

Aus Abb. 4.10 kann man den Signal-


Rauschabstand S=N grafisch ermitteln. Er
beträgt beim Eingangssignal Se =Ne D 55 dB
Abb. 4.11 Dreistufige Verstärkerkette
und beim Ausgangssignal Sa =Na D 50 dB.
Somit ergibt sich ein Rauschfaktor von
F D 3;2.
Betriebsweise des Transistors im Verstärker ab. Nach Gl. 4.22 wird das Signal und ebenso
Deshalb kann man das Rauschen nur an dieser das Rauschen nach Gl. 4.21 um G verstärkt.
Stelle durch geeignete Transistoren in der rich- Dazu kommt noch das Eigenrauschen Nx des
tigen Schaltung beeinflussen. Gute Verstärker Verstärkers. Um dieses Eigenrauschen ist das
erreichen eine Rauschzahl von NF 1 dB. Signal-Rauschverhältnis nach dem Verstärker
schlechter als zuvor. Die in der Praxis übliche
Rauschzahl NF berechnet sich nach Gl. 4.20
4.5.2 Rauschen bei mehrstufigen zu
Verstärkern NF D 10 log F D 5 dB :

Die erste Stufe einer Verstärkerkette (Abb. 4.11)


erhält das kleinste Eingangssignal und be- 4.6 Darstellung komplexer Größen
stimmt deshalb zum größten Teil das Signal-
Rauschverhältnis. Ist die Verstärkung der ersten Bei der Berechnung von Wechselstromschaltun-
Stufe klein und das Rauschen der Stufen groß, gen ist außer den Amplituden von Spannungen
dann vergrößert dies die Gesamtrauschzahl des und Strömen auch deren Phasenwinkel zu er-
Verstärkers. mitteln. Hierzu eignet sich die komplexe Rech-
Der Einfluss des Rauschens nimmt mit zu- nung sehr gut, da man die Gleichungen wie bei
nehmendem Signalpegel ab. Die Gesamtrausch- Gleichstrom aufstellen, jedoch komplexe Grö-
zahl NFG lässt sich nach Gl. 4.23 berechnen: ßen einsetzen und nach den Regeln der kom-
plexen Rechnung erarbeiten kann. Die Größen
NF2  1 NF3  1 sind in der komplexen Ebene anschaulich dar-
NFG D NF1 C C : (4.23)
G1 G1 G2 stellbar (Abb. 4.12 und Abschn. 1.6, Abb. 1.43
und Abb. 1.47).
Für jede weitere Stufe folgt ein weiterer Term, Abbildung 4.12a zeigt zwei komplexe Zwei-
der aus der Rauschzahl der Stufe und der Verstär- pole: Z 1 als Reihenschaltung eines Widerstan-
kung der vorhergehenden Stufen zu berechnen ist des und einer Spule; Z 2 als Reihenschaltung
(Abb. 4.11). eines Widerstandes mit einem Kondensator. Für
den Wechselstromwiderstand (Impedanz) gelten
Beispiel 4.5-1 die Beziehungen Z 1 D R C j!L und Z 2 D
Welche Rauschzahl NF und welche Verstär- R C 1=j! C .
kung G in dB hat der Verstärker in Abb. 4.10, Die elektrischen Größen: Spannung, Strom
wenn das Eingangssignal 30;5 dBm und das und Widerstand bezieht man auf einen Grund-
Ausgangssignal 13;5 dBm beträgt? wert Z0 (in der HF-Technik meistens 50 ).
Diese normierten Werte sind dimensionslos. Ab-
Lösung bildung 4.12b zeigt die Ortskurven von Z x =Z0
Die Verstärkung G ist G D Pein  Paus D für eine veränderliche Kreisfrequenz ! in einer
13;5 dBm  .30;5 dBm/ D 17 dB; nach linearen Darstellung. Die logarithmische Ach-
Gl. 4.19 ist der Rauschfaktor: senteilung von Abb. 4.12c gibt einen größeren
Bereich wieder, ohne dass die Genauigkeit we-
Se =Ne sentlich leidet. Eine konforme Abbildung der
F D :
Sa =Na wichtigen rechten Hälfte der komplexen Ebe-
4 Hochfrequenz-Verstärker 269

auf dem Durchmesser 0 bis 1 sind die Orts-


kurven normierter Widerstände mit konstantem
Realteil (Skalierung auf dem Durchmesser) und
variablem Imaginärteil. Die auf dem Außenkreis
beginnenden und rechts im Punkt 1 endenden
Kreissegmente sind Ortskurven mit konstantem
normiertem Imaginärteil und variablem Real-
teil. Beide Ortskurven stehen auch nach der
konformen Abbildung senkrecht aufeinander.
Das Smith-Diagramm ist eine normierte Darstel-
lung, wobei die Impedanz auf einen Widerstand
oder auf einen Leitwert zu beziehen ist. Dabei
gilt G=G0 D 1=.Z=Z0 /, d. h., der normierte
G=G0 -Leitwert ist der Kehrwert des normierten
Widerstandes. Im Smith-Diagramm entsteht der
Kehrwert durch die Spiegelung eines Wertes am
Mittelpunkt (Abb. 4.14). Dieser Zusammenhang
erleichtert die Arbeit im Smith-Diagramm, da
die Reihenschaltung von Widerständen im Wi-
derstandsbereich, die Parallelschaltung besser
im Leitwertebereich geschieht (Anpassung im
Smith-Diagramm).
Wird ein Signal aus einer Quelle mit Z0 an
einem Verbraucher mit Z1 teilweise reflektiert
(Abschn. 4.1), dann ist das reflektierte Signal
normalerweise kleiner als das eingespeiste, und
zwischen beiden besteht ein Phasenwinkel. Das
normierte Amplitudenverhältnis und der Phasen-
winkel zwischen der vorlaufenden und der re-
flektierten Spannung sind im Smith-Diagramm
gut darstellbar. Es heißt deshalb auch Reflexi-
onsfaktorebene. Gleichung 4.24 gibt an, wie der
Reflexionsfaktor r aus der Quellimpedanz Z0
(meist 50 ) und der Lastimpedanz Z L eines
HF-Verbrauchers berechnet werden kann. Den
komplexen Reflexionsfaktor r kann man in sei-
nen Betrag und den Phasenwinkel zerlegen und
in das Smith-Diagramm nach Abb. 4.13 eintra-
gen. Es gilt:
Abb. 4.12 Darstellung von Wechselstromgrößen in der
komplexen Ebene
Z L =Z 0  1
rD : (4.24)
Z L =Z 0 C 1

ne (nur hier sind die Wirkwiderstände positiv) Der Reflexionsfaktor r wird vom Mittelpunkt
führt zu einem Kreis, dem Smith-Diagramm des Kreises in Polarkoordinaten aufgetragen.
(Abb. 4.12d). Es erlaubt die Beurteilung der Die Amplitudeneinteilung zum Radius des Au-
HF-Größen nach Betrag und Phase als Funktion ßenkreises ist linear, die Winkelzählung beginnt
der Frequenz. Die Kreise mit dem Mittelpunkt beim waagrechten Radius nach rechts bei 0ı und
270 J. Langner

komplexe Impedanz und die erforderliche Trans-


formation sind vorteilhaft im Smith-Diagramm
darzustellen. Die Impedanz entnimmt man dem
Datenblatt oder misst sie mit einem Netzwerk-
analysator. Abbildung 4.14 zeigt das prinzipielle
Vorgehen bei der Transistoranpassung.
Abbildung 4.14a zeigt einen HF-Transistor
mit noch unbekannten Anpassungsnetzwerken
und Abb. 4.14b die Impedanzen an den vier
Schnittstellen. Dabei haben Eingang und Aus-
gang bei jeder Frequenz den reellen Widerstand
von 50 , d. h., der normierte Realteil ist eins,
der Imaginärteil null. Die Eingangs- und Aus-
gangsimpedanz des Transistors ist dagegen
von 50  verschieden und frequenzabhängig.
Der jeweilige komplexe Widerstand ist im
Smith-Diagramm dargestellt (Abb. 4.14b). Im
Prinzip kann man den Realteil mit einem für
die Frequenz geeigneten Transformator anpas-
Abb. 4.13 Darstellung des Reflexionsfaktors r im Smith-
Diagramm sen, während man den Imaginärteil mit einem
Blindwiderstand mit entgegengesetztem Vorzei-
chen kompensiert. Mit den Netzwerken nach
nimmt gegen den Uhrzeigersinn zu. Die Krei- Abb. 4.15 sind alle Transformationen lösbar. Oft
se mit dem Mittelpunkt auf dem Durchmesser verwendet man Netzwerke ohne Übertrager. Das
0 bis 1 sind die Ortskurven normierter Wider- für eine Schaltung günstigste Netzwerk wählt
stände mit konstantem Realteil (Skalierung auf man nach der Erfahrung. Abbildung 4.15b gibt
dem Durchmesser) und variablem Imaginärteil. dazu eine Übersicht und eine Auswahlhilfe; die
Die auf dem Außenkreis beginnenden und rechts Zahlen unter den Einzelnetzwerken geben an,
im Punkt 1 endenden Kreissegmente sind Orts- welche Impedanzbereiche im Smith-Diagramm
kurven mit konstantem normiertem Imaginärteil nach Abb. 4.15a transformiert werden können.
und variablem Realteil. Beide Ortskurven stehen Der Eingangswiderstand des Transistors nach
auch nach der konformen Abbildung senkrecht Abb. 4.14a liegt im Bereich 4 des Smith-
aufeinander. Diagramms und ist mit einem Netzwerk nach
Abb. 4.15 auf 50  zu transformieren. Den
Eingangsreflexionsfaktor e des Transistors, bei-
4.7 Anwendung spielsweise für die Frequenz f D 2;5 GHz,
des Smith-Diagramms zeichnet man in das Eingangsdiagramm,
Abb. 4.14d, ein (Punkt 1). Mit dem Netzwerk
In der Hochfrequenztechnik finden viele ver- nach Abb. 4.15d schaltet man dem Transistorein-
schiedene Baugruppen Verwendung, die am gang eine Spule parallel. Die Parallelschaltung
Eingang und Ausgang stets die charakteristische von Widerständen ist am leichtesten als Additi-
Impedanz Z0 D 50  haben. Baugruppen, die on ihrer Leitwerte zu ermitteln. Der komplexe
diese Impedanz über einen großen Frequenzbe- Widerstand im Punkt 1 wird am Mittelpunkt
reich einhalten, können problemlos mit anderen Z=Z0 D 1 gespiegelt und ist jetzt als normier-
HF-Baugruppen zusammengeschaltet werden. ter Leitwert (Punkt 2) dargestellt. Die parallel
Baugruppen, deren Impedanz von den reellen geschaltete Spule L1 muss so groß sein, dass
50  abweicht, muss man über ein Transfor- der Realteil des normierten Eingangsleitwerts
mationsnetzwerk auf Z0 D 50  bringen. Ihre eins wird. Die zugehörige Ortskurve ist der Kreis
4 Hochfrequenz-Verstärker 271

Abb. 4.14 Prinzipielles Vorgehen bei einer Transistoranpassung mit dem Smith-Diagramm

K2 . Damit wird auch der Realteil des normier- tete Spule L1 verkleinert nur den Imaginärteil,
ten Eingangswiderstandes eins (Kreis K1 ). Der weshalb die Ortskurve ein Kreis mit konstan-
Kreis K2 ist die am Punkt Z=Z0 D 1 gespie- tem Realteil von Punkt 2 nach Punkt 3 gegen
gelte Ortskurve des Eingangswiderstandes mit den Uhrzeigersinn ist. Der Punkt 3 beschreibt
dem normierten Realteil 1. Die parallel geschal- den Eingangsleitwert des Transistors mit paral-
272 J. Langner

Abb. 4.15 Anpassnetzwerke für verschiedene Bereiche des Smith-Diagramms; (a) Einteilung des smith-Diagramms
in die Bereiche 1 bis 6, (b) Anpassnetzwerke mit Angabe der nutzbaren Bereiche

lel geschalteter Spule L1 . Laut Netzwerk muss gänzt den positiven Imaginärteil der Impedanz
man noch einen Kondensator in Reihe schalten, zu null. Damit ist der Eingang des Transistors an
um 50  zu erreichen; der Punkt 3 wird deshalb 50  angepasst.
am Mittelpunkt zum Widerstand (Punkt 4) ge- Diese Anpassung ist eine erste Näherung. Bei
spiegelt. Der Eingangswiderstand hat jetzt einen genauerer Betrachtung ist die Rückwirkung des
positiven imaginären Anteil, der mit einem ent- Verstärkers in beiden Richtungen zu berücksich-
sprechenden negativen imaginären Widerstand tigen; denn die Rückwirkung der Ausgangsspan-
(d. h. einem Kondensator) zu kompensieren ist. nung auf den Eingang verändert den Eingangswi-
Die Reihenschaltung des Kondensators C1 er- derstand.
4 Hochfrequenz-Verstärker 273

Beispiel 4.7-1
Der Eingang des Transistors in Abb. 4.14 soll
mit einem Anpassnetzwerk bei einer Frequenz
f D 2000 MHz ohne Berücksichtigung der
Rückwirkung an eine Vorstufe mit einem Aus-
gangswiderstand R D 50  angepasst wer-
den. Die Werte der Spule L1 und des Konden-
sators C1 sind zu berechnen.

Lösung
Das Datenblatt enthält folgende S-Parameter
für 2 GHz:

S 11 D 0;791 76;0ı
S 12 D 0;078 34;9ı
Abb. 4.16 Transformationsweg der Eingangsanpassung
S 21 D 2;920 111;1ı des Transistors JL 1958
S 22 D 0;587 51;5ı

S 11 trägt man nach Betrag und Phase in das te Realteil der Parallelschaltung 1; denn der
Smith-Diagramm ein (Abb. 4.16, Punkt 1). in den Widerstandbereich zurückgespiegelte
Aus der Lage des Eingangswiderstan- Punkt P4 liegt auf dem Kreis mit dem Re-
des S11 sucht man mit Abb. 4.15 ein alteil 1 des normierten Widerstandes Z=Z0 .
Kompensationsnetzwerk aus. Der Eingangs- Der Wert für die zurückgelegte Strecke jy von
widerstand liegt im Bereich 4, er ist mit dem P2 nach P3 beträgt jy D j1;14. L1 ist aus
Netzwerk nach Abb. 4.15d anzupassen. Gl. 4.25 und 4.26 zu bestimmen.
Die Werte für die Spule und den Kondensa-
tor berechnet man aus dem Transformations- Z0
jy D : (4.25)
weg gemäß Abb. 4.16 und bestimmt zuerst die j!L
Induktivität L1 .
Die Parallel-Induktivität addiert man in der Im vorliegenden Fall ist jy D j1;14.
Leitwertebene, d. h. der normierte Widerstand Für L1 gilt
Z 1 =Z0 im Punkt P1 wird am Kreismittelpunkt
gespiegelt. Man erhält daraus den normierten Z0
L1 D : (4.26)
Leitwert Y 2 =Y0 D Y 2 Z0 im Punkt P2 . Aus !0 y
dem Diagramm ist der Wert Y 2 Z0 D 0;17 C
j0;76 zu entnehmen. Für das Beispiel erhält man
Der Zielpunkt P5 liegt auf dem Kreis K1 ;
hier ist der Realteil von Z=Z0 D 1 und der 50 
L1 D D 3 nH :
Imaginärteil beliebig. Der Kreis K1 wird am 2   2000 MHz  1;14
Mittelpunkt zum Kreis K2 gespiegelt, auf ihm
ist der Realteil des Leitwerts 1=50  D 20 mS Der Punkt P4 zeigt den normierten Eingangs-
und der normierte Realteil 1. Schaltet man widerstand Z 4 =Z0 des Transistors mit der par-
dem Leitwert im Punkt P2 eine Induktivität allelgeschalteten Induktivität L1 . Sein Wert
parallel, dann verringert sich sein Imaginär- ist aus dem Smith-Diagramm als Z4 =Z0 D
teil, d. h., der normierte komplexe Leitwert 1 C j2;2 abzulesen. Der richtige in Reihe ge-
bewegt sich auf dem roten Kreissegment von schaltete Kondensator ergänzt den Imaginär-
P2 nach P3 . Im Punkt P3 wird der normier- teil zu null und belässt den Realteil. Er ver-
274 J. Langner

schiebt den Eingangswiderstand vom Punkt P4 4.7.1 Zur Übung


zum Punkt P5 . Der Kondensator C1 muss bei
2000 MHz den Wert j2;2 haben. Ü 4-1 Eine Satelliten-Empfangsanlage enthält
Der Wert für die zurückgelegte Strecke einen LNA (Low Noise Amplifier), der aus fol-
von Z 4 =Z0 nach Z 5 =Z0 beträgt j2;2. C1 ist genden Stufen besteht:
aus Gl. 4.27 und 4.28 zu bestimmen. 1. Stufe: Verstärker (G D 10 dB, NF D 0;8 dB)
2. Stufe: Verstärker (G D 11 dB, NF D 2 dB)
1 3. Stufe: Verstärker (G D 8 dB, NF D 1;5 dB)
 jy D ; (4.27) Welche Gesamtrauschzahl NFG hat der LNA?
j!0 C Z0

Ü 4-2 Ein Generator mit der Impedanz Z0 D


wobei jy D j2;2 und 50  wird mit einem Widerstand R D 50 
abgeschlossen, zu dem eine Spule L D 10 nH
1 parallel geschaltet ist.
C D ; (4.28) a) Welche Möglichkeiten bestehen, den Reflexi-
!0 Z0 y
onsfaktor zu bestimmen?
b) Welcher Reflexionsfaktor verursacht die
damit errechnet sich C1 zu Lastimpedanz Z L bei einer Frequenz f D
50 MHz und bei f D 5 GHz?
1
C1 D D 0;7 pF : Ü 4-3 Der Ausgang des Transistors JL1958
2   2000 MHz  50   2;2
(Transistordaten in Tab. 4.1) soll mit einem An-
passnetzwerk bei einer Frequenz f D 3 GHz
Das Ergebnis dieser Berechnung ist eine Nä- ohne Berücksichtigung der Rückwirkung an eine
herung. In der Praxis ist die Rückwirkung Last mit einem Eingangswiderstand R D 50 
zu berücksichtigen, die den Eingangs- und angepasst werden.
Ausgangswiderstand beeinflusst und eine a) Welche Anpassnetzwerke sind nach Abb. 4.16
mögliche Schwingneigung des Verstärkers möglich?
hervorruft. Hierzu ist eine Stabilitätsbetrach- b) Der Wert der Spule und der des Kondensators
tung notwendig, die aus den S-Parametern ist für das Anpassnetzwerk in Abb. 4.14c zu
des Transistors stabile und instabile Arbeits- berechnen.
bereiche im Smith-Diagramm ermittelt und
Hinweise für eine Änderung der Anpass-
netzwerke im Eingangs- und Ausgangskreis 4.8 Aufbau von HF-Schaltungen
des Transistors gibt. Durch die unvermeidba- aus fertigen HF-Bauteilen
ren Toleranzen bei der Transistorherstellung
streuen die S-Parameter, so dass die realisierte Schaltungen im Gleichstrom- und Niederfre-
Schaltung die Idealwerte meistens nicht ganz quenzbereich können mit integrierten Schal-
erreicht. tungen oft mit geringem Aufwand aufgebaut
Die Überlegungen und Berechnungen die- werden. Einfach zusammenschaltbare Hochfre-
ses Abschnitts sind zusätzlich zur Festlegung quenzbauteile ermöglichen diese Erleichterung
des Arbeitspunktes notwendig, die wie bei auch im Hochfrequenzbereich. Hier ist zu be-
NF-Transistoren erfolgt und in Abschn. 3.2 achten, dass auf den Verbindungsleitungen eine
beschrieben ist. Das Beispiel zeigt, dass HF- Welle läuft. Deshalb muss die Leitung am En-
Transistoren schwieriger zu beschalten sind de mit dem passenden Eingangswiderstand des
als NF-Transistoren. Sollen HF-Schaltungen empfangenden Bauteils abgeschlossen werden.
entwickelt werden, dann ist dieses zu beden- Weiterhin kann, wie in Abschn. 4.1 ausge-
ken. führt, die hochfrequente Leistung nicht über eine
4 Hochfrequenz-Verstärker 275

einfache Verbindung geführt werden. Der Innen-


widerstand der Quelle, der Wellenwiderstand der
Leitung und der Eingangswiderstand der Senke
des Empfängers müssen gleich sein. Nur dann ist
der Signalfluss überschaubar. In der industriellen
HF-Technik arbeitet man mit einem Wellenwi-
derstand von 50 , während in der Unterhal-
Abb. 4.17 Integrierter HF-Verstärker mit Beschaltung
tungselektronik 75  üblich sind. Stecker, Kabel
und Messgeräte müssen immer dazu passen.
Auf einer Leiterplatte führt man die Signale Bei den unten vorgestellten Bauteilen wird
über Mikrostreifenleitungen (Abschn. 1.9.2 und meistens nur das Symbol für Blockschaltbilder
Abschn. 4.3). In der Praxis nutzt man dazu ei- dargestellt. Wenn der Anwender den geeigneten
ne zweiseitige Leiterplatte mit Streifenleitungen Quell- und Lastwiderstand sicherstellt, können
auf der Oberseite, auf der auch die Bauteile auf- die Teile einfach zusammengeschaltet werden.
gelötet sind. Die heute überwiegend angewandte
OMB-Technik kommt den Bedürfnissen der HF-
Leitungsführung sehr entgegen. Die Rückseite 4.8.1 Verstärker
dieser Leiterplatte hat eine durchgehende Mas-
sefläche, die auch als Abschirmung nach unten Heute gibt es eine große Auswahl an preisgünsti-
wirkt. In die Berechnung des Wellenwiderstandes gen integrierten HF-Verstärkern, die bis zu 6 GHz
gehen die Dicke und die Permittivität des Iso- Bandbreite aufweisen. Die Verstärkung beträgt
liermaterials der Leiterplatte sowie die Breite des 10 dB bis 26 dB. Die maximale Ausgangsleistung
Streifenleiters ein. variiert zwischen 15 dBm und 26 dBm. Bei der
Üblicherweise werden Leiterplatten mit vier größten angegebenen Leistung hat der Verstär-
Lagen benutzt. Die beiden oberen Lagen führen ker 1 dB Kompression, d.h. die Verstärkung ist
die Streifenleitung und die Massefläche; die bei- um 1 dB geringer als bei einer kleinen Leistung.
den unteren Lagen eignen sich gut für die Strom- Das Signal wird verformt, abgeflacht; es entste-
zuführung und für Signalleitungen. Die Masse- hen überwiegend ungeradzahlige Harmonische.
fläche schirmt die verschiedenen Signale gegen- Die Leistungsbezeichnung 15 dBm gibt an, das
einander ab. Signale und Masseanschlüsse kön- Signal ist 15 dB größer als 1 mW (Abschn. 1.6.5).
nen über Durchkaschierungen an die HF-Bauteile Die Verstärker haben meistens nur drei An-
gebracht werden. Die Leiterplatte kann bis zu schlüsse: einen Eingang, einen Masseanschluss
mittleren Anforderungen aus FR4 bestehen, das und den Ausgang (Abb. 4.17). Die Versorgungs-
ist Glasfaser verstärktes Epoxid. Bei hohen An- leistung wird über einen Widerstand zur Strom-
forderungen im GHz-Bereich und bei längeren begrenzung und eine Induktivität als Arbeitswi-
Leitungen sollte das verlustarme Teflon als Iso- derstand in den Ausgang eingespeist. Der Ein-
liermaterial verwendet werden (zu den Eigen- gang und der Ausgang führen eine überlagerte
schaften von Trägerwerkstoffen, s. Abschn. 1.9.2, Gleichspannung. Diese muss mit jeweils einem
Tab. 1.12). Neben den hohen Kosten hat Tef- Kondensator von der Quelle und der Last ge-
lon auch schlechte mechanische Eigenschaften: trennt werden. Die Verstärker werden aus 3 V
Teflon fließt unter Druck, weshalb Durchkaschie- bis 5 V versorgt und verbrauchen je nach HF-
rungen häufiger reißen und die Befestigung der Ausgangsleistung 40 mA bis 80 mA. Die Wärme
Leiterplatte kann sich lockern. muss über den Masseanschluss und Masseflächen
Die übrigen Bauteile: Widerstände, Konden- sowie über die Signalleitungen abgeführt werden.
satoren und Spulen müssen für die entsprechen- Die Versorgungsspannung muss über Wider-
den Frequenzen geeignet sein. Alle verwendeten stände, Drosseln (Induktivitäten) und Kondensa-
HF-Bauteile müssen den gleichen Anpassungswi- toren gut entkoppelt werden. Bei sorgfältigem
derstand haben (meistens 50 ). Aufbau können mehrere Verstärker in Reihe ge-
276 J. Langner

Abb. 4.18 Leistungsteiler


a Symbol, b Innenschal-
tung mit Ringkernkoppler

schaltet werden, ohne dass die hohe Gesamtver- gegenüber Transformatorteilern eine geringere
stärkung zur Selbsterregung führt. Bandbreite.
Wichtige Größen sind: Frequenzbereich, An-
passung, Leistungsaufteilung, Isolation der Aus-
gänge zueinander und die Genauigkeit der Pha-
4.8.2 Leistungsteiler sen- und Amplituden-Aufteilung (engl.: balance).
(engl.: Power Divider)

In der HF-Technik können zwei Empfänger mit 4.8.3 Richtkoppler –


50  Eingangswiderstand nicht an eine 50  Lei- (engl.: Directional Couplers)
tung angeschlossen werden. Durch den Fehlab-
schluss entstünde eine Reflexion und Leistung Richtkoppler sind passive Baugruppen, die einen
ginge verloren. Stattdessen verwendet man Leis- definierten Teil der Eingangsleistung an ei-
tungsteiler, die die Leistung mit einem Transfor- nem anderen Ausgang ausgeben. Dabei fließt
mator unter Einhaltung der Anpasswiderstände die hochfrequente Leistung durch den Koppler,
verteilen. ein kleiner Teil der vorlaufenden Leistung wird
Leistungsteiler sind passive Baugruppen, die am Ausgang „Vorlauf“ (engl.: foreward) aus-
das anliegende Signal im idealen Fall in Signa- gekoppelt, ein kleiner Teil der zurücklaufenden
le gleicher Amplitude ohne Phasendifferenz auf Leistung steht am Ausgang „Rücklauf“ (engl.:
verschiedene Ausgänge (engl.: ports) aufteilen. reverse) an und kann dort gemessen werden.
Ein Leistungsteiler ist bidirektional und kann Richtkoppler werden in der Mikrowellentechnik
auch als Addierer (engl.: combiner) verwendet meistens aus zwei nah nebeneinander verlaufen-
werden. In dieser Anwendung werden die Vek- den Streifenleitungen ausgeführt, während der
toren der an den Eingängen (engl.: ports) anlie- Koppler bei niedrigeren Frequenzen auch mit
genden Signale addiert. Eine verlustlose Addition diskreten Bauteilen aufgebaut werden kann.
ist möglich, wenn gleiche Amplituden- und Pha- Der Richtkoppler (Abb. 4.19) ist symmetrisch
senbedingungen vorhanden sind. Ist das nicht aufgebaut und hat 4 Tore (engl.: ports). Der größ-
der Fall, entsteht ein Leistungsverlust. Leistungs- te Teil der am Eingang P1 eingespeisten Leistung
teiler gibt es in verschiedenen Ausführungen; verlässt den Koppler am Ausgang P2. Die kleine
sie können in Mikrostreifenleitertechnik (engl.: ausgekoppelte Leistung des vorlaufenden Signals
stripline) oder mit diskreten Bauteilen aufgebaut liegt am Ausgang P3, ein zurücklaufendes Si-
sein (Abb. 4.18). gnal steht am Ausgang P4 an. Manchmal ist der
Am häufigsten werden 2-, 4- und 8-Wege- Rücklauf P4 nicht herausgeführt, sondern intern
Teiler verwendet. Beispielsweise ist es damit abgeschlossen.
möglich, in Testsystemen Frequenz und Ampli- Der Koppelfaktor (engl.: directivity) in Dezi-
tude eines Signals gleichzeitig zu messen. Die bel (dB) ist das Maß für die ausgekoppelte Leis-
Isolation beschreibt die Rückwirkung von einem tung. Das vorlaufende Signal wird unerwünscht
Ausgang zum anderen. Die Bandbreite hängt auch an P4 geschwächt ausgegeben. Diese zu-
von der verwendeten Technologie (Streifenlei- sätzliche Dämpfung des unerwünschten Signals
ter oder Trafo) ab. Streifenleiter-Koppler (engl.: wird als Isolation (engl.: isolation) bezeichnet.
stripline coupler) gehen bis 50 GHz, haben aber Sie sollte so groß wie nötig sein. Präzisionskopp-
4 Hochfrequenz-Verstärker 277

Abb. 4.19 Richtkoppler

Abb. 4.20 90ı -Hybrid-Koppler Abb. 4.21 Zirkulator

ler für Messzwecke erreichen hohe Isolations- Die Hälfte (3dB) der am Eingang anliegen-
werte, und sind deshalb auch teuer. den Leistung verlässt den Hybrid am 0ı -Aus-
Richtkoppler haben eine begrenzte Bandbrei- gang, die andere Hälfte liegt am 90ı -Ausgang an.
te als Arbeitsbereich. Bei einem durchschnittli- Reflexionen, die durch Fehlanpassung entstehen,
chen Richtkoppler beträgt die Bandbreite 15 % fließen zum Eingang zurück oder geschwächt in
bis 20 % der Mittenfrequenz. den anderen Ausgang. Die Bandbreite beträgt oft
Wichtige Größen sind: Mittenfrequenz, Band- 15 bis 20 % der Mittenfrequenz.
breite, größte übertragbare Leistung, Anpassung, Wichtige Größen sind: Mittenfrequenz, Band-
Koppelfaktor und Isolation. breite, größte übertragbare Leistung, Anpassung,
Isolation sowie Pegelunterschiede und Abwei-
chung von den 90ı Phasendifferenz der Aus-
gangssignale.
4.8.4 90ı -Hybrid-Koppler –
(engl.: 90ı Hybrid Coupler)
4.8.5 Zirkulator – (engl.: Circulator)
Ein 90ı Hybrid Koppler (Abb. 4.20) ist ein pas-
sives Bauelement mit vier Anschlüssen, das das Zirkulatoren sind passive Dreitor-Bauelemente,
anliegende Eingangssignal im Idealfall in zwei deren Arbeitsweise von der Ausbreitungsrich-
Signale gleicher Amplitude mit 90ı Phasendif- tung der elektromagnetischen Energie abhängt.
ferenz auf zwei Ausgänge aufteilt. Da die Leis- Diese Eigenschaft entsteht bei der Wechsel-
tung auf zwei Ausgänge aufgeteilt wird, kommt wirkung elektromagnetischer Energie und der
an jedem Ausgang nur die halbe Leistung, die Elektronenanordnung in ferromagnetischem Ma-
Ausgangssignale sind um 3dB kleiner als das terial (meistens einem Ferrit). Der Ferrit wird
Eingangssignal. Wegen des Phasenunterschieds durch einen Permanentmagneten vormagneti-
von 90ı werden diese Koppler auch Quadrature siert und damit polarisiert. Ein idealer Zirkulator
Hybrids genannt. Der 90ı -Hybrid ist elektrisch (Abb. 4.21), dessen Anschlüsse vollständig an-
und mechanisch vollkommen symmetrisch. Die- gepasst sind, verbindet jeweils zwei benachbarte
se Konfiguration gewährleistet eine hohe Isolati- Anschlüsse in Umlaufrichtung. Bei dem drit-
on der beiden Ein- und Ausgänge zueinander mit ten Tor tritt dabei kein Signal auf, es ist für die
einer nur geringen Rückwirkung. Hochfrequenz isoliert. Bedingung ist, dass das
Das Schaltsymbol (Abb. 4.20) zeigt zwei ge- abgehende Tor mit dem richtigen Wellenwider-
kreuzte Übertragungsleitungen, deren Länge ein stand abgeschlossen ist. Dann fließt die ganze
Viertel der Wellenlänge der Mittenfrequenz be- Energie in den Abschluss und für das nächste Tor
trägt. bleibt keine Energie übrig.
278 J. Langner

In vielen Systemen der Hochfrequenz- und


Mikrowellentechnik haben Zirkulatoren eine
wichtige Aufgabe. Häufig werden sie am Aus-
gang von HF-Leistungsverstärkern zum Schutz
vor reflektierter HF-Energie eingesetzt. Bei ei-
nem Fehlabschluss durch ein defektes HF-Kabel
oder eine abgerissene Antenne käme die ganze Abb. 4.22 a Tiefpassfilter; b Hochpassfilter
HF-Leistung zurück und würde den Verstärker
durch Überspannung und thermisch zerstören.
Der Zirkulator lenkt die HF-Ausgangsenergie in Reihe und man erhält mehrpolige Filter mit ho-
in Umlaufrichtung zur Antenne. Eine von dort her Flankensteilheit. Die Flankensteilheit ist eine
reflektierte Leistung ginge weiter in Umlaufrich- wichtige Größe. HF-Verstärker erzeugen durch
tung zu einem 50 -Abschlusswiderstand, der die unvermeidbare Nichtlinearität der Übertra-
diese Leistung in Wärme umsetzt und dafür aus- gungskennlinie ungewollt die dreifache Grund-
reichend groß sein muss. Zirkulatoren werden frequenz als erste auftretende Harmonische. Sie
für den Frequenzbereich 100 MHz bis 18 GHz darf nicht abgestrahlt werden. Das Filter wird so
hergestellt. dimensioniert, dass die dritte Harmonische am
Wichtige Größen sind: Mittenfrequenz, Band- Ausgang des Verstärkers stark gedämpft wird.
breite, größte übertragbare Leistung, Durchlass- Die höheren Harmonischen sind im Allgemeinen
dämpfung, Anpassung und Isolation. kleiner und werden im Filter stärker gedämpft.
Das Filter reflektiert die nicht durchgelassene
Leistung zurück zum Sender (Abschn. 8.4.3.1.
4.8.6 Tiefpassfilter – (engl.: Low-Pass Abbildung 8.52 zeigt Dämpfung und Phasengang
Filter) und Hochpassfilter (engl.: eines einpoligen Tiefpassfilters).
High Pass Filter) Wichtige Größen sind: Grenzfrequenz,
Flankensteilheit, Durchlassdämpfung, größte
Tiefpassfilter dämpfen Frequenzen oberhalb der übertragbare Leistung und Anpassung im Durch-
Grenzfrequenz und lassen Frequenzen darunter lassbereich.
nahezu ungedämpft durch. Bei der Grenzfre- Das Hochpassfilter (Abb. 4.22b) ist das Ge-
quenz ist das Ausgangssignal 3 dB kleiner als im genstück zum Tiefpassfilter. Ein Hochpassfilter
Durchlassbereich. Das Filter hat eine Laufzeit; entsteht, wenn man in einem Tiefpassfilter die
das Signal erfährt eine Phasenverschiebung. Der Kondensatoren durch Induktivitäten und die In-
Aufbau des Filters hängt von der Frequenz und duktivitäten durch Kondensatoren ersetzt. Das
der Leistung ab. Bei niedrigen Frequenzen und Hochpassfilter sperrt tiefe Frequenzen und lässt
bei geringer Leistung kann das Filter noch pro- hohe Frequenzen oberhalb der Grenzfrequenz mit
blemlos mit diskreten Bauelementen aufgebaut geringer Dämpfung passieren. In der Praxis wird
werden. Im Hochfrequenz- und Mikrowellen- es wesentlich seltener benötigt (Abschn. 8.4.3.3;
bereich werden Streifenleiterfilter und, je nach Abb. 8.57 zeigt Dämpfung und Phasengang eines
Leistung, auch koaxiale Filter oder Hohlleiterfil- einpoligen Hochpassfilters). Wegen der großen
ter hergestellt. Ähnlichkeit werden beide Symbole in Abb. 4.22
Tiefpassfilter werden unter anderem am Aus- dargestellt.
gang eines Senders, eines HF-Verstärkers, einge-
setzt, um die unvermeidbaren Harmonischen der
Grundfrequenz zu dämpfen und zu unterdrücken. 4.8.7 Bandpassfilter –
Tiefpassfilter lassen von der Gleichspannung bis (engl.: Band-Pass Filter)
zur Grenzfrequenz alle Frequenzen durch. Bei
höheren Frequenzen beginnt der Dämpfungsbe- Bandpassfilter lassen Frequenzen eines definier-
reich. Intern schaltet man mehrere Tiefpassfilter ten Frequenzbandes nahezu ungedämpft durch
4 Hochfrequenz-Verstärker 279

scher ungefähr 7 dB. Findet die Mischung am


Eingang eines Funkempfängers statt, dann ver-
schlechtert sich bei kleinen Eingangssignalen das
Signal-Rausch-Verhältnis auch bis zu 7 dB. Des-
halb wird durch konstruktive Maßnahmen eine
Abb. 4.23 Bandpassfilter
geringe Dämpfung angestrebt.
Das Eingangssignal (engl.: RF, Radio Fre-
und sperren Frequenzen unter- und oberhalb die- quency Signal) soll umgesetzt werden. Dazu
ses Bereichs (Abb. 4.23). Der Durchlassbereich wird mit Hilfe des lokalen Oszillators (engl.:
ist durch die 3 dB-Bandbreite um die Mittenfre- LO, Local Oscillator), der Dioden und der
quenz charakterisiert. Das Verhältnis von Band- Transformatoren im Mischer die Polarität der
breite und Mittenfrequenz wird von der Anzahl Eingangsfrequenz mit der Frequenz des lokalen
und der Dimensionierung der Filterelemente be- Oszillators umgeschaltet. Dabei entstehen die
stimmt. Der Aufbau des Filters hängt von der Summe und die Differenz von Eingangsfrequenz
Frequenz und der Leistung ab. Bei niedrigen Fre- und lokaler Frequenz. Sie wird als Zwischenfre-
quenzen und geringer Leistung kann das Fil- quenz (engl.: intermediate frequency) bezeichnet.
ter noch problemlos mit diskreten Bauelementen Üblicherweise passiert die Zwischenfrequenz das
aufgebaut werden, während im Hochfrequenz- folgende Bandfilter, alle unerwünschten Frequen-
und Mikrowellenbereich die Streifenleitertech- zen werden dort unterdrückt.
nik und je nach Leistung auch die Hohlleiter- Dieses Bandfilter ist auch deshalb notwendig,
technik Verwendung findet. Bandpassfilter wer- weil der Mischer alle Eingangsfrequenzen mit
den unter anderem in Funkempfängern im Zwi- ihren Harmonischen, jede gegen jede, mischt.
schenfrequenzteil (feste Frequenz) oder als brei- Ein Mischer kann als Auf- oder Abwärtsmi-
tes Vorkreis-Filter im Eingang eingesetzt. Hier scher (engl.: up/down-converter) verwendet
tritt normalerweise nur eine geringe Leistung auf. werden. Wird er als Abwärtsmischer eingesetzt,
Wichtige Größen sind: Mittenfrequenz, Band- ist das untere Seitenband von Interesse. Der
breite, Flankensteilheit und die höchste übertrag- Grund der Signalumsetzung ist eine einfache-
bare Leistung. re Weiterverarbeitung des Signals im niedrigeren
Frequenzbereich, meistens bei einer festen Zwi-
schenfrequenz, die durch eine variable Frequenz
4.8.8 Mischer – (engl.: Mixer) des lokalen Oszillators erreicht wird.
Mischer werden nach dem LO-Pegel (LO:
Mischer sind Bauteile, die aus zwei verschie- lokaler Oszillator) klassifiziert. Es wird zwi-
denen Frequenzen die Differenz und die Sum- schen Mischern für kleine Pegel (engl.: low
me dieser beiden Frequenzen erzeugen. Diese level) und große Pegel (engl.: high level) un-
Mischung entsteht entweder an einer nichtlinea- terschieden. Der LO-Pegel liegt beim Mischer
ren Kennlinie, beispielsweise einer Diode, einem für kleine Pegel üblicherweise bei C7 dBm
Ringmischer oder mehreren Transistoren einer (Abschn. 1.6.5). Die Dioden des Ringmischers
integrierten Schaltung, die als Mischer für zwei müssen die längste Zeit der Periode in der einen
Frequenzen arbeiten. oder anderen Richtung durchgesteuert sein. Hier
Für den universellen Einsatz haben die Ring- haben sich Schottky-Barrier-Dioden durchge-
mischer (engl.: double balanced mixer) eine setzt, sie schalten sehr schnell und haben die
große Bedeutung. Deshalb werden sie hier als kleinste Durchlass-Spannung.
einzige Schaltung beschrieben (Abb. 4.24). Sollen große Signalpegel umgesetzt werden,
Bei der Mischung wird nicht nur die Frequenz dann ist auch mehr LO-Pegel erforderlich. Die
des Signals verschoben, sondern das Signal wird Transformatoren und die Dioden des Mischers
auch gedämpft. Dieser Verlust bei der Umset- müssen dafür eingerichtet sein. Hier kann der
zung (engl.: conversion loss) beträgt beim Mi- LO-Pegel bis zu 30 dBm betragen.
280 J. Langner

Abb. 4.24 Mischer,


(a) Schaltsymbol (b)
Innenschaltung eines Ring-
mischers

4.8.10 Beispiel einer HF-Schaltung aus


fertigen Komponenten

Abb. 4.25 HF-Detektor Abbildung 4.26 zeigt einen einfachen Empfänger,


der aus fertigen Komponenten aufgebaut ist. Der
Eingangsverstärker LNA (Low Noise Amplifier)
Ringmischer sind breitbandig. Die Trafos be- verstärkt das breitbandige Eingangssignal und
stimmen die relative Bandbreite, sie kann bis addiert nur wenig Rauschen zum Signal. Der fol-
zu fo =fu D 1000 betragen. Die Verbindung gende Bandpass sperrt alle unerwünschten Fre-
vom LO-Eingang zu den Dioden ist Gleichstrom quenzen außerhalb des interessanten Empfangs-
gekoppelt, die LO-Frequenz darf beliebig klein bandes. Das hochfrequente Signal wird mit der
sein. Dadurch kann die Eingangsfrequenz um Frequenz des lokalen Oszillators (LO) gemischt.
einen geringen Betrag versetzt werden. Dabei entstehen die Summe und die Differenz
Wichtige Größen sind: Frequenzbereich, größ- beider Frequenzen. Die Zwischenfrequenz, übli-
ter RF-Pegel, erforderlicher LO-Pegel, Durch- cherweise die niedrigere Frequenz, passiert das
lassdämpfung. folgende Bandfilter, das Zwischenfrequenzfilter.
Zuletzt wird das Signal demoduliert und ausge-
4.8.9 Demodulator – Detektor geben. Als lokaler Oszillator wird meistens eine
(engl.: Demodulator) integrierte Schaltung mit Synthesizer und Quarz-
referenz genutzt. Diese Schaltungen sind klein,
Demodulatoren bestehen oft aus Schottky- preisgünstig und werden von einem Mikrorech-
Barrier-Dioden, die speziell auf das Erfassen sehr ner angesteuert.
kleiner HF-Signale ausgelegt sind (Abb. 4.25). Zuverlässige Schaltungen verlangen in der
Eine hohe Dotierung verschiebt den Stromanstieg Praxis einen professionellen Aufbau. Abbil-
zu kleineren Spannungen hin. Ein amplituden- dung 4.27 zeigt einen Leiterplattenausschnitt
moduliertes HF-Signal soll möglichst rauscharm einer Schaltung im unteren GHz-Bereich. Das
durch die Diode in ein niederfrequentes Mo- Signal kommt über die schraubbaren HF-Stecker
dulationssignal umgesetzt werden. Die Dioden am oberen Bildrand in die Schaltung. Die Strei-
arbeiten in Durchlassrichtung mit einem klei- fenleitungen führen die Hochfrequenz über
nen Ruhestrom (typisch 50 A). Ihre Kapazität Verstärker und andere oben beschriebene Bau-
wird spürbar, weil nur ein Teil der Eingangs- teile, über Filter zur Demodulation. Bei niedriger
spannung gleichgerichtet wird, während der Zwischenfrequenz ist auch die direkte Umset-
Rest die interne Kapazität umlädt. Um eine ho- zung in den digitalen Bereich mit Analog-Digital
he Empfindlichkeit bei kleinen HF-Pegeln zu Wandlern mit anschließender Verarbeitung in
erreichen; müssen diese Dioden wenig Sperr- einem Signalprozessor üblich. Die einzelnen
schichtkapazität haben. Da die HF-Leistung „umzäunten“ Bereiche sind Abschirmkammern
normalerweise gering ist, sind Dioden mit einer ohne den abschließenden Blechdeckel. Damit
sehr kleinen Halbleiterfläche mit kleiner Kapazi- werden Verkopplungen zwischen Schaltungstei-
tät nutzbar. len vermieden.
4 Hochfrequenz-Verstärker 281

Abb. 4.26 Einfache HF-Empfängerschaltung aus fertigen Komponenten

Eine hoch integrierte Leiterplatte wie diese


hat mehrere Lagen. Die oberste, sichtbare La-
ge führt die Hochfrequenz in Streifenleitungen.
Die für HF-Leitungen nicht benötigte Fläche ist
als Massefläche ausgelegt und dient als Masse-
anschluss vieler Teile, beispielsweise der Sieb-
kondensatoren. Diese Fläche verringert auch die
Verkopplung zwischen Schaltungsteilen. Die für
die Streifenleitung benötigte Massefläche liegt in
einem definierten Abstand je nach Dielektrikum
in der Lage darunter. Weitere Lagen dienen der
Stromzuführung zu den Bauteilen sowie der Si-
gnalführung. Die Leiterplatten werden nach dem
elektronischen Schaltplan mit einem CAD-Rech-
nerprogramm entworfen. Bestückt wird auch bei
kleinen Stückzahlen maschinell, da eine manuel-
le Bestückung mit hochintegrierten Bauteilen mit
ihren engen Leiterabständen oder nicht erreichba-
ren Kontaktflächen manuell oft nicht mehr mög-
lich ist. Diese Bauweise ist heute bei hochwer-
tigen, kommerziell genutzten Geräten mit hoher
Sicherheitsanforderung üblich.

4.8.11 Zur Übung

Ü 4-1 Eine Satelliten-Empfangsanlage enthält


einen LNA (Low Noise Amplifier), der aus fol-
genden Stufen besteht:
1. Stufe: Verstärker (G D 10 dB, NF D 0;8 dB/
Abb. 4.27 HF-Schaltung mit analoger und digitaler Si-
2. Stufe: Verstärker (G D 11 dB, NF D 2 dB/
gnalverarbeitung auf einer Leiterplatte. (Werkbild: Thales 3. Stufe: Verstärker (G D 8 dB, NF D 1;5 dB/
Electronic Systems GmbH) Welche Gesamtrauschzahl NFG hat der LNA?
282 J. Langner

Ü 4-2 Ein Generator mit der Impedanz Z0 D Ü 4-5 Ein Datenempfänger für 433 MHz soll
50  wird mit einem Widerstand R D 50  aufgebaut werden. Eingangspegel
70 dBm.
abgeschlossen, zu dem eine Spule L D 10 nH Verlangte Ausgangsspannung
100 mV.
parallel geschaltet ist.
a) Welche Möglichkeiten bestehen, den Reflexi-
onsfaktor zu bestimmen? 4.9 Weiterführende Literatur
b) Welcher Reflexionsfaktor verursacht die Last-
impedanz Z L bei einer Frequenz f D  Lange, K., Meinke, H. H., Gundlach, F. W., Lö-
50 MHz und bei f D 5 GHz? cherer K.-H.: (2009) Taschenbuch der Hoch-
frequenztechnik Band 1, Band 2, Band 3, je-
weils 5. Auflage Springer Verlag
Ü 4-3 Der Ausgang des Transistors JL1958
 Tietze U., Schenk Chr.: (2010) Halbleiterschal-
(Transistordaten in Tab. 4.1) soll mit einem An-
tungstechnik. 13. Auflage Springer Verlag
passnetzwerk bei einer Frequenz f D 3 GHz
 Vicek, A., Hartnagel, H. L., Mayer, K., Zink,
ohne Berücksichtigung der Rückwirkung an eine
O.: (1998) Hochfrequenztechnik 2: Elektronik
Last mit einem Eingangswiderstand R D 50 
und Signalverarbeitung. 5. Auflage Springer
angepasst werden.
Verlag
a) Welche Anpassnetzwerke sind nach Abb. 4.16
 Zinke O., Brunswig H.: (2000) Hochfrequenz-
möglich? technik 1, Springer Verlag
b) Der Wert der Spule und der des Kondensators
Die technischen Publikationen der Hersteller
ist für das Anpassnetzwerk in Abb. 4.14c zu
von HF-Komponenten sind heute eine wichtige
berechnen.
Informationsquelle. Auf den Internet-Seiten der
Hersteller findet man viele, zum Teil ausführli-
Ü 4-4 Zu einem Quarzoszillator soll ein che Informationen. Diese Dokumentationen sind
Frequenz-Verdreifacher entwickelt werden. Der vorwiegend in englischer Sprache abgefasst.
Quarzoszillator gibt ein rechteckförmiges Signal
zwischen 0 V und 4 V, Tastverhältnis 1 W 1, mit 4.9.1 Web-Seiten
80 MHz aus. Daraus soll ein Signal mit 240 MHz
bei einem Pegel von
12 dBm an 50  erzeugt  http://www.spinner-group.com
werden.  http://www.hubersuhner.com/
Anleitung: Das Rechtecksignal enthält neben  http://www.klmicrowave.com/
der Grundschwingung ungeradzahlige Harmoni-  http://www.lorch.com/
sche, davon soll die richtige verstärkt, die übrigen  http://www.minicircuits.com
gedämpft werden.  http://www.nardamicrowave.com/east/
Bauelemente der Leistungselektronik
5
Jürgen Gutekunst

Die Leistungselektronik hat durch die Entwick- auch auf die Strukturen und Abmessungen der
lungen in der Mikroelektronik in den vergan- Silicium-Chips.
genen Jahren immer mehr an Bedeutung ge- Grundsätzlich lassen sich die Bauelemente in
wonnen. Heute ist sie auch in unserem alltägli- drei Klassen aufteilen:
chen Leben in vielfältiger Ausprägung zu finden.  passive Bauelemente,
Die Schwerpunkte der Leistungselektronik fin-  aktive Bauelemente und
den sich beispielsweise in  hybride Bauelemente.
 den Antrieben, Letztere sind eine Kombination aus passiven und
 bei Spannungsumrichtern und aktiven Komponenten, die in einem gemeinsa-
 in Netzgeräten und Stromversorgungen. men Gehäuse untergebracht sind. Ein Beispiel
Die nachfolgenden Abschnitte zergliedern sich in für hybride Bauelemente der Leistungselektronik
zwei Hauptbereiche, in sind Gate-Ansteuerungen für Frequenzumrichter.
 passive Bauelemente der Leistungselektronik Abbildung 5.1 zeigt eine Übersicht zur Vielfalt
und der Bauelemente der Leistungselektronik.
 aktive Bauelemente der Leistungselektronik. Die in der Leistungselektronik auftretenden
Passive Bauelemente der Leistungselektronik be- Spannungen machen die Einhaltung verschiede-
gegnen uns häufig in Schutzschaltungen zur Ein- ner Normen und Richtlinien notwendig. Dazu
haltung der Elektromagnetische Verträglichkeit zählen beispielsweise die DIN VDE 0100 und
(EMV). DIN EN 61800 (VDE 0160), die die Spannungs-
festigkeit der Bauelemente und Schaltungsteile
Übersicht Bauelemente der Leistungselektronik festlegt.
findet man heute in fast allen Geräten und Anla-
gen. Der Anwendungsbereich erstreckt sich von
einfachen Anlaufkondensatoren bei Kleingeräten
5.1 Passive Bauelemente
bis zu komplexen Wechselrichtern im Anlagen-
und Maschinenbau. Sie sind in der Funktion de-
Die passiven Bauelemente der Leistungselektro-
nen der Niederspannungselektronik sehr ähnlich.
nik werden wie folgt eingeteilt (Abb. 5.1):
Die Anwendung im Hochspannungs- und Hoch-
 Induktivitäten (Spulen, Drosseln),
strombereich bedingt jedoch andere Querschnitte,
 Kapazitäten,
Anschlüsse, Gehäuse oder allgemein: andere Geo-
 Widerstände,
metrien. Diese Geometrien beschränken sich
 Leistungsdioden und
nicht nur auf die Mechanik (Gehäuse) sondern
 Schutzelemente.
J. Gutekunst () Während die Widerstände zu den Energiever-
E-Mail: jgutekunst@web.de brauchern zählen, sind Induktivitäten und Ka-
© Springer-Verlag GmbH Deutschland 2017 283
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_5
284 J. Gutekunst

Leistungselektronik

passive Bauelemente aktive Bauelemente hybride Bauelemente

Kondensatoren bipolare Schalter Baugruppen


Anlaufkondensatoren Leistungstransitoren Gateansteuerungen
Siebkondensatoren Darlingtontransistoren Stromerfassungen
XY-Kondensatoren Thyristoren Filter
Induktivitäten Triacs
Spulen MOS-Halbleiter
Tranformatoren FET-Schalter
Stomtransformatoren Power MOS-FET
Filter (Drosseln)
Smart Power IC
Widerstände gemischte Leistungshalbleiter
Hochlastwiderstände
IGBT
Stromshunt
Halbleiter
Hochleistungsdioden

Abb. 5.1 Übersicht über die Bauelemente der Leistungselektronik

pazitäten Energiespeicher. Ihnen kommt in der  Vierpol (Übertrager) oder


Leistungselektronik eine besondere Bedeutung  mehrpoliges Bauteil und Übertrager mit An-
zu. Schutzelemente haben schaltungstechnisch zapfungen
keine Funktion und dienen ausschließlich zur Si- ausgeführt. Abbildung 5.2 zeigt einen Überblick
cherheit von Mensch und Gerät. über gängige Induktivitäten und deren Schalt-
symbol. Wird eine Induktivität in Reihe mit einer
Last geschaltet, so wird oft der Begriff Drossel
5.1.1 Induktivitäten verwendet. Die Drossel wirkt hemmend gegen-
über Stromspitzen.
Die Grundlagen zur Induktivität sind dem Ab-
I Hinweis: Die Bezeichnung Drossel leitet sich
schn. 2.4 zu entnehmen. In diesem Abschnitt soll
in Anlehnung an die Fluidik und Hydraulik
im Wesentlichen der Aufbau und der Einsatz die-
ab, wo mit Drossel ein steuerbarer Durch-
ser Speicherelemente in der Leistungselektronik
flussbegrenzer bezeichnet wird (drosseln D
beschrieben werden. Dabei wird neben den un-
Durchfluss vermindern, in diesem Falle den
terschiedlichen elektrischen Eigenschaften auch
Stromfluss).
auf die unterschiedlichen Bauformen der Kerne
eingegangen. Der Aufbau der Drosseln ist abhängig vom
Einsatzfall. Bei kleineren Leistungen werden sie
Spulen, Drosseln und Ferrite Induktivitäten in als Stab- oder Ringdrosseln ausgeführt, entweder
der Leistungselektronik fallen zunächst einmal mit oder ohne Ferritkern. Die Kerne haben die
durch ihre Größe auf. In Abhängigkeit ihrer Ap- Aufgabe, die Induktivität zu erhöhen.
plikation werden sie auch mit Spule oder Drossel Der hauptsächliche Anwendungsbereich von
bezeichnet. Sie sind als Drosseln ist die Filterung von Störungen auf
 Zweipol, den Stromzuführungsleitungen. Mit Kondensa-
 Zweipol mit Anzapfungen, toren zusammen bilden sie EMV-Filter (EMV:
5 Bauelemente der Leistungselektronik 285

a b c d
4

1 5
6

1 1 1 3 2
7
3
2 8
3 9
2 4 2 4 10

Abb. 5.2 Verschiedene Ausführungen von Induktivitäten a Spule Drossel, b Spule mit Anzapfungen, c Übertrager
Transformator, d Transformator mit mehreren Wicklungen und Anzapfungen

Elektromagnetische Verträglichkeit), worauf im Abbildung 5.4 zeigt einige Beispiele von Fer-
Abschn. 5.1.3 noch näher eingegangen wird. ritkernen und deren Geometrie. Nachfolgend soll
Drosseln haben dabei die Aufgabe, die leitungs- auf weitere Beispiele und ihre Anwendungen nä-
gebundene Störungsein- und -abstrahlung zu her eingegangen werden.
verringern.  RM-Schalenkerne
Zur Erhöhung der Induktivität werden in Spu- RM-Kerne (Ringförmige M-Struktur) erlau-
len und Drosseln Materialien eingebracht, die die ben eine sehr hohe Packungsdichte. Neben
Induktivität um ein Vielfaches erhöhen. Neben Filterspulen und Übertrager findet man sie
Eisenkernen, wie sie bei Transformatoren Ver- immer mehr in der Leistungselektronik. Im In-
wendung finden, sind es vor allem Ferrite, die nenraum sind die Ferrite durch einen Luftspalt
diesbezüglich hervorragende Eigenschaften auf- getrennt.
weisen.  PM-Kerne
Die Darstellung von Induktivitäten mit und PM-Kerne weisen ebenfalls einen Luftspalt
ohne Eisenkern ist in der DIN EN 60617 fest- auf, sind aber in ihrer Bauform allgemein
geschrieben. Gelegentlich findet man noch die größer. Ihr Einsatz erstreckt sich von Leis-
Darstellung in der Form-1 (einpolig), wobei je- tungsübertrager bis hin zu Speicherdrosseln
doch die mehrpolige Darstellung in der Form-2, in getakteten Spannungsversorgungen. Gele-
gebräuchlicher ist. Abbildung 5.3 zeigt einen gentlich findet man PM-Kerne auch in der
Überblick. Nachrichtentechnik und Industrieelektronik.
Ferrite bestehen aus gesinterten Materialien Äußeres Zeichen des PM-Kerns ist seine
und sind daher polymorph. Beim Sinterprozess kreisrunde Bauform, wobei mindestens ein
erhalten sie ihre Form und können so nahezu Viertel des Zylindermantels offen ist (M-Cha-
jede Form annehmen. Dies ermöglicht auch die rakteristik, Abb. 5.4).
Herstellung von Ferriten, die auf die unterschied-  P-Kerne
lichen Anwendungen und geometrischen Bedin- P-Kerne sind die nahezu geschlossene Aus-
gungen optimiert werden. Im Wesentlichen unter- führung der PM-Kerne. Nur ein kleiner Schlitz
scheidet man im Kernmantel ermöglicht die Drähte des
 Ringkerne, Spulenkerns herauszuführen. Sie werden für
 Rohrkerne, Schwingkreisspulen mit hoher Güte und für
 ringförmige Schalenkerne mit/ohne Luftspalt, klirrarme Kleinsignal-Breitband-Übertrager
 Schalenkerne mit unterbrochenem/geschlos- angewendet. P-Kerne gibt es sowohl in sehr
senen Mantel, kleinen Ausführungen (5 mm Durchmesser)
 Kernstrukturen nach E, M, E-I und bis zu einer Größe von 10 cm Durchmesser.
 Sonderformen.
286 J. Gutekunst

Beschreibung Form 1 Form 2 Nummer

06-09-08
Drossel 04-03-01

Drossel mit
04-03-03
Magnetkern
Drossel mit
Luftspalt im 04-03-04
Magnetkern

Trans- 06-09-01
formator
06-09-02

Einphasen-
transformator
06-10-01
mit zwei
Wicklungen 06-10-02
und Schirm

Trans-
formator 06-09-04
mit drei 06-09-05
Wicklungen

Spar-
trans- 06-09-06
formator 06-09-07

Strom- 06-09-10
wandler 06-09-11

Abb. 5.3 Schaltsymbole nach DIN EN 60617-4 und -6

 EP-Kerne gesetzt. Der Kern hat dabei die Form eines


EP-Kerne werden überall dort eingesetzt, wo E’s. Wird der Kern aus zwei gleichen Kern-
auf kleinstem Platz sehr hohe Induktivitäten hälften gebildet, so spricht man auch oft von
erzielt werden müssen. Der geringe Raumbe- einem Doppel-E-Kern. Weitere geläufige Ab-
darf resultiert im Wesentlichen aus der hori- wandlungen sind:
zontalen Anordnung der Spule. Anwendungs-  EI-Kern
beispiele finden sich neben den klassischen eine Kernhälfte als E, die andere als I ausge-
Übertragern auch in der Leistungselektro- führt;
nik.  EF5-Kern
 E-Kerne E-Kern mit abgeflachtem, tiefer gelegtem Mit-
Die Bauform der E-Kerne wird schon seit sehr telschenkel für besonders flache Transforma-
langer Zeit in verschiedenen Variationen ein- torenbauweise;
5 Bauelemente der Leistungselektronik 287

Ferrit-Perle Ringkern E-Kern P-Kern RM-Kern

Kern-
ansicht

Seiten-
ansicht
Schnitt

An- Dämpfungs- Drossel Drossel Übertrager Übertrager


wendungs- perle Filter Filter
beispiele Filter Übertrager Übertrager

Abb. 5.4 Verschiedene Ferritkerne und ihre Anwendungen

 E5-Kern ten Elektronik verfügbar gemacht. Einsatzgebiete


runder Mittelsteg und großer Wickelraum, sind:
speziell für dicke Drähte;  Messung hoher Stromstärken,
 ER-Kern  Stromregelungen und
sehr kompakte Bauweise, auch für SMD Über-  Überstromerkennung.
trager geeignet. Für diese Aufgabe muss der Stromtransformator
neben einem sehr hohen Übersetzungsverhält-
I Hinweis: Da sich Ferritkerne in nahezu belie- nis auch einen weiten Übertragungsbereich von
biger Form herstellen lassen, finden sich auch bis zu 100 kHz aufweisen. Durch die Spulen-
immer mehr Sonderbauformen in der SMD- öffnung wird das stromführende Kabel gescho-
Technik (SMD: Surface Mounted Device). Spezi- ben.
ell die Leistungselektronik profitiert dabei von Der vom Stromtransformator erzeugte Mess-
einem geringeren Bauvolumen. Zu beachten Strom wird an einem Widerstand in eine
gilt allerdings deren Erwärmung. Spannung umgesetzt. Diese Bürde (auch Bürden-
widerstand genannt) ist auf den Transformator
5.1.2 Stromtransformatoren abgestimmt und liefert eine Spannung von etwa
1 V bis 20 V.
Neben Spannungsumsetzern und Drosseln spie-
len in der Starkstromtechnik Stromtransforma- I Hinweis: Gelegentlich sieht man auch die Be-
toren eine wichtige Rolle. Sie werden überall zeichnung Transfo-Shunt. Diese Bezeichnung
dort eingesetzt, wo sehr große Ströme bis zu leitet sich aus den Begriffen Transformator und
1000 A und mehr gemessen und überwacht wer- Shunt-Widerstand ab. Mit Shunt-Widerstand
den sollen. Diese Ströme werden mit speziellen bezeichnet man dabei die Bürde.
Spulen in einen einfach zu messenden Strom von
wenigen mA transformiert. Der Strom wird an- Stromtransformatoren gibt es in unterschied-
schließend mit Hilfe eines Widerstands in eine lichen Ausführungen, abhängig vom jeweiligen
Spannung gewandelt und so der nachgeschalte- Einsatz. Dies hat maßgeblichen Einfluss auf die
288 J. Gutekunst

a b
L1 L2 L3

Haupt- A L1
schütz
i
A L2 U

A L3 Messverstärker Grenzwert- Rechner-


bildung schnitt-
Messinstrumente, stelle
z.B.in der Schalttafel
L1’ L2’ L3’

Abb. 5.5 Anwendung eines Stromtransformators in der Messtechnik a Direkte Stromanzeige, b Strommessung mit
Messverstärker

verwendeten magnetischen Werkstoffe, so dass in strom in mA angegeben wird. So bedeutet die


folgende drei Hauptklassen unterschieden wird: Kennzeichnung 100/100 auf einem Stromtrans-
 Wechselstromtransformatoren, formator, dass bei einem Primärstrom von 100 A
 Impulstransformatoren und der sekundäre Nennstrom 100 mA beträgt. Dabei
 Gleichstromtransformatoren. ist darauf zu achten, dass der Bürdenwiderstand
Darüber hinaus kennt man auch noch die eingehalten wird. Wird er beispielsweise zu klein
Differenz-und Mischstromtransformatoren. Ab- gewählt, so führt dies zu einer nichtlinearen Ver-
bildung 5.5 zeigt eine typische Anwendung in der zerrung der Messkurve.
Messtechnik. Der Messwert kann dabei entwe-
der direkt durch ein Zeigerinstrument angezeigt Beispiel 5.1
werden (Abb. 5.5a) oder mit Hilfe einer Mess- In einer Schalttafel soll die Stromaufnahme
Schaltung dem Prozess zur Verfügung gestellt einer großen Mischanlage angezeigt werden.
werden (Abb. 5.5b). Im ersten Fall sind der Die Anlage wird an einem Drehstromnetz mit
Stromtransformator und das Messgerät aufein- den Phasen L1, L2 und L3 betrieben. Die
ander abgestimmt. Die Umrechnung erfolgt auf Nennleistung ist mit 66 kW bei einer Nenn-
der Skala, so dass diese direkt den gemessenen spannung von 400 V angegeben. Die einge-
Strom anzeigt. bauten Messinstrumente haben einen Messbe-
Die wichtigsten Kenngrößen von Stromtrans- reich von 100 mA.
formatoren sind: Der Nennstrom in jeder Phase beträgt nach
I1eff primärer Nennstrom (Effektivwert), obigen Angaben
I2eff sekundärer Nennstrom (Effektivwert),
RB Bürdenwiderstand, IL1eff D 1=3  PNenn =Unenn D 55 A :
Ubeff Bürdenspannung (Effektivwert) und
Bei der Auslegung des Stromtransformators
F Arbeitsfrequenz.
ist zu beachten, dass der zu messende Nenn-
Das Übersetzungsverhältnis u ergibt sich aus
strom nicht zum Vollausschlag führt, da sonst
dem Verhältnis vom primären zum sekundären
bei Überlast keine Anzeige mehr möglich ist.
Nennstrom:
Unter Umständen kann sogar das Messinstru-
u D I1eff =I2eff : (5.1)
ment zerstört werden. Auch zu niedrige Über-
Üblicherweise wird dabei der primäre Nennstrom setzungsverhältnisse liefern kein befriedigen-
in A angegeben, während der sekundäre Nenn- des Ergebnis, da die Ungenauigkeit am Ska-
5 Bauelemente der Leistungselektronik 289

lenanfang am größten ist. Bei der Auslegung Bei Kondensatoren stehen verschiedene Bau-
des Stromtransformators ist man daher immer formen und Ausführungen zur Verfügung. Abbil-
bemüht, den Nennwert auf etwa 2=3 der An- dung 5.6 zeigt dabei in einer Übersicht, die tech-
zeige zu legen. nischen Eigenschaften, Normen und die Anwen-
Im obigen Fall kann dies durch einen dungsbereiche der wichtigsten Bauformen. Dabei
Stromtransformator mit der Kennzeichnung sind die wesentlichen Ausführungen durch die Be-
100=120 erreicht werden. Dies bedeutet, dass schaffenheit und Schichtung gekennzeichnet:
bei einem Nennstrom von 100 A ein Sekun-  MP-Gleichspannungskondensator (MP: Me-
därstrom von 120 mA erzeugt wird. Für die tallpapier),
Mischanlage mit einem Nennstrom von 55 A  MKV-Wechselspannungskondensator (MKV:
pro Phase bedeutet dies metallisiert, Kunststofffolie, verlustarm),
 MKK-Wechselspannungskondensator (MKK:
Isekundär D IL1eff  120=100 D 66 mA : metallisiert, Kunststofffolie, kompakt),
 MPK-Gleichspannungskondensator (MPK:
Damit erreicht man eine optimale Anzeige mit Metallpapier und Kunststofffolie) und
einer Reserve von etwa 30 % bis zum Vollaus-  FK-Kondensatoren (FK: Metallfolie, Kunst-
schlag. Zur Überwachung aller drei Phasen stofffolie mit/ohne Papier).
sind drei Stromtransformatoren und Anzeige- Die MP- und MK-Kondensatoren sind selbsthei-
instrumente notwendig. lend. Das bedeutet, dass Spannungsdurchschläge
zwischen den beiden Kondensatorfolien (Platten)
innerhalb weniger Mikrosekunden ausheilen. Ein
5.1.3 Kondensatoren Kurzschluss zwischen den Platten wird dadurch
vermieden. Abbildung 5.7 zeigt im Überblick den
Die Grundlagen zum Kondensator sind Ab- Aufbau einiger oben aufgeführten Bauformen.
schn. 2.3 zu entnehmen. Kondensatoren in Neben der Kapazität sind in der Leistungs-
der Leistungselektronik werden in der Ener- elektronik noch eine ganze Reihe anderer
gieumformung und Energiesteuerung eingesetzt. Kennwerte der Kondensatoren von Interesse.
Dabei können sie Spitzenströme liefern, die weit So sind beispielsweise bei der Dimensionierung
über dem Nennstrom liegen. Die bevorzugten von Wechselrichtern auch die Spitzenströme,
Einsatzgebiete der Kondensatoren in der Leis- Nennenergie und Flankensteilheit zu beachten.
tungselektronik sind: Darüber hinaus sind eine ganze Reihe parasitärer
 Energiespeicherung (Netzteile, Verstärker), Effekte eines Kondensators zu berücksichtigen.
 Entstörung (Filter), Diese resultieren vor allem von zum Teil starken
 Anlaufhilfe bei Motoren (Anlaufkondensato- Abweichungen des realen Kondensators gegen-
ren), über dem theoretischen Ideal. Abbildung 5.8
 Aufnahme oder Abgabe starker Stromstöße veranschaulicht die Unterschiede eines realen
(z. B. Laser). Kondensators mit seinen parasitären Komponen-
Um diesen Anforderungen in der Leistungselek- ten zu einem idealisierten Kondensator.
tronik gerecht zu werden, müssen die Kondensa- In Tab. 5.1 sind die einzelnen Kenngrößen von
toren folgende Eigenschaften aufweisen: Kondensatoren zusammengestellt, die an dieser
 hohe Spitzenstrombelastbarkeit, Stelle auch kurz beschrieben werden.
 hohe Spannungsfestigkeit,
 niedrige Eigeninduktivität, I Nennkapazität CN Die Nennkapazität eines
 hohe Energiespeicherfähigkeit und Kondensators ist die Kapazität, für die er dimen-
 große Zuverlässigkeit auch bei thermischer sioniert und aufgebaut wurde. Sie ist entweder
Beanspruchung. direkt auf dem Kondensator aufgedruckt, oder
Letzter Punkt ist gerade unter dem Aspekt der Si- durch einen Kode (in einzelnen Fällen auch durch
cherheit eine notwendige Forderung. eine Artikelnummer) entschlüsselbar. Die Nenn-
290 J. Gutekunst

Kondensatoren

Metall und Folie metallisierte Folie Elektrolyt

K MP MK
Aluminium
metallisiertes metallisierte (Al-Elko)
Kunststoff
Papier Kunststofffolie

– MKC Al Al2O3 Al
– KC (Polycarbonat) Metallfolie – MKP Ta Ta2O5 Ta/Ag
– KP (Polypropylen) – MKT
– KS (Polystrol – MKU (Cellulose)
„Styroflex“)
+ –
– KT (Polyethylen-
Dielektrikum
terephthalat)
(Papier, Kunststoff)
– LEI-KO
(Leistungskondensator) Anode Elektrolyt

Dielektrikum Katode

Nenn- 2,5 V bis 630 V 80 V bis 500 V 80 V bis 500 V 6 V bis 600 V
spannung (LEI-KO: 67 V bis104 V)
Kapazitäts- 2 pF bis 500 nF 10 μF bis 1 F
100 pF bis 10 µF 100 pF bis 10 μF
bereich (1 µF bis 10 mF)
Verlustfaktor 1 MHz: 0,4 bis 1 50 Hz: 10 bis 500
1 kHz: 0,25 bis 15 1 kHz: 0,25 bis 15
tan δ /10–3 (1 kHz: 12)
CECC 30100 CECC 30400
Normen CECC 30300
CECC 30900 CECC 30500
DIN 45910
DIN 45910 CECC 31200
(DIN EN 60115) DIN 45910
Anwendungs- Schwingkreise, Motorkondensator, Energiespeicher,
bereiche Koppel-Stütz-Kon- Phasenschieber, Sieben bei niedri-
densator, kommutierungs- und Beschaltungs- gen und hohen
Temperaturkompen- kondensator, Frequenzen
sation (Styroflex), Nachrichtentechnik
Leistungskonden-
sator

Abb. 5.6 Hochleistungskondensatoren in der Übersicht

kapazität gilt bei einer Prüftemperatur von 20 ı C sators. Sie darf zu keinem Zeitpunkt überschrit
und für eine Wechselspannung von 50 Hz. ten werden. Bei Mischspannungen, beispiels-
weise wechselspannungsüberlagerte Gleich-
I Nennspannung UN Die Nennspannung ist spannung, gilt dies für den Spitzenspannungs-
die maximale Betriebsspannung eines Konden- wert.
5 Bauelemente der Leistungselektronik 291

Bauart MP-Kondensator MPK-Kondensator MKV-Kondensator FK-Kondensator


einseitig bedampftes einseitig bedampftes zweiseitig bedampftes Metallfolie
Aufbau Papier Papier Papier
des
Dielek-
trikums

Papier, unbedampft Kunststofffolie Kunststofffolie Kunststoff- Papier oder


folie Kunststofffolie

Elek- Metallschichtelektrode, Metallschichtelektrode, Metallschichtelektrode, Metallfolie


trode einseitig auf Papier auf- einseitig auf Kunststoff zweiseitig auf Papier
gedampft aufgedampft aufgedampft; damit
liegt der Täger nicht
im elektrischen Feld

Impräg- Hartwachs- und Ölimprägnierung Ölimprägnierung Ölimprägnierung


nierung Ölimprägnierung
Anwen- Motorkondensator Motorkondensator Motorkondensator Motorkondensator
dungen Anlaufkondensator Anlaufkondensator Anlaufkondensator Anlaufkondensator
Funkenentstörung Funkenentstörung Funkenentstörung Funkenentstörung
Filter Stoßkondensator

Abb. 5.7 Aufbau verschiedener Folienkondensatoren

lRR D RRR

lp Rp

C
ESR ESL
+ –

C Nennkapazität
Rp Parallelwiderstand
ESR ohmsches Widerstandsäquivalent (engl.: Equivalent Series Resistor)
ESL induktives Widerstandsäquivalent (engl.: Equivalent Series Inductor)
RRR ohmscher Widerstand im Rückwärtsbetrieb (engl.: Reverse Resistance)
D Rückspannungs-Diode
IRR Rückspannungs-Strom
IP Parallelstrom oder Leckstrom

Abb. 5.8 Ersatzschaltbild eines Elektrolytkondensators

I Hinweis: Im Gegensatz zu anderen Normen weichendes Beispiel ist die Betriebsspannung


ist die Nennspannung bei Kondensatoren nicht eines Wechselstrommotors: seine Bemes-
die effektive Betriebsspannung, sondern die sungsspannung ist 230 V, dabei treten Spitzen-
p
maximal zulässige Betriebsspannung. Ein ab- spannungen von 325 V auf (230 V 2 = 325 V).
292 J. Gutekunst

Tab. 5.1 Wichtige Kenngrößen für Kondensatoren bei periodischer Belastung. Er ist direkt propor-
Kurzzeichen Einheit Beschreibung tional zur Flankensteilheit du=dt. Es gilt:
CN F Nennkapazität
UN V Nennspannung IOS D C  .du=dt/max : (5.3)
Ueff V Effektivspannung
UO W V Überlagerte Wechselspannung
I Flankensteilheit du=dt Mit der Flankensteil-
UZK V Zwischenkreisspannung
heit wird der Spannungsanstieg über der Zeit
IN A Nennstrom
IOS A Periodischer Spitzenstrom beschrieben.
du=dt V=S Flankensteilheit
WN W Nennenergie I Nennenergie WS Die Energie, die ein Kon-
Le H Eigeninduktivität densator speichern kann, wird als Nennenergie
RIs  Isolationswiderstand bezeichnet. Sie leitet sich aus der Nennspannung
t0 s Grundschwingungsdauer
und Nennkapazität ab. Es gilt folgender Zusam-
tu S Umladezeit
menhang:
tan ı – Verlustfaktor
Rth K Wärmewiderstand WN D 1=2CN  UN2 : (5.4)

I Effektivspannung Uw Nennspannung UN und I Eigeninduktivität Le Die Eigeninduktivität ist


Effektivspannung Ueff hängen wie folgt zusam- die Summe aller parasitären Induktivitäten eines
men: p Kondensators. Dazu zählen vorrangig
Ueff D UN = 2 : (5.2)  die Wickelinduktivität und
 die Induktivität der Anschlüsse.
Die Effektivspannung ist der Effektivwert einer
Durch bauliche Maßnahmen ist man bestrebt,
sinusförmigen Wechselspannung, mit der ein
die Eigeninduktivität gering zu halten. Dadurch
Kondensator betrieben wird.
erhält man eine entsprechend hohe Eigenreso-
nanzfrequenz der Kondensatoren.
I Überlagerte Wechselspannung Uw Die über-
lagerte Wechselspannung UO w ist die Spitzenspan-
I Isolationswiderstand RIs Der Isolationswider-
nung des Wechselspannungsanteils einer Misch-
stand eines idealen Kondensators ist 1. Tat-
spannung. Er ist vor allem bei Gleichspannungs-
sächlich besteht jedoch ein Ladungsabfluss zwi-
kondensatoren ein wichtiges Maß, da durch den
schen den beiden Elektroden, verursacht durch
ständigen Ladungswechsel eine thermische Be-
Leck- und Kriechströme. Dieser Widerstand ist
lastung des Bauelementes auftritt.
für die Selbstentladung eines Kondensators ver-
antwortlich und wird als Isolationswiderstand RIs
I Zwischenkreisspannung UZK Die Zwischen- bezeichnet. Die zugehörige Selbstentladezeitkon-
kreisspannung wird nur bei niederinduktiven Be- stante  berechnet sich wie folgt:
dämpfungskondensatoren angegeben. Sie ist bei
Wechselrichterschaltungen mit GTO-Thyristoren  D Ris  CN : (5.5)
wichtig und beträgt 2=3 der höchsten Spitzen-
Der Isolationswiderstand Ris ist vor allem bei
sperrspannung des GTO-Thyristors.
niedrigen Frequenzen und Gleichspannung von
Bedeutung. In der Regel beträgt er mehrere M
I Nennstrom IN Der Nennstrom eines Konden- (106 Ohm).
sators ist der Effektivwert.
I Verlustfaktor tan ı Der Verlustfaktor tan ı
O
I Periodischer Spitzenstrom IS IS beschreibt gibt das Verhältnis von Wirkleistung zu Blind-
den maximal zulässigen Spitzenwert des Stromes leistung an. Die Blindleistung resultiert vor allem
5 Bauelemente der Leistungselektronik 293

Abb. 5.9 Kapazitives und


induktives Verhalten von C ESR L
Kondensatoren

1/wC

Widerstand
wL

Frequenz
kapazitiver resistiver induktiver
Bereich Bereich Bereich

von der Eigeninduktivität und dem Isolationswi- In diesem Bereich weist der Kondensator sei-
derstand. nen niedrigsten Innenwiderstand auf (Abb. 5.9,
s. auch Abschn. 2.3).
tan ı D Wirkleistung=Blindleistung (5.6)
Kondensator als Energiespeicher In Netztei-
I Wärmewiderstand Rth Der Wärmewiderstand len wird der Kondensator als Energiespeicher
Rth eines Kondensators beschreibt die Fähigkeit, eingesetzt. Dabei hat er die Aufgabe, kurzfris-
die auftretende Verlustleistung im Inneren nach tige Spitzenströme, die das vorgeschaltete Netz
außen zu transportieren und an die Umgebung, nicht liefern kann, zu übernehmen. Die in der
im Allgemeinen Luft, abzugeben. Leistungselektronik eingesetzten Kondensato-
ren müssen zudem auch sehr hohe Spannungen
I ESR und ESL Im Ersatzschaltbild nach puffern können. Dazu werden in der Regel
Abb. 5.8 ist der ohmsche Widerstand des Elektrolyt-Kondensatoren (Abschn. 2.3.3) ein-
Kondensators als Serienwiderstand zusammen- gesetzt.
gefasst. Er wird als ESR-Widerstand bezeichnet Beim Elektrolyt-Kondensator, kurz Elko
(ESR: Equivalent Series Resistor). In gleicher genannt, wird der Belag nicht von einer metalli-
Weise wird die Eigeninduktivität oft auch als schen Elektrode sondern von einem Elektrolyten
ESL, Equivalent Series Inductor L, bezeichnet. gebildet. Der Elektrolyt-Kondensator ist ein ge-
Die Kapazität, der Verlustfaktor tan ı und der poltes Bauteil, d. h., der Plus-Pol (Anode) muss
ESR hängen wie folgt zusammen: stets eine positivere Spannung aufweisen als der
Minus-Pol (Kathode). Bei Gleichspannung ist
tan ı dies bei korrektem Anschluss immer gegeben.
ESR D : (5.7)
2 f C Die Kathode ist dabei mit dem Elektrolyten ver-
bunden. Die Anode besteht in der Regel aus
Der Zusammenhang zwischen Kapazität, ESR Aluminiumoxid (Al2 O3 ) oder Tantalpentoxid
und ESL zeigt Abb. 5.9. Während bei niedri- (Ta2 O5 ). Da es beide sowohl in nasser als auch in
gen Frequenzen der kapazitive Anteil dominiert, trockener Ausführung gibt, unterscheidet man:
wird bei höheren Frequenzen immer mehr der  trockene Aluminium-Elektrolyt-Kondensato-
induktive Einfluss bemerkbar. In einem kleinen ren und
Bereich kompensiert sich das kapazitive und in-  nasse Aluminium-Elektrolyt-Kondensatoren;
duktive Verhalten des Kondensators, so dass hier  trockene Tantal-Elektrolyt-Kondensatoren und
ausschließlich der ohmsche Widerstand wirkt.  nasse Tantal-Elektrolyt-Kondensatoren.
294 J. Gutekunst

Tantal-Kondensatoren spielen jedoch in der Leis- werden beide Entstörmaßnahmen zusammenge-


tungselektronik eine untergeordnete Rolle. fasst (Abb. 5.10c).
Beim Einsatz als Siebkondensator ist der
gleichspannungsüberlagerte Wechselspannungs- Anlaufkondensatoren Asynchronmotoren mit
anteil zu beachten. Jede, auch kurzfristige einer einzelnen Wicklung, können nicht von al-
Verpolung eines Elektrolytkondensators ist zu leine anlaufen. Sie müssen zuerst in Bewegung
vermeiden. In diesem Fall findet eine Zersetzung gesetzt werden. Dies geschieht mit Hilfe des An-
des Elektrolyten statt. Die dabei freiwerdenden laufkondensators (aus diesem Grund sieht man
Gase können zur Explosion des Kondensators auch vereinzelt die Bezeichnung „Kondensator-
mit entsprechenden Folgen und Schäden führen. motor“).
Anlaufkondensatoren sind Wechselstromkon-
I Hinweis: Die explosionsartige Zerstörung densatoren, die ein rotierendes Feld im Stator
des Elektrolyt-Kondensators wird in erster Li- eines Zwei- oder Dreiphasenmotors erzeugen,
nie durch das schlagartige Verdampfen des wenn dieser an nur einer Phase betrieben wird.
Elektrolyten verursacht. Um diese Gefahr zu Eine Hilfswicklung, die mechanisch von der
verringern, besitzen Kondensatoren, die an Hauptwicklung abgesetzt ist, wird von einem
der Netzspannung betrieben werden, Über- phasenverschobenen Strom durchflossen. Dieser
druckventile, die ein unzulässiges Ansteigen Phasenversatz zur Hauptwicklung wird von ei-
des Innendrucks verhindern. nem Anlaufkondensator verursacht, der in Reihe
mit der Hilfswicklung geschaltet ist. Auf diese
Weise wird ein rotierendes Feld im Stator erzeugt
Entstörkondensatoren Entstörkondensatoren und damit ein Drehmoment im Rotor. Der Mo-
werden beispielsweise als Netzfilter eingesetzt tor beginnt sich zu drehen. Abbildung 5.11 zeigt
und können mit oder ohne zusätzliche Induk- den durch den Hilfskondensator erzeugten Hilfs-
tivitäten arbeiten. Man unterscheidet demnach strom.
Kondensatoren für Da der Anlaufkondensator und die Hilfswick-
 C-Filter (ausschließlich kapazitives Filter) und lung in Reihe geschaltet sind, bilden sie einen
 LC-Filter (Filter mit Spulen und Kondensato- Serienschwingkreis. Dies muss bei der Dimensio-
ren). nierung des Kondensators beachtet werden. So
Der Kondensator muss auf seinen Einsatz ab- entstehen beim Anlauf sehr hohe Ströme im Kon-
gestimmt sein. So müssen Filter-Kondensatoren, densator, beim Abschalten entsprechend hohe
die ohne Induktivität betrieben werden, sehr ho- Spannungsspitzen in der Hilfswicklung. Die rich-
he Ströme verlustarm führen können. Bei LC- tige Dimensionierung ist daher zur Vermeidung
Filtern muss auf eine ausreichende Spannungs- von Schäden notwendig (z. B. Durchschläge in
festigkeit der Kondensatoren aufgrund der induk- den Wicklungen aufgrund von Überspannung).
tiven Spannungsspitzen geachtet werden.
Einfache Netzfilter bestehen in der Regel aus
zwei Kondensatorgrundschaltungen. Man unter- 5.1.4 Hochleistungswiderstände
scheidet
 den X-Kondensator und Hochleistungswiderstände werden als Me-
 den Y-Kondensator. tallschichtwiderstände oder Drahtwiderstände
In Abb. 5.10 sind beide Entstörkondensatoren aufgebaut (Abschn. 2.2 und Abb. 2.9). Ihre Ei-
aufgezeichnet. Während der X-Kondensator genschaften sind:
zwischen den beiden Betriebsphasen liegt  hohe Strombelastbarkeit,
(Abb. 5.10a) und so unsymmetrische Störungen  hohe Spannungsfestigkeit,
ableitet, werden die beiden Y-Kondensatoren mit  hohe Belastbarkeit (> 500 W),
dem Schutzleiter verbunden und wirken so auf  hohe Temperaturbelastbarkeit und
Gleichtaktstörungen (Abb. 5.10b). In der Regel  geringe Toleranzen.
5 Bauelemente der Leistungselektronik 295

a b
L1 L1

L1 L1 L2
L2

L2 L2 PE PE

c
Darstellung 1: Darstellung 2:
L1 L1

X Y1

L2 X

Y1 Y2
Y2
L2
PE

PE

Abb. 5.10 XY-Kondensatoren und deren Beschaltung a X-Kondensator, b Y-Kondensator, c X- und Y-Kondensator

Ampli-
tude C1 Phasenverschiebung durch Anlaufkonden-
satoren unterschiedlicher Kapazität
C2
C3
1

–1 1 2 3 4 5 6 7 8 9 10

Phasenwinkel

–1

Abb. 5.11 Phasenverschiebung durch Hilfskondensatoren


296 J. Gutekunst

Bei den EMS-Widerständen (Edelmetallschicht) Bei einer Verlustleistung von 22,5 W ist
wird die Edelmetallschicht in einen Hartglasträ- bereits für eine ausreichende Kühlung zu
ger eingebrannt. Der Abgleich auf den gefor- sorgen.
derten Widerstandswert erfolgt durch Einschaben
von Wendeln. EMS-Widerstände zeichnen sich
durch hohe Genauigkeit, kleinen Temperaturgang
5.1.5 Hochleistungsdioden
und geringe Widerstandsänderung aus. Ihr Ein-
satz ist vor allem als Präzisionswiderstand in
Dioden gehören, obwohl sie auf Siliciumbasis
Hochstrommessbrücken gefragt.
aufgebaut sind, zu den passiven Bauelementen.
Drahtwiderstände haben bei gleicher Belast-
Sie erlauben den Stromfluss in nur eine Richtung.
barkeit kleinere Abmessungen als Schichtwi-
Hochleistungsdioden unterliegen dabei densel-
derstände und sind erheblich kostengünstiger
ben physikalischen Regeln wie Dioden kleiner
herzustellen. Durch den auf einem Porzellan-
Leistung. Aus diesem Grund soll hier auf die
oder Glasträger gewickelten Widerstandsdraht
Grundlagen im Abschn. 2.5 verwiesen werden.
wird jedoch eine nicht unerhebliche Selbstin-
Die bauliche Ausführung ist mit dem we-
duktivität erzeugt. Daher ist diese Bauform in
sentlich höheren Leistungsbedarf eng gekoppelt.
frequenzsensitiven Anwendungen nur bedingt
Auffällig sind vor allem sehr starke Anschlüs-
brauchbar.
se, die in der Lage sind, auch Ströme von mehr
als 100 A aufzunehmen. Die Möglichkeit einer
I Hinweis: Heutige Drahtwiderstände weisen Montage auf Kühlkörpern ist durch den Gewin-
oft eine bifilare Wicklung auf. Durch den gegen- dezapfen am Gehäuse gegeben. Dadurch kann
gleichen Wickelsinn wird die Eigeninduktivität der Temperaturübergangswiderstand vom Gehäu-
nahezu aufgehoben, so dass ein sehr gutes se auf den Kühlkörper minimal gehalten werden
Frequenzverhalten erreicht wird. (s. auch Tab. 2.14).

Drahtwiderstände gibt es in glasierter, lackier-


ter oder ungeschützter Bauweise. Bei letzterer 5.1.6 Schutzelemente
Bauform werden oxidierte Drähte verwendet (da-
durch erkennbar, dass der Draht schwarz ist).
Die Schutzelemente der Leistungselektronik wer-
Die Oxidschicht bildet ebenfalls eine Isolation, so
den oft unter dem Sammelbegriff Sicherungen
dass Windungsschlüsse vermieden werden. Die
zusammengefasst. Ihre Aufgabe ist es, die nach-
Spannungsfestigkeit liegt jedoch deutlich unter
folgende Baugruppe vor einer ganzen Reihe von
den von geschützten Drahtwiderständen, die bis
Fehlern zu bewahren. Dies sind beispielsweise:
zu 2 kV erreichen.
 Überstrom,
 Kurzschluss,
Beispiel 5.2  Überspannung,
Für die indirekte Strommessung soll ein Hoch-  Verpolung und
lastwiderstand in die Zuleitung eines Verbrau-  Übertemperatur.
chers geschaltet werden. Der Widerstand hat Letzteres kann nur mit Hilfe einer zusätzlichen
einen Wert von 0,1 , der maximale Strom des Elektronik erfasst und überwacht werden. Die Si-
Verbrauchers beträgt 15 A. cherungen für die einzelnen Störfälle sind:
a) Bestimmung des Spannungsabfalls: UR D  Schmelzsicherungen,
R  I , UR D 1;5 V  Sicherungsautomaten und
b) Bestimmung der maximalen Verlustleis-  Transil-Dioden gegen Überspannung.
tung des Widerstands: Die Symbolik ist in DIN EN 60617-7 Kap. 7
festgeschrieben. Abbildung 5.12 zeigt die wich-
Pmax D R  U 2 D 22;5 W : tigsten Symbole.
5 Bauelemente der Leistungselektronik 297

Abb. 5.12 Schaltsymbole


allgemeine Sicherung Symbol:
für Sicherungselemente
DIN EN 60617-7 07-21-01
nach DIN EN 60617 (Bei-
spiele)
Sicherung. Die breite Seite Symbol:
kennzeichnet den netz- 07-21-02
seitigen Anschluss
DIN EN 60617-7

Sicherung mit separatem Symbol:


Meldekontakt 07-21-05
DIN EN 60617-7

3 Phasen Sicherung mit Symbol:


selbsttätiger Auslösung 07-21-06
DIN EN 60617-7

Überspannungssicherung. Symbol:
Funkenstrecke mit Glasrohr 07-22-04
DIN EN 60617-7

Transil-Diode oder Zener- Symbol:


Diode. Berieb im Durch- 05-03-06
bruchspannungsbereich
DIN EN 60617-5

Schmelzsicherungen sind nach wie vor die Der Sicherungsstrom IS geht quadratisch in die
wichtigsten Sicherungselemente in der Leis- Berechnung der Leistungsbetrachtung ein. In un-
tungselektronik. Sie haben einen sehr geringen serem obigen einfachen Beispiel entsteht über der
Innenwiderstand, der vor allem bei großen Sicherung eine Verlustleistung von 500 W.
Stromstärken eine wichtige Rolle spielt. Der dort
auftretende Spannungsabfall bleibt somit auch
gering. Zur Berechnung des Spannungsabfalls
5.2 Aktive Bauelemente
gilt allgemein das ohmsche Gesetz:

U D RI  I : (5.8) In der Leistungselektronik werden für das Schal-


ten von Strom und Spannung Elemente einge-
Beträgt der Innenwiderstand einer Sicherung RI setzt, die speziell für hohe Spannungen und Strö-
beispielsweise 0,05 , so fällt bei einem Nenn- me entwickelt wurden. Neben bipolaren Halblei-
strom von IN D 100 A eine Spannung von terstrukturen, die auf der Basis des NPN- oder
U D 5 V ab. Bei Betriebsspannung von mehreren PNP-Transistors ausgeführt sind (Abschn. 3.1),
hundert Volt ist der Spannungsabfall vernachläs- werden vermehrt Halbleiterstrukturen auf MOS-
sigbar. Allerdings muss hier auch auf die entste- Basis eingesetzt, die durch ihre kapazitive An-
hende Verlustleitung PS hingewiesen werden: Bei kopplung der Steuerleitung mit sehr geringen
großen Stromstärken entstehen trotz eines gerin- Steuerleistungen auskommen. Eine grobe Unter-
gen Innenwiderstand, der nur wenige m beträgt, scheidung lässt die Einteilung in folgende drei
enorme Verlustleistungen, die in Wärme umge- technologische Klassen zu:
setzt werden. Es gilt:  bipolare Schalter (Transistoren, Thyristoren
und Triacs),
PS D US  IS  FET-Leistungsschalter (MOS-Transistoren)
PS D RI  IS2 : (5.9)  und IGBTs.
298 J. Gutekunst

Interne Schutzschaltung Externe Schutzschaltung

n-Kanal FET p-Kanal FET p-Kanal FET n-Kanal FET

D D S2 S S2 S

S S1 S S1 S

G G G G
S

S S D D

S Schutzschaltung
G Gate S Source D Drain S1, S2 Schutzschaltungsanschlüsse

Abb. 5.13 Leistungs-FETs mit integrierter Schutzschaltung

Der IGBT (IGBT: Insulated Gate Bipolare Tran- aus. Vor allem in Verstärkerschaltungen oder
sistor) stellt dabei eine Verknüpfung von bipola- geregelten Stromversorgungen verwendet man
rer und MOS-Technologie dar. in diesem Fall die Darlingtonschaltung (Ab-
schn. 3.2.7). Dabei werden zwei Transistoren
5.2.1 Power MOS-FET hintereinandergeschaltet, so dass sich deren Ver-
stärkung multipliziert. Abbildung 5.14 zeigt die
Power MOS-FET-Transistoren (MOS-Feld Ef- Zusammenschaltung der beiden Transistoren so-
fekt Transistoren) sind in der Funktionsweise wie die zugehörigen Ströme. Dabei gilt, dass die
identisch zu den FETs. Lediglich die zu schal- Stromverstärkung des 1. Transistors ˇ1 und die
tenden Ströme, Spannungen und damit die Stromverstärkung des 2. Transistors ˇ2 die neue

resultierende Verlustleistung liegen weit über den Stromverstärkung ˇ ergibt. Es gilt:


von Standard-Bauteilen. Entsprechend groß sind
ˇ1 D IC1 =IB1 (5.10a)
die Geometrien von Gehäuse und des Siliciums
(Abschn. 3.4.9, MOSFET-Leistungstransistoren ˇ2 D IC2 =IB2 (5.10b)
für Schalter). ˇ D IC =IB1 (5.10c)
Power MOS-FET für kleinere Leistungen be-
sitzen in der Regel eine einfache Schutzschal- mit
tung. So hat Siemens beispielsweise eine Reihe IC D IC1 C IC2 : (5.10d)
von MOS-FET-Schaltern mit integrierter Tempe- Aus den Gl. 5.10a bis 5.10d lässt sich nun die
raturüberwachung entwickelt. Diese TEMPFET Gesamtverstärkung wie folgt bestimmen:
(Temperature Protected FET) schalten sich dabei
selbsttätig bei Übertemperatur ab und meldet den ˇ D ˇ1 C ˇ2 C ˇ1  ˇ2 : (5.11)
Zustand an die Steuerelektronik. Abbildung 5.13
In den meisten Betrachtungen der Darlington-
zeigt verschiedene Typen und die dazugehörigen
Transistoren werden die additiven Terme der
Schaltsymbole.
Stromverstärkungen in Gl. 5.11 vernachlässigt,
da sie im Verhältnis zum Produkt nur eine un-
5.2.2 Darlingtonschaltung tergeordnete Rolle spielt. Man erhält so die
vereinfachte Gleichung:
In manchen Anwendungen reicht die Strom-
verstärkung eines einzelnen Transistors nicht ˇ  ˇ1  ˇ2 : (5.12)
5 Bauelemente der Leistungselektronik 299

Abb. 5.14 Aufbau eines IC


Darlington-Transistors IC1

IB1
IC2
T1

T2
IB2

IC1 IC2
Einzelstromverstärkung: b1 = b2 =
IB1 IB2

IC
Gesamtstromverstärkung: b =
IB1

Generell gilt für Leistungstransistoren, die an von 2 kHz bis mehr als 25 kHz. Seine Hauptan-
hohen Spannungen betrieben werden, dass sie ei- wendungsgebiete sind:
ne entsprechende breite Kollektorzone besitzen  unterbrechungsfreie Stromversorgungen
müssen. Sie garantiert die Spannungsfestigkeit. (USV),
Entsprechend hoch wird damit allerdings auch  Spannungsumrichter,
der Kollektorserienwiderstand. Dies hat Einfluss  Schweißstromquellen und
auf  Umrichter für Antriebssysteme.
 die Stromverstärkung und Durch die Verknüpfung der technologischen
 den Sättigungsbereich Merkmale von MOS und bipolaren Transistoren
des Transistors, auf den hier nicht näher ein- ergeben sich folgende Eigenschaften des IGBTs:
gegangen werden soll. Beide Merkmale erge-  isolierter Basis-(Gate-)Anschluss,
ben einen höheren Basisstrom. Ein Darlington-  hohe Spannungsfestigkeit und
Transistor, wie er in Netzumrichter eingesetzt  hohe Strombelastbarkeit.
wird, ist in der Lage, sehr große Ströme und Diese Eigenschaften machen den IGBT zu einem
Spannungen zu schalten. Darüber hinaus besitzt Halbleiter-Bauelement, das mit sehr geringem
dieser Baustein einen Hilfsausgang, der für Kon- Aufwand und Leistung anzusteuern ist. Die Vor-
trollaufgaben in die Ansteuerelektronik rückge- teile für die Systemintegration sind:
führt werden kann.  niedrige Steuerleistung,
 niedriger Steueraufwand und
 Beeinflussbarkeit der Schaltzeiten
in den für MOS-Transistoren bestimmten Gren-
5.2.3 IGBT zen. Letzterer Gesichtspunkt bekommt vor dem
Hintergrund der EMV (EMV: Elektromagneti-
Die Verschmelzung von MOS-Technologie mit sche Verträglichkeit) immer mehr Bedeutung.
der bipolarer Transistortechnik führte zur Ent- Mit dem IGBT ist man heute in der Lage, die
wicklung des IGBT-Transistors (IGBT: Insulated hochfrequenten Anteile in der Schaltflanke ge-
Gate Bipolar Transistor). Seine Eigenschaften zielt zu vermeiden.
machen ihn besonders geeignet für den Betrieb Die Einführung der MOS-Leistungstransisto-
in getakteten Anwendungen im Frequenzbereich ren wurde maßgeblich durch ihre einfache An-
300 J. Gutekunst

Tab. 5.2 Dicke der einzelnen IGBT Zonen a C b


Bereich Dicke Relativ zur
Strom- C
Epitaxie
begrenzungs-
Epitaxie 60 bis 62 m 1 widerstand
nC -dotierte Zone 1,0 bis 1,5 m 0,017
p -dotierte Zone 3,6 bis 4,0 m 0,058 G G
pC -dotierte Zone 5,0 bis 5,5 m 0,083
Eingangs-FET
pnp Transistor
E
E
G: Gate, C: Collector, E: Emitter
schaltungstechnik und den daraus resultierenden
Vorteilen beschleunigt (siehe oben). Mit stei- Abb. 5.15 Ersatzschaltbild und Schaltungssymbol des
IGBT a Ersatzschaltbild, b Schaltzeichen
genden Betriebsspannungen und größeren Strö-
men musste allerdings die Drain-Source-Strecke
beträchtlich erweitert werden, so dass ein Ein-
schluss eine vorgeschaltete Schutzeinrichtung
satz jenseits von 100 V nicht sinnvoll erschien.
ansprechen lässt, entstehen hohe Stromspitzen.
Genau hier setzt der IGBT mit seiner bipola-
Trotz dieser Vorteile ist bei der Schaltungsbe-
ren Emitter-Kollektor-Strecke an, da er speziell
messung auf die Einhaltung der Safe Operating
im Hochspannungsbereich entscheidende Vortei-
Area (SOAR) zu achten. Sie beschreibt den zu-
le aufweist. Sein Einschaltwiderstand ist etwa
lässigen Arbeitsbereich bipolarer Leistungstran-
10-mal geringer als der eines MOS-Transistors;
sistoren. Die Grenzen werden dabei durch die
seine Durchbruchspannung kann mehr als 1000 V
maximale zulässige Kollektorspannung, der Ver-
betragen.
lustleistung und der Durchbruchsspannung ge-
Die Silicium-Strukturen des IGBTs werden in
bildet und in einem UI-Diagramm aufgetragen.
zwei unterschiedlichen Technologien gefertigt:
Abbildung 3.22 in Abschn. 3.1.5.5 verdeutlicht
 Epitaxie-Struktur oder PT-IGBT (PT: Punch
diesen Zusammenhang.
Through) und
IGBT-Transistoren werden heute vielfach
 homogene Struktur oder NPT-IGBT (Non
als Halbbrücken gefertigt. Damit sind sowohl
Punch Through).
für Wechselstromsysteme als auch für Dreh-
Aufgebaut ist der IGBT als vierlagiger Transis-
stromsysteme auf einfache Weise Umrichter
tor mit einer Dotierungsfolge n-p-np. Tabelle 5.2
aufzubauen. Für kleinere Leistungen werden drei
stellt die einzelnen Schichtdicken gegenüber so-
Halbbrücken in einem Gehäuse zusammenge-
wie eine Relation zur Epitaxie-Schicht. Der Auf-
fasst. Dieser
bau kann näherungsweise durch das in Abb. 5.15
Sixpack findet immer mehr Verbreitung.
dargestellte Ersatzschaltbild beschrieben werden.
Das Einschaltverhalten des IGBT ist dem des
MOSFET sehr ähnlich. Lediglich das dynami-
sche Sättigungsverhalten erinnert an die bipolare 5.2.4 Smart Power ICs
Schaltungsstufe: Beim Abschalten treten hohe
Ausschaltverluste auf, da der Strom nach einem Der Begriff „Smart“ deutet schon darauf hin,
schnellen Abfall nicht sofort auf Null absinkt. dass dieser Baustein mehr als nur die eigentliche
Dieser Nachteil schränkt den IGBT in Bezug sei- Schaltfunktion vorweisen kann. Die Schaltleis-
ner Schaltfrequenz deutlich ein. tung dieser Bauelemente sind eher moderat zum
Eine der herausragenden Eigenschaften des Vergleich der Power MOS-FET Bausteine. Un-
IGBT ist seine Kurzschlussfestigkeit. Gera- ter „Smart Power ICs“ versteht man intelligente
de bei Umrichtern ist es notwendig, dass bei Transistoren.
Kurzschluss der Transistor selbst auch ohne Die Vielzahl der heute verfügbaren Smart
dauerhafte Beschädigung bleibt. Bevor ein Kurz- Power ICs reicht von einfachen Schaltern bis
5 Bauelemente der Leistungselektronik 301

Strom-
begrenzung

Über- Strom- Über-


spannungsschutz begren- spannungs-
D zung schutz

Kurzschluss-
schutz du/dt-
G G Begrenzung
Übertemperatur-
überwachung

Sensor
Übertempe- Kurz-
ESD-
S raturüber- schluss-
Schutz
Schaltflanken- wachung schutz
begrenzung

ESD-Schutz
S

Abb. 5.16 Integration der Schutzfunktionen in einen Schalttransistor

zu hochintegrierten Bausteinen, bei denen die zurück in die Steuerung (engl.: feed back) und
Schaltfunktion gegen Grenzwerte überwacht erlaubt so die Überwachung des auszuführenden
wird. Man unterscheidet drei grundsätzliche Schaltwechsels.
Schaltungsvarianten:
 Low-Side Schalter,
 High-Side Schalter und 5.2.5 SCR (Silicon Controlled Rectifier),
 Halbbrücke (Push-Pull Schalter). Thyristor
Die Integration der Schutzfunktion erfolgt auf
demselben Silicium-Chip, auf dem der Aus- Thyristoren und Triacs sind Bauelemente, de-
gangstransistor untergebracht ist. Dies erfordert ren Grundcharakter stark mit der Halbleiterdiode
neben der Realisierung der Leistungselektronik verknüpft ist. In diesem Abschnitt sollen eini-
auch die Realisierung feinster Strukturen für die ge Eigenheiten und Sonderbauformen aufgezeigt
Überwachungslogik. Abbildung 5.16 zeigt die werden.
Integration der wichtigsten Schutzfunktionen für Es gibt eine ganze Reihe unterschiedlicher
einen Leistungsschalter (hier z. B. ein N-FET). Thyristoren, die jeweils auf ihr spezifisches An-
Alle Überwachungsfunktionen können über wendungsgebiet optimiert wurden. Die Schalt-
einen Ausgang als Sammelmeldung zurück an symbole sowie deren vereinfachte Kennlinie der
das Steuerungssystem geführt werden. Ein Dia- wichtigsten drei Vertreter sind in Abb. 5.17 in ei-
gnoseprogramm kann im Fehlerfall den schad- ner Übersicht zusammengestellt. Dies sind:
haften Ausgang lokalisieren.  Thyristor oder SRCs (Silicon Controlled Rec-
Eine weitere Rückmeldeleitung gibt Auskunft tifier),
über den Schaltzustand der Leistungsendstufe.  GTOs (Gate Turn Off Thyristor) und
Dies ist besonders dann wichtig, wenn über die  Triacs (bidirektionale Thyristor Triode).
Fehlerleitung kein Fehler gemeldet wird (kein Ihre Einsatzgebiete erstrecken sich von
Überstrom, keine Übertemperatur), der Ausgang Hochspannungs- und Hochstromgeräten wie
aufgrund eines defekten Bausteins aber nicht Netzteilen, Netzumrichtern, Schweißgeräten bis
schaltet. Die Leitung koppelt das Ausgangssignal zu einfachen Lampensteuerungen. Während der
302 J. Gutekunst

Abb. 5.17 Thyristor, Triac und GTO in der Übersicht

Thyristor in Hochenergieanwendung von bis schichtdiode dargestellt. Diese Darstellung lässt


zu 4000 V und mehreren hundert Ampere Ver- sich in ein Zwei-Transistor-Modell überführen,
wendung findet, ist der Triac vorwiegend in das oft zur Verdeutlichung der Schalteigenschaf-
Kleinleistungsgeräten und Konsumartikeln zu ten herangezogen wird (Abb. 5.18, rechts). An
finden. diesem Modell ist zu sehen, dass der Thyristor
einen Strom nur in eine Richtung führen kann,
Thyristor Der Thyristor ist eine steuerbare Vier- also ein ähnliches Verhalten wie eine Diode auf-
schichttriode mit einer p-n-p-n-Struktur. Der vom weist.
Thyristor maximal schaltfähige Strom ist vom Durch einen Zündimpuls auf das Gate wird
Querschnitt des Vierschichthalbleiters abhängig. der Thyristor eingeschaltet. Er geht in eine
Allgemein gilt, dass der durch den Thyristor Selbsthaltung und kann so über das Gate nicht
gesteuerte Strom direkt proportional zur Halb- mehr abgeschaltet werden (Ausnahme: GTO).
leiterfläche ist. Die maximal zulässige Betriebs- Liegt der Thyristor in einem Wechselspannungs-
spannung ist hingegen von der Dicke und damit zweig, so behält er diesen Zustand bis zum
von der Größe der Raumladungszonen der p-n- nächsten Nulldurchgang der Wechselspannung.
Übergänge abhängig. Da er an diesem Punkt in den inversen Betrieb
In Abb. 5.18 ist das Schaltbild eines Thyris- übergeht, verlöscht er. Bei der nächsten positiven
tors und dessen schematischer Aufbau der Vier- Halbwelle muss er erneut gezündet werden.
5 Bauelemente der Leistungselektronik 303

A iA
p
A
p n n
n
U G p iC1 = iB2 iB1 = iC2
p p
G n
iG G n
K
K iK

K
A Anode K Kathode G Gate
Schaltsymbol Vierschichtdiode Zweitransistor-Modell

Abb. 5.18 Schematischer Aufbau eines Thyristors

Abb. 5.19 Arbeitsberei- i


che eines Thyristors Durchlassbereich

Durch-
bruch-
UDRM
spannung
für
iG = 0
URRM
U
iG1 iG2 iG3 iG4

Gatestrom 0

Sperrbereich Blockierbereich

Abbildung 5.19 zeigt die Durchbruchsspan- der Spitzensperrspannung URRM festlegt. Es gilt:
nung eines Thyristors in Abhängigkeit des Gate-
Stroms. Durch den Gate-Strom kann der Ein- Smin D URRM =UO I (5.13)
schaltzeitpunkt bestimmt werden. Man spricht
von einer Phasenanschnittsteuerung. Mit UO D 0;5URRM folgt Smin D 2. Der Blo-
Die statische Kennlinie ist im Wesentlichen ckierbereich des Thyristors ist symmetrisch zum
durch drei Bereiche in Abb. 5.19 gekennzeichnet: Sperrbereich. Er ist durch die Durchlassdurch-
 Sperrbereich, bruchsspannung UDRM gekennzeichnet, die ähn-
 Blockierbereich und lich URRM bei Überschreiten zu einem Durch-
 Durchlassbereich. bruch führt. Dieser Durchbruch ist jedoch rever-
Der Sperrbereich ist durch die Spitzensperr- sibel, also ohne Folge für das Bauteil. Er ist durch
spannung URRM gekennzeichnet. Sie markiert einen geringen Spannungsabfall über dem Bau-
den Knickpunkt der Kennlinie, ab dem der Rück- element gekennzeichnet. Man spricht hier auch
wärtsstrom IR lawinenartig ansteigt (Avalanche- von einer Selbstzündung oder Überkopfzündung
Effekt). Die Überschreitung von URRM führt zur des Thyristors.
Zerstörung des Thyristors. Dies ist der Grund für Die reguläre Betriebsart des Thyristors erfolgt
die Einführung des Sicherheitsabstands S, der durch eine gesteuerte Zündung im Blockierbe-
die maximale Betriebsspannung UO auf < 50 % reich. Die Betriebsspannung muss dabei stets
304 J. Gutekunst

Abb. 5.20 Triac a Schalt- a b


zeichen des Triacs, A1
b Äquivalentes Schalt- A1
bild zweier antiparallel
geschalteter Thyristoren
G

G G

A2
A2
A1 Anode 1 A2 Anode 2 G Gate

kleiner als UDRM sein. Durch einen Zündstrom iG Leistungen und in der Bahntechnik (z. B. bei
kann der Thyristor aus seinem Blockierbereich in Elektrolokomotiven).
den Durchlassbereich geschaltet werden. Sobald
der einsetzende Laststrom eine Mindeststrom-
stärke (etwa 10 mA bis 100 mA) erreicht hat, 5.2.7 Triac
bleibt der Thyristor eingeschaltet und der Zünd-
impuls kann abgeschaltet werden. Diese Min- Der Triac ist sehr stark mit dem Thyristor ver-
deststromstärke wird als Raststrom bezeichnet. wandt: wie bereits im vorigen Abschnitt erwähnt,
Im Durchlass-und Sperrbereich verhält sich der ist seine Funktion ähnlich zweier antiparallel ge-
Thyristor wie eine Leistungsdiode. schalteter Thyristoren. Dies erlaubt das Schalten
sowohl positiver als auch negativer Halbwellen.
Abbildung 5.20 zeigt das Schaltbild eines Triacs
und das antiparallele Gegenstück aus Thyristo-
5.2.6 GTO ren.
Aufgrund dieser Eigenschaften weist der Triac
Das Einschalten und Ausschalten eines Thyris- zwei Blockierbereiche auf. Die in Abb. 5.21
tors wird durch zwei unterschiedliche Vorgänge dargestellte statische Kennlinie des Triacs ver-
bestimmt: deutlicht das Zündverhalten für unterschiedliche
 Zündung des Thyristors durch das Gate (Ein- Gateströme. Im Gegensatz zum Thyristor wirkt
schaltvorgang) und der Gate-Strom sowohl für die Durchlassrichtung
 Löschen des Thyristors durch den nächsten im ersten Quadranten als auch für die entgegen-
Nulldurchgang der Betriebsspannung. gesetzte Durchlassrichtung, die dem Sperrbereich
Dies hat zur Folge, dass ein Thyristor in einem des Thyristors entspricht (dritter Quadrant). In
Gleichstromkreis nur gezündet, aber nicht mehr der Praxis bedeutet dies, dass ein Triac unabhän-
gelöscht werden kann. Aus diesem Grund wur- gig von seiner Durchflutungsrichtung gezündet
de der GTO-Thyristor (Gate Turn-Off Thyristor) werden kann.
oder Abschaltthyristor entwickelt.
Der GTO kann durch einen negativen Gate-
Strom gelöscht werden. Nachteilig ist jedoch, 5.2.8 Sicherheitsbeschaltung
dass der Löschstrom bis zu 30 % des Laststro- von Leistungshalbleitern
mes, also des abzuschaltenden Stromes, betragen
kann. Der GTO wird aufgrund seiner komple- Die Sicherheitsbeschaltung eines Thyristors be-
xen Ansteuerung und aufwändiger Fertigung nur steht im Wesentlichen aus einem RC-Glied und
in einigen besonderen Anwendungen eingesetzt, einem Varistor (Abb. 5.22). Der Varistor ist da-
beispielsweise in Frequenzumrichtern mit hohen bei so zu dimensionieren, dass er vor Errei-
5 Bauelemente der Leistungselektronik 305

Abb. 5.21 Durchsteuerbe-


reich eines Triacs i Durchlassbereich

Durchbruch-
spannung
für iG = 0
0 Gatestrom

iG4 iG3 iG2 iG1

U
iG1 iG2 iG3 iG4

Gatestrom 0

Durchlassbereich

Abb. 5.22 Sicherheitsbe- G


schaltung eines Thyristors
iT
A K

R C
Schutz-
beschaltung

UT
V Varistor R Widerstand C Kondensator

chen der Spitzensperrspannung so niederohmig Falle muss eine Stromregelung oder Begrenzung
wird, dass eine vorgeschaltete Sicherung ausge- eingreifen (Grenzlastintegral, s. Abschn. 2.5.3.1
löst wird. Dies trifft nur für langsame Zustands- Netzgleichrichter).
änderungen zu. Das RC-Glied hingegen sorgt für
einen schnellen Ladungsaustausch bei hochfre-
quenten Spitzen. Die Zeitkonstante  bestimmt 5.2.9 Spannungssteuerung
dabei die maximale Anstiegsgeschwindigkeit, die eines Thyristors
im Bereich von 100 V=s bis 1000 V=s liegt.
Tritt im Lastkreis ein Kurzschluss auf, so muss Wenn der Zündimpuls iG um den Winkel ˛ ge-
eine superflinke Schmelzsicherung den Leis- genüber dem Nulldurchgang der Thyristorspan-
tungshalbleiter schützen. Das Grenzlastintegral nung u verzögert wird, kann der Laststrom Id
der Sicherung muss kleiner sein als das des Halb- verringert werden (Abb. 5.23).
leiters. Unter dem Grenzlastintegral W versteht Die Steuerung, auch Phasenanschnittsteue-
man das Wärmespeichervermögen im Zeitbereich rung genannt, ist nur während der positiven
bis 10 ms. Bei länger andauerndem Überströmen Halbwelle möglich. Während der negativen
kann eine Sicherung einen Halbleiter nicht schüt- Halbwelle sperrt der Thyristor wie eine Diode.
zen, da deren Schmelzzeitkennlinien oberhalb Die Netzspannung teilt sich auf in die schraf-
10 ms zu sehr voneinander abweichen. In diesem fierte Teilspannung, die an dem Thyristor abfällt
306 J. Gutekunst

 Harris Components: (1992) Application Notes


Power MOSFETs, Harris Semiconductor.
 Hering, E., Martin, R., Gutekunst, J. Kempkes,
J.: (2012) Elektrotechnik und Elektronik für
Maschinenbauer, Springer Verlag, 2. Aufla-
ge.
 Hering, E., Vogt, A., Bressler, K.: (1999)
Handbuch der elektrischen Anlagen und Ma-
schinen, Springer Verlag.
 Hinsch, H.: (1996) Elektronik. Springer Ver-
lag.
 International Rectifier: (1991) IGBT Desi-
gner’s Manual, California 1991.
 Jäger, R.: (2011) Leistungselektronik, Grund-
lagen und Anwendungen, VDE Verlag.
 Jötten, R.: Leistungselektronik. Band 1 Strom-
richterschaltungstechnik, Wiesbaden, Vieweg
Abb. 5.23 Spannungsansteuerung durch Zündverzöge- Verlag.
rung
 Kremser, A.: (2007) Elektrische Maschinen
und Antriebe, ViewegCTeubner Verlag.
 Michel, M.: Leistungselektronik, Taschen-
und in die rote Teilspannung an der Last R.
buch, Springer Verlag.
Die Testschaltung stellt eine steuerbare Einweg-
 Probst, U.: (2011) Leistungselektronik für Ba-
gleichrichterschaltung dar. Sie belastet das Netz
chelors: Grundlagen und praktische Anwen-
unsymmetrisch, und der Laststrom ist stark ober-
dungen, Hanser Verlag.
wellenhaltig. In der Praxis wird daher häufig die
 Schröder, D.: (2008) Leistungselektronische
Drehstrombrückenschaltung verwendet.
Schaltungen, Springer Verlag.
 Siemens Matsushita Components: (1993)
Kondensatoren für die Energie Elektronik.
5.3 Weiterführende Literatur  Siemens Matsushita Components: (1996)
EMV- Bauelemente.
 Böhm, W.: (2007) Elektrische Antriebe, Vogel  Specovius, J.: (2011) Grundkurs Leistungs-
Verlag. elektronik: Bauelemente, Schaltungen und
 Bolte, P.: (2012) Elektrische Maschinen. Systeme, ViewegCTeubner Verlag.
Springer Verlag.  Stein, E.: (2011) Leistungselektronik, VDE-
 Brosch, P.F.: (1998) Moderne Stromrichteran- Verlag.
triebe, Vogel Verlag.  Toshiba Europa: (1996) IGBT Plus.
 Christner, V.: (2002) Jahrbuch Elektromaschi-  Zach, F.: (2009) Leitungselektronik Hand-
nenbau 2002, Hüthig Verlag Heidelberg. buch, 2 Bände, Springer Verlag.
 Felderhoff, R., Busch, U.: Leistungselektronik,
Taschenbuch, Hanser Verlag.
Optoelektronik
6
Rolf Martin

6.1 Einleitung länge bzw. der Frequenz f der Strahlung ist


nach Einstein (A. E INSTEIN, 1879 bis 1955)
Die Optoelektronik ist das Teilgebiet der Elektro-
nik, das sich mit der Umwandlung von optischen
Signalen in elektrische und umgekehrt sowie mit Eph D hf D hc= I (6.1)
ihrer Koppelung befasst. Dieser Zusammenhang
ist in Abb. 6.1 dargestellt; hierbei sind die wich- c ist die Lichtgeschwindigkeit, h die Plancksche
tigsten Bauelemente genannt. Konstante. Werden für h und c die bekannten
Aufgrund der vorteilhaften Eigenschaften der Werte eingesetzt, so resultiert aus Gl. 6.1 folgen-
Festkörperbauelemente, wie z. B. kleine Bau- de Beziehung, die in der Praxis häufig benutzt
form, mechanische Robustheit, hohe Lebensdau- wird:
er und niedrige Betriebsspannung, stellt man die
Eph D 1;24 m  eV = : (6.2)
Wandler überwiegend aus Halbleitern her. In
diesem Buch ist deshalb fast ausschließlich die
Abbildung 6.2 zeigt für einige Halbleiter auch die
Halbleiteroptoelektronik beschrieben. Stimuliert
Breite der verbotenen Zone, das Energiegap Eg .
durch die Erfolge bei der Integration elektroni-
Wie nachfolgend noch erläutert wird, ist die-
scher Bauelemente zu komplexeren Systemein-
se Größe von grundlegender Bedeutung für die
heiten, wurden auch bereits integrierte optoelek-
Optoelektronik. Sie entspricht nämlich ungefähr
tronische Schaltungen entwickelt, bei denen so-
der Energie der Photonen, die von Halbleiter-
wohl optische als auch elektronische Bauteile auf
sendern emittiert werden. Ebenfalls gibt sie die
einem Chip integriert sind.
Mindestenergie eines Photons an, damit es in ei-
Die zur Anwendung kommende optische
nem Halbleiterempfänger absorbiert wird.
Strahlung liegt entweder im sichtbaren (Wellen-
längen von 380 nm bis 780 nm) oder im an-
grenzenden nahen und mittleren infraroten (IR) Beispiel 6.1
bzw. ultravioletten (UV) Spektralbereich. Abbil- Das Bandgap des Halbleiters GaP beträgt
dung 6.2 zeigt eine Übersicht über die Spek- Eg D 2;24 eV. Wie groß ist die Wellenlänge
tralgebiete (nach DIN 5031). Angegeben sind der Strahlung, deren Photonen diese Energie
sowohl die Wellenlänge der Strahlung als auch haben?
die zugehörige Photonenenergie Eph .
Der Zusammenhang zwischen der Energie Eph
eines Lichtquants oder Photons und der Wellen- Lösung
Nach Gl. 6.2 gilt D 1;24 m eV=2;24 eV D
R. Martin () 0;554 m D 554 nm. Die Strahlung liegt also
E-Mail: rolf.martin@hs-esslingen.de nach Abb. 6.2 im grünen Spektralbereich.
© Springer-Verlag GmbH Deutschland 2017 307
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_6
308 R. Martin

Abb. 6.1 Optoelektroni-


sche Wandler

6.2 Radiometrische
und fotometrische Größen

Radiometrische oder strahlungsphysikalische


Größen beschreiben die Eigenschaften eines
Strahlungssenders bzw. -empfängers. Die in
Abschn. 6.2.1 definierten Größen werden mit
Abb. 6.2 Wellenlänge und Photonenenergie optischer
Strahlung mit den Bandabständen gebräuchlicher Halblei-
objektiven Messgeräten bestimmt; ihre Formel-
ter (v: violett, b: blau, gr: grün, g: gelb, o: orange, r: rot) buchstaben erhalten nach DIN 5031 den Index
„e“ (energetisch).
6 Optoelektronik 309

Tab. 6.1 Radiometrische Größen


Größe Symbol Einheit Beziehung Erklärung
R
Strahlungsenergie Qe Ws D J Qe D ˚e d t Durch elektromagnetische
Strahlung übertragene Energie
Strahlungsleistung bzw. ˚e W D J=s ˚e D dQe =dt Leistung der elektromagneti-
-fluss schen Strahlung
Senderseitige Größen
spezifische Ausstrahlung Me W=m2 Me D d˚e =dA1  ˚e =A1 Quotient aus Strahlungsleistung
und Senderfläche
Strahlstärke Ie W=sr Ie D d˚e =d˝1  ˚e =˝1 Quotient aus Strahlungsleistung
und Raumwinkel, in den die
Strahlung austritt
Strahldichte Le W=.sr  m2 / Le D d2 ˚e =.d˝1 dA1 cos "1 / Quotient aus Strahlungsleistung
Le D dIe =.dA1 cos "1 / und Raumwinkel sowie Projek-
Le  Ie =.A1 cos "1 / tion der Fläche auf eine Ebene
senkrecht zur Strahlrichtung
Empfängerseitige Größen
Bestrahlungsstärke Ee W=m2 Ee D d˚e =dA2  ˚e =A2 Quotient aus Strahlungsleistung
und bestrahlter Fläche
R
Bestrahlung He J=m2 He D Ee d t Zeitintegral der Bestrahlungs-
stärke

Wird der Eindruck einer Strahlung auf das


menschliche Auge mit seiner charakteristischen
Wellenlängen abhängigen Empfindlichkeit be-
schrieben, dann spricht man von fotometrischen
oder lichttechnischen Größen. Die Formelbuch-
staben dieser Größen erhalten den Index „v“
(visuell). Lichttechnische Größen sind naturge-
mäß nur für sichtbares Licht definiert.

6.2.1 Radiometrische Größen


Abb. 6.3 Zur Definition des Raumwinkels
Die in DIN 5031 definierten strahlungsphysikali-
schen Größen sind in Tab. 6.1 zusammengestellt.
che A bestrahlt, so sagt man, die Strahlung trete
Die näherungsweise gültigen Beziehungen kön-
in den Raumwinkel ˝ D A=r 2 aus. Die SI-
nen benutzt werden für den Fall, dass der Abstand
Maßeinheit des Raumwinkels ist der Steradiant:
zwischen Sender und Empfänger größer ist als
1 sr D 1 m2 =m2 . Der Übersichtlichkeit wegen
die fotometrische Grenzentfernung. Diese soll
schreibt man meist
mindestens das Zehnfache der größten Querdi-
mensionen von Sender bzw. Empfänger betragen. A
Ist diese Bedingung nicht erfüllt, müssen die Be- ˝D ˝0 : (6.3)
r2
ziehungen differenziell formuliert und dann über
Sender- und Empfängerfläche integriert werden. mit ˝0 D 1 sr.
Der Begriff des Raumwinkels, der in Tab. 6.1 Der größte Raumwinkel beträgt 4  sr, wenn
aufgeführt ist, wird anhand von Abb. 6.3 er- die Strahlung den vollen Raum erfüllt. Strahlt ein
läutert: Wird um einen strahlenden Punkt P ei- Sender nur in den Halbraum, so ist der Raumwin-
ne Kugel mit Radius r beschrieben und wird kel 2  sr. Wenn Sender- und Empfängerfläche
auf der Kugeloberfläche eine Figur mit der Flä- nach Abb. 6.4 angeordnet sind, dann erfüllt die
310 R. Martin

Abb. 6.4 Strahlenkegel, der vom Sender auf den Emp-


fänger fällt Abb. 6.5 Hellempfindlichkeitsgrad des menschlichen
Auges, schwarz: lineare Skala, rot: logarithmische Skala

Strahlung, die von der Senderfläche A1 ausge-


hend die Empfängerfläche A2 trifft, den Raum- So erhält man beispielsweise die spektrale
winkel Strahldichte Le; D dLe =d gemessen in
A2 cos "2 W=(m2 sr nm).
˝D ˝0 : (6.4) Die spektralen Größen werden experimentell
r2
mit Hilfe eines Spektrometers bestimmt. Aus
Wird mit Hilfe der in Tab. 6.1 angegebe-
dem gemessenen Verlauf der spektralen Größe
nen Größen die Leistung berechnet, die in einer
Xe; kann die zugeordnete Größe Xe durch In-
Anordnung nach Abb. 6.4 vom Sender auf den
tegration über den Wellenlängenbereich, in dem
Empfänger fällt, so ergibt sich das fotometrische
die Strahlung auftritt, berechnet werden:
Grundgesetz
Z 2
A1 cos "1 A2 cos "2 Xe D Xe, . / d : (6.8)
˚e D Le : (6.5)
r2 1

Für die Bestrahlungsstärke Ee auf der Empfänger-


oberfläche folgt das fotometrische Entfernungs-
6.2.2 Fotometrische Größen
gesetz
Ie ."1 /
Ee D cos "2 ˝0 : (6.6) Betrachtet ein Beobachter eine rote ( D
r2 660 nm) und eine grüne LED ( D 560 nm),
Die von einem Detektor aufgenommene Strah- dann erscheint die grüne LED etwa 16mal heller
lungsleistung ˚e und die Bestrahlungsstärke Ee als die rote, falls die gemessenen Strahlungsleis-
nehmen also umgekehrt proportional zum Qua- tungen gleich sind. Der unterschiedliche visuelle
drat des Abstandes r zum Sender ab. Eindruck entsteht dadurch, dass das menschli-
Da im Allgemeinen die strahlungsphysikali- che Auge nicht für alle Wellenlängen des Lichts
schen Größen eine Abhängigkeit von der Wel- gleich empfindlich ist. Der Hellempfindlichkeits-
lenlänge aufweisen, lässt sich für jede in Tab. 6.1 grad V . / eines Standardbeobachters wurde von
aufgeführte Größe Xe eine spektrale Größe Xe; der Comission International d’Eclairage (CIE)
angeben, die folgendermaßen definiert ist: aufgenommen und ist in DIN 5031 festgelegt.
Abbildung 6.5 zeigt V . / für Tagessehen, wo die
dXe Zapfen der Netzhaut aktiv sind (sog. fotopische
Xe; D : (6.7)
d Anpassung).
6 Optoelektronik 311

Tab. 6.2 Fotometrische Größe Symbol Einheit


Größen Lichtmenge Qv lms (Lumensekunde)
Lichtstrom ˚v lm (Lumen)
Senderseitige Größen
spezifische Lichtausstrahlung Mv lm=m2 (Lumen=Quadratmeter)
Lichtstärke Iv lm=sr D cd (Candela)
Leuchtdichte Lv cd=m2 (Candela=Quadratmeter)
Empfängerseitige Größen
Beleuchtungsstärke Ev lm=m2 D lx (Lux)
Belichtung Hv lx s (Luxsekunde)

Der Helligkeitseindruck hängt also nicht nur Nach dem Muster der Gl. 6.9 und 6.10 zur Be-
von der Strahlungsleistung ab, die ins Auge rechnung des Lichtstroms ˚v aus dem Strah-
fällt, sondern auch vom Hellempfindlichkeits- lungsfluss ˚e kann für jede radiometrische
grad V . / des Auges für die betreffende Wel- Größe Xe die in Tab. 6.1 definiert ist, die zu-
lenlänge. Der Lichtstrom ˚v ist ein Maß für die geordnete fotometrische Größe Xv berechnet
Helligkeitsempfindung. Für monochromatisches werden:
Licht gilt bei fotopischer Anpassung: Z nm
780

Xv D Km Xe, . /V . / d (6.11)
˚v D Km ˚e V . / : (6.9)
380 nm

Die Konstante Km wird als Maximalwert des fo- Die Bezeichnungen der lichttechnischen Grö-
tometrischen Strahlungsäquivalents bezeichnet. ßen sind zusammen mit ihren Maßeinheiten in
Sie ist eng verknüpft mit der SI-Basiseinheit für Tab. 6.2 zusammengestellt.
die Lichtstärke, der Candela und beträgt Km D Die Maßeinheiten der lichttechnischen
683 lm=W (Lumen=Watt). Größen sind mit der SI-Einheit der Lichtstärke
1 cd (Candela) verknüpft. Die Candela ist die
Lichtstärke einer Strahlungsquelle, die mono-
Beispiel 6.2
chromatische Strahlung der Frequenz 540 
Eine rote und eine grüne LED emittieren Licht
1012 Hz in eine bestimmte Richtung aussen-
bei D 660 nm bzw. D 560 nm. Wie
det, und deren Strahlstärke in dieser Rich-
groß sind die Lichtströme, falls beide Dioden
tung Ie D 1=683 W=sr beträgt. Licht mit
dieselbe Strahlungsleistung ˚e D 10 W ab-
dieser Frequenz hat die Wellenlänge D
geben?
555 nm. Der Hellempfindlichkeitsgrad beträgt
hier V .555 nm/ D 1. Nach Gl. 6.11 gilt für
Lösung die Lichtstärke Iv D Km Ie  1 D 1 cd. Hieraus
Die Hellempfindlichkeitsgrade betragen folgt Km D 683 cd sr=W D 683 lm=W. Als
V .660 nm/ D 0;061 und V .560 nm/ D 0;995. abgeleitete Einheiten sind für den Lichtstrom
Nach Gl. 6.9 wird ˚v;rot D 0;417  103 lm und das Lumen (1 lm D 1 cd sr) und für die Be-
˚v;grün D 6;8  103 lm. leuchtungsstärke das Lux (1 lx D 1 lm=m2 )
Ist die Strahlung nicht monochromatisch, eingeführt.
sondern spektral breitbandig, dann muss für Bei Empfindlichkeitsangaben von Foto-
die Berechnung des Lichtstroms über das detektoren wird häufig Bezug genommen
sichtbare Spektrum integriert werden: auf die Beleuchtung mit Normlicht A. Nach
DIN 5033 wird dies dadurch realisiert, dass
Z nm
780
eine gasgefüllte Wolfram-Glühlampe bei der
˚v D Km ˚e, . /V . / d : (6.10) Verteilungstemperatur Tv D 2856 K betrie-
380 nm ben wird (das Spektrum entspricht dann etwa
312 R. Martin

dem eines schwarzen Strahlers bei der Tempe-


ratur 2856 K). Einer Beleuchtungsstärke von
Ev D 1 lx dieser Lichtart entspricht die Be-
strahlungsstärke Ee  6  102 W=m2 .

6.3 Halbleiter-Sender

6.3.1 Strahlungsemission
aus Halbleitern

In Halbleitern sind die möglichen Energiezu-


stände der Elektronen in Bändern angeordnet Abb. 6.6 Rekombinationsprozesse in Halbleitern. Strah-
(Abschn. 1.8.2). Im thermodynamischen Gleich- lende Übergänge: a Band-Band, b Donator-Valenzband,
gewicht befindet sich stets eine bestimmte Anzahl c Leitungsband-Akzeptor, d Paar-Übergang. Nichtstrah-
lende Übergänge: e über tiefe Störstellen (traps), f Auger-
von Elektronen im energetisch höher gelege- Effekt
nen Leitungsband und eine entsprechende Anzahl
von Löchern im tiefer liegenden Valenzband.
Durch Energiezufuhr (z. B. thermisch) werden spielsweise in den klassischen Halbleitern Si
ständig Elektronen vom Valenz- ins Leitungs- und Ge die Elektronen an der Leitungsbandkan-
band gehoben (Generation von freien Elektron- te einen Impuls, der verschieden ist vom Impuls
Loch-Paaren); zugleich findet auch fortwährend der Löcher an der Valenzbandkante. Bei diesen
der umgekehrte Prozess statt, wobei Elektronen sog. indirekten Halbleitern ist die Rekombinati-
unter Energieabgabe vom Leitungs- ins Valenz- on verboten, weil sie den Impulserhaltungssatz
band übergehen. Bei dieser Rekombination ei- verletzen würde. Die Rekombination ist nur mög-
nes Elektrons aus dem Leitungsband mit einem lich unter Zuhilfenahme von Phononen. Das sind
Loch aus dem Valenzband wird im Kristall eine Gitterschwingungen, die den fehlenden Impuls-
vorher offene Bindung wieder restauriert. Wird betrag zwischen Elektron und Loch aufbringen
die Energie, die dabei frei wird als Lichtquant können. Aus diesem Grund ist die Wahrschein-
abgegeben, so spricht man von strahlender Re- lichkeit für die strahlende Rekombination sehr
kombination. Als Konkurrenzprozess findet auch gering. In den direkten Halbleitern (z. B. GaAs,
die nicht strahlende Rekombination statt, bei der InP) haben Elektronen und Löcher denselben Im-
die freiwerdende Energie letztendlich in Wärme puls und es gibt kein Verbot der strahlenden
(Gitterschwingungen) umgesetzt wird. Rekombination. Diese Substanzen sind also ge-
Die Rekombination ist meist recht verwickelt. eignet zur Herstellung von Sendern.
Abbildung 6.6 zeigt einige Wege auf, über die ein Abbildung 6.6 zeigt auch zwei Möglichkeiten
Elektron mit einem Loch rekombinieren kann. der nicht strahlenden Rekombination, und zwar
In allen Fällen der strahlenden Rekombination in Abb. 6.6e über tiefe Störstellen (traps), wobei
(Abb. 6.6a bis d entspricht die Energie der ausge- die Energie an Gitterschwingungen abgegeben
sandten Photonen näherungsweise der Breite der wird und bei Abb. 6.6f über den Auger-Effekt.
verbotenen Zone: Hierbei wird die bei der Rekombination eines
Elektrons mit einem Loch frei werdende Ener-
Eph  EL  EV D Eg : (6.12) gie an ein zweites Elektron abgegeben, das sich
bereits im Leitungsband befindet und durch die
Nicht alle Halbleitermaterialien kommen als Energiezufuhr hoch ins Band gehoben wird, al-
Lichtsender in Frage, und zwar deshalb, weil so eine große kinetische Energie erhält. Durch
in manchen Substanzen die Rekombination vor- Zusammenstöße wird diese Energie wieder an
wiegend nicht strahlend abläuft. So haben bei- das Gitter abgegeben; das Elektron thermalisiert
6 Optoelektronik 313

wieder zur Bandkante (gestrichelt). Derselbe Vor-


gang ist auch mit Löchern im Valenzband mög-
lich.
Da nach Gl. 6.12 die Photonenenergie (und da-
mit die Wellenlänge bzw. die Farbe des Lichts)
von der Breite des Energiegaps abhängt, kann die
Farbe des Rekombinationslichts durch die Wahl
des Halbleitermaterials bestimmt werden. Von
besonderem Interesse sind Mischkristalle, die
durch die Wahl des Mischungsverhältnisses eine Abb. 6.7 Bandstruktur einer in Flussrichtung betriebenen
freie Einstellung der Photonenenergie innerhalb Leuchtdiode
gewisser Grenzen zulassen (band-gap tailoring).
So kann beispielsweise der ternäre Mischkristall
GaAs1x Px , je nach Wahl des Mischungspara- einstellen. Für den zeitlichen Verlauf folgt aus
meters (Molenbruch) x jedes Bandgap zwischen Gl. 6.13:
Eg D 1;43 eV (x D 0, GaAs) und Eg D 2;26 eV
(x D 1, GaP) einnehmen. Die zugehörigen Emis- d.n/=dt D n= :
sionswellenlängen liegen dann zwischen D
Die Lösung dieser Differenzialgleichung lautet
870 nm (IR) und D 550 nm (grün). Abbil-
(Abb. 1.77):
dung 6.2 zeigt die Bandabstände und zugehörigen
Wellenlängen einiger Halbleiter im Vergleich zur n.t/ D n0 et = : (6.14)
Lage des sichtbaren Spektralgebiets.
Um in einem Halbleiter eine hohe Rekombina-  ist die Lebensdauer der Teilchen im ange-
tionsrate und damit einen großen Photonenfluss regten Energieniveau. Sie kann experimentell
zu erhalten, muss die Dichte der Elektronen im bestimmt werden, indem der Halbleiter mit ge-
Leitungsband und die Dichte der Löcher im Va- pulstem Licht beleuchtet und die Änderung des
lenzband über die Gleichgewichtsdichten n0 bzw. ohmschen Widerstandes als Funktion der Zeit ge-
p0 hinaus vergrößert werden. Die Erhöhung der messen wird.
Trägerdichten um n geschieht durch Energie-
zufuhr von außen. Beispielsweise werden bei der
Lumineszenzdiode über den pn-Übergang hin- 6.3.2 Lumineszenzdioden
weg Elektronen ins p-Gebiet sowie Löcher ins
n-Gebiet injiziert. 6.3.2.1 Wirkungsweise
Die zeitliche Veränderung der Überschuss- Lumineszenz- oder Leuchtdioden (LED, Light
dichte n wird durch folgende Differenzialglei- Emitting Diode) bestehen üblicherweise aus
chung beschrieben (Abschn. 1.8.6.1): einem pn-Übergang (Abschn. 1.8.7). Abbil-
dung 6.7 zeigt die Bandstruktur eines pn-
d.n/
D g  r: (6.13) Übergangs, der in Flussrichtung betrieben wird.
dt Bei der Flussspannung UF wird die Diffusions-
Hierbei ist g die Generationsrate und r die Re- spannung so weit abgebaut, dass die Elektronen
kombinationsrate der Ladungsträger. Bei schwa- des n-Gebiets über die kleine Barriere leicht ins
cher Anregung kann angenommen werden, dass p-Gebiet diffundieren können; umgekehrt flie-
die Rekombinationsrate proportional ist zur ßen Löcher aus dem p- ins n-Gebiet. Durch
Überschussdichte: r n. Wird die von außen diese Injektion der Ladungsträger über den pn-
aufgezwungene Generation von Ladungsträgern Übergang hinweg wird auf jeder Seite die Mi-
abgestellt (g D 0), dann sorgt die Rekombination noritätsträgerdichte stark erhöht, was zu einer
der Ladungsträger dafür, dass sich nach einiger kräftigen Zunahme der Rekombinationsprozes-
Zeit wieder die Gleichgewichtsdichten n0 und p0 se führt. Sind die Rekombinationen vorwiegend
314 R. Martin

Tab. 6.3 Kennwerte verschiedener Leuchtdioden. Die Werte für den Quantenwirkungsgrad und die Lichtausbeute sind
Maximalwerte
Material Farbe Wellenlänge Flussspannung ext. Quantenwirkungsgrad Lichtausbeute
=nm UF =V ext =% l =(lm=W)
GaAs:Si IR 930 1,3 30 –
GaAs0;6 P0;4 , AlGaInP Rot 650 1,8 50 35
AlGaInP Gelb 590 2,2 25 130
GaP:N, AlGaInN Grün 570 2,4 15 100
InGaN, AlGaInN Blau 470 3,5 40 25
InGaNCYAG:Ce Weiß – 3,5 – 110

strahlend, dann entsteht Lumineszenzstrahlung in


der Nähe des pn-Übergangs, wobei die Photo-
nenenergie nach Gl. 6.12 etwa der Energie des
Bandgaps entspricht.

6.3.2.2 Kennwerte
Tabelle 6.3 zeigt die Zusammensetzung sowie
einige Eigenschaften gängiger LEDs. Der exter-
ne Quantenwirkungsgrad ext gibt an, welcher
Bruchteil der Rekombinationsprozesse in der Di-
ode zu Photonen führt, die außerhalb der Di- Abb. 6.8 Strom-Spannungs-Kennlinien verschiedener
ode nachweisbar sind. Der Photonenstrom kann Leuchtdioden
aus der Strahlungsleistung ˚e bestimmt werden:
NP ph D ˚e =Eph . Die gesamte
Rekombinationsrate R lässt sich aufgrund der Beispiel 6.3
Tatsache berechnen, dass jedes Elektron, das vom Eine blaue LED emittiert bei D 470 nm. Die
äußeren Stromkreis in die n-Seite der Diode Strahlungsleistung beträgt ˚e D 20 mW bei
fließt, sich dort also im Leitungsband befindet, ir- U F D 3;5 V Flussspannung und IF D 20 mA
gendwann einmal rekombinieren muss, denn auf Flussstrom. Wie groß sind die externe Quan-
der p-Seite befinden sich praktisch keine Elektro- tenausbeute sowie die Lichtausbeute?
nen im Leitungsband. Damit gilt mit dem Fluss-
Lösung
strom IF und der Elementarladung e: R D IF =e
Die Photonenenergie beträgt nach Gl. 6.2
und schließlich
Eph D 2;64 eV. Damit wird nach Gl. 6.15
ext D 38 %. Der Lichtstrom ist nach Gl. 6.9
NP ph ˚e e ˚v D 1;24 lm (der Hellempfindlichkeitsgrad
ext D D : (6.15) beträgt V .470 nm/ D 9;098 102 /. Somit
R Eph IF
beträgt nach Gl. 6.16 die Lichtausbeute l D
17;8 lm=W.
Unter Lichtausbeute wird das Verhältnis von
ausgesandtem Lichtstrom ˚v und aufgewandter 6.3.2.3 Kennlinien
elektrischer Leistung P D UF IF verstanden: Die Strom-Spannungs-Kennlinien von LEDs zei-
gen das übliche Diodenverhalten (Abb. 6.8 so-
wie 1.83). Die Knickspannungen hängen von der
˚v Farbe ab. Sie sind in Tab. 6.3 zusammengestellt.
l D : (6.16)
UF IF Die I =U -Kennlinien zeigen eine große Steilheit,
6 Optoelektronik 315

Abb. 6.9 Strahlungsleistung von Lumineszenzdioden in Abhängigkeit vom Flussstrom, a IRED, D 950 nm, stati-
sche Kennlinie, b Prinzip der Modulation

d. h. einen kleinen differenziellen Widerstand. 6.3.2.4 Optische Eigenschaften


Aus diesem Grund sind LEDs auch gut als Refe- Die Spektren einiger Lumineszenzdioden sind
renzspannungsdioden für Spannungen zwischen in Abb. 6.10 gezeigt. Die spektrale Breite
1,5 V und 2,3 V geeignet. Abbildung 6.8 zeigt die (auf halber Höhe gemessen) ist durchschnittlich
Kennlinien für rote und grüne LEDs. Der diffe-   40 nm. Sie ist bei Band-Band-Über-
renzielle Widerstand von etwa 20  (bei IF D gängen (Abb. 6.6) im Wesentlichen durch die
5 mA) ist geringer als der von Z-Dioden der- mittlere thermische Energie (3=2)kT (k ist die
selben Spannung. Der Temperaturkoeffizient der Boltzmann-Konstante, T die thermodynamische
Durchlassspannung ist dUF =dT  1mV=K. Temperatur) der Elektronen und Löcher gegeben.
Die maximal zulässige Sperrspannung einer LED Unter der Annahme, dass die Linienbreite durch
beträgt etwa 5 V. E  3kT bestimmt ist, ergibt sich für  fol-
Typische Kennlinien der Strahlungsleistung gende Näherung:
˚e bzw. des Lichtstroms ˚v in Abhängigkeit vom
2
Flussstrom IF zeigt Abb. 6.9. Durch Umkehrung    3 kT: (6.17)
1;24 m  eV
von Gl. 6.15 folgt
Während in der Frühzeit der LEDs die Licht-
ausbeute sehr gering war, so dass nicht an einen
˚e D ext .Eph =e/  IF :
Einsatz als Beleuchtungsmittel zu denken war,
hat sich das mit der Entwicklung hocheffizienter
Das bedeutet, dass bei konstantem Quanten- blauer LEDs geändert (s. Tab. 6.3). Weißlicht-
wirkungsgrad die Strahlungsleistung proportio- LEDs bestehen meist aus blau emittierenden
nal zum Flussstrom ist. Die meisten LEDs und InGaN-LEDs, deren kurzwelliges Licht bei ca.
IREDs (Infra Red Emitting Diode) zeigen für 470 nm durch Beschichtung mit Leuchtstoffen
kleine Ströme (IF < 30 mA) näherungsweise die- (z. B. Cer-dotiertes Yttrium-Aluminium-Granat,
sen linearen Zusammenhang. Für größere Ströme YAG:Ce) bis ins Rote transformiert wird. Infol-
wird ein schwächerer Anstieg und schließlich bei ge des hohen Blauanteils ist die Farbwiedergabe
verschiedenen Typen sogar ein Abfall der Aus- für dieses kaltweiße Licht etwas schlechter als bei
gangsleistung beobachtet. Der lineare Anstieg der Glühlicht, dessen spektrale Verteilung für T D
Strahlungsleistung mit dem Strom erlaubt eine 2500 K zum Vergleich in Abb. 6.10 auch darge-
direkte Modulation der Strahlungsleitung durch stellt ist. Die effizienteste Methode um warmwei-
Modulation des Stromes (s. Modulationsverhal- ßes Licht zu erzeugen, ist die Kombination einer
ten). kaltweißen LED mit einer roten. Dabei wird ei-
316 R. Martin

Abb. 6.10 Spektren


weiß grün gelb rot
verschiedener Lumines- 100 Glühlicht
zenzdioden sowie eines

normierte Intensität
schwarzen Strahlers bei 80
2500 K
60

40

20

0
380 480 580 680 780
Wellenlänge λ /nm

Tab. 6.4 Lichtausbeute verschiedener Leuchtmittel


Leuchtmittel Lichtausbeute l (lm=W)
Glühlampe 10 bis 15
Halogenlampe 15 bis 20
Energiesparlampe 40 bis 70
Leuchtstoffröhre 50 bis 100
LED 110

ne Lichtausbeute von etwa 110 lm=W erreicht.


Allerdings hat eine Lampe mit LEDs zusätzli-
che Verluste durch elektronische Komponenten Abb. 6.11 Abstrahlcharakteristik Iv ."1 / zweier LEDs mit
den Öffnungswinkeln a ' D 60ı , b ' D 12ı
(Spannungstransformation, Gleichrichtung) und
etwaige Optiken, so dass sich letztlich eine Licht-
ausbeute von 50 lm=W bis 70 lm=W ergibt, mit
also beispielsweise in ein Display eingesetzt wer-
einem Potenzial von über 130 lm=W. Tabelle 6.4
den. Der Abstrahl- oder Öffnungswinkel ' ist der
zeigt einen Vergleich der Lichtausbeute verschie-
Winkel, bei dem die Lichtstärke auf die Hälfte
dener Leuchtmittel.
des Maximalwertes abgenommen hat. Die LED
Die Strahlstärke Ie bzw. Lichtstärke Iv in Ab-
von Messkurve b) hat ein glasklares, nicht einge-
hängigkeit vom Emissionswinkel "1 wird ganz
färbtes Gehäuse und emittiert in einer schlanken
wesentlich durch die Form der LED bestimmt.
Keule mit Abstrahlwinkel ' D 12ı . Sie kann
Je nach Ausführung des Vergusskörpers ergeben
bevorzugt für Lichtschranken und ähnliches ein-
sich verschiedene Abstrahlcharakteristiken. Ab-
gesetzt werden. Bei der Auswahl einer LED sollte
bildung 6.11 zeigt in einem Polarkoordinatendia-
die Angabe der Lichtstärke stets zusammen mit
gramm den Verlauf der Lichtstärke als Funktion
dem Abstrahlwinkel betrachtet werden. So hat
des Winkels "1 , der relativ zur Flächennormalen
die LED a) in Abb. 6.11 eine maximale Licht-
gemessen wird (Abb. 6.4). Die LED der Mess-
stärke von Iv .0/ D 5 mcd, die LED b) dagegen
kurve a) besitzt ein eingefärbtes diffus streuendes
Iv .0/ D 40 mcd.
Kunststoffgehäuse und erfüllt beinahe ideal die
Charakteristik eines Lambert-Strahlers
6.3.2.5 Temperaturverhalten
Iv ."1 / D Iv .0/ cos "1 : (6.18) Abbildung 6.12 zeigt drei Spektren einer roten
LED, die bei verschiedenen Temperaturen aufge-
ı
Mit einem Abstrahlwinkel von ' D 60 ist sie nommen wurden. Generell zeigen alle LEDs die
gut geeignet zur Betrachtung von der Seite, kann Tendenz, dass sich die Spektren mit steigender
6 Optoelektronik 317

Abb. 6.13 Modulationsübertragungsfunktion einer LED


in Abhängigkeit von der Modulationsfrequenz bei einer
Minoritäts- Lebensdauer von  D 2 ns

wa 150 ı C geht die LED kaputt. Der Lichtstrom


nimmt ab mit steigender Temperatur mit einer
Rate von typischerweise 0;2 %=K.

6.3.2.6 Modulationsverhalten
Die Strahlungsleistung einer LED ist nach
Abb. 6.9 in erster Näherung proportional zum
Abb. 6.12 Spektren einer roten LED bei verschiedenen
Temperaturen, Flussstrom IF D 5 mA Strom IF . Wird der Strom moduliert, dann wird
auch die Strahlungsleistung eine Modulation auf-
weisen. Eine sinusförmige Modulation des Stro-
Temperatur zu höherer Wellenlänge verschieben, mes mit der Kreisfrequenz ! gemäß
wobei die spektrale Breite zu- und die Intensität
abnimmt. iF D I0 C I1 ej!t
Die Verschiebung der Wellenlänge mit der
Temperatur kommt daher, dass das Energiegap bewirkt eine ebenfalls sinusförmige Modulation
Eg der Halbleiter mit steigender Temperatur ab- der Strahlungsleistung mit derselben Frequenz:
nimmt. Da nun die Photonenenergie ungefähr mit
der Breite des Energiegaps übereinstimmt, muss ˚e D ˚0 C ˚1 ej (!t /
die Lichtwellenlänge mit steigender Tempera-
tur zunehmen. Die Zunahme beträgt ungefähr Eine genaue Analyse zeigt, dass die Amplitude
0,2 nm=K. ˚1 .!/ des Wechsellichtsignals mit zunehmender
Die Abnahme der Strahlungsleistung mit stei- Anregungsfrequenz abnimmt gemäß
gender Temperatur wird durch eine Zunahme der
nicht strahlenden Rekombinationsprozesse im ˚1 .!/ 1
H.!/ D Dp : (6.19)
Halbleiter verursacht. Dieselben Effekte wie bei ˚1 .! D 0/ 1 C .! /2
der Temperaturerhöhung treten auch auf, wenn
der Strom ansteigt. Die Ursache ist natürlich im Dabei ist  die in Gl. 6.14 definierte Lebensdau-
Grunde die gleiche, nämlich eine Erwärmung er der angeregten Ladungsträger. Die Modulati-
des Bauteils. Für freistehende LEDs im Kunst- onsübertragungsfunktion H.!/ ist in Abb. 6.13
stoffgehäuse beträgt die Temperaturerhöhung mit dargestellt.
steigendem Strom etwa 1 K=mA. Mit steigen- Die optische 3-dB-Grenzfrequenz ist erreicht,
der Temperatur sinkt die Lebensdauer von LEDs wenn die Amplitude ˚1 .f / der Strahlungsleis-
(s. Alterung). Bei einer Kristalltemperatur von et- tung auf die Hälfte des Wertes ˚1 (0) bei quasi
318 R. Martin

Abb. 6.14 Verbesserung


der Lichtauskopplung
mithilfe eines Epoxy-
Vergusskörpers

statischer Modulation zurückgeht. Aus Gl. 6.19 ausgekoppelt werden. Während Mitte der 1990er
folgt mit H D 0;5 für diese Grenzfrequenz Jahre aus einem Chip ein Lichtstrom von etwa
p 0,5 lm gewonnen werden konnte, sind mit Struk-
3 1 0;276 turen gemäß Abb. 6.16 bei einer Kristallfläche
f3 dB;opt D  D : (6.20)
2    von etwa 1 mm2 Lichtströme von über 500 lm
Für die LED von Abb. 6.13 ergibt sich somit möglich. Damit wird auch die in Tab. 6.3 angege-
f3 dB;opt D 138 MHz. Schnelle Dioden können bis bene Lichtausbeute von über 100 lm=W erreicht.
weit über 100 MHz moduliert werden. Wie der Vergleich mit anderen Lichtquellen
Die elektrische 3-dB-Grenzfrequenz ist da- in Tab. 6.4 zeigt, sind LEDs seit der Entwick-
durch definiert, dass die Modulationsantwort lung hocheffizienter blauer LEDs, deren Licht
p
auf H D 2=2 zurückgeht. Für sie gilt mithilfe von Leuchtstoffen ins Rote konvertiert
wird, für Beleuchtungszwecke geeignet. Wenn
1 0;159
f3dB;el D D : verschieden farbige LEDs kombiniert werden,
2   lassen sich nahezu beliebige Beleuchtungssitua-
6.3.2.7 Bauformen und Anwendungen tionen realisieren (z. B. für Bühnenbeleuchtun-
Ein großes optisches Problem ist Auskopplung gen). Beim Kraftfahrzeug wurden zunächst rote
des Lumineszenzlichts aus dem Halbleiterkris- LEDs für die Brems- und Rücklichter verwendet.
tall. Infolge des hohen Brechungsindex (n  3;4) In zunehmendem Maße werden auch Frontlichter
kommt es beim Übergang Halbleiter=Luft schon aus LED-Lampen realisiert. Auch zur Straßenbe-
beim Grenzwinkel "gr D 17ı zur Totalreflexi- leuchtung und für Verkehrsampeln werden ver-
on (Abb. 6.14). Das bedeutet, dass ein Großteil mehrt LEDs eingesetzt.
der erzeugten Photonen im Kristall verbleibt. Die Ein wichtiger Einsatzbereich der LEDs ist die
Situation wird erheblich verbessert, wenn der Datenübertragung, die entweder über Lichtwel-
Kristall in Kunststoff (n  1;5) eingebettet wird, lenleiter (Abschn. 6.7) erfolgt oder „drahtlos“ bei
weil dadurch der Grenzwinkel der Totalreflexion Infrarotfernsteuerungen sowie in Optokopplern
auf "gr D 26ı ansteigt. Je nach Form des Ver- und Lichtschranken.
gusskörpers lässt sich die Abstrahlcharakteristik Für Displays (Abschn. 6.4) werden Sieben-
verändern (Abb. 6.11). Verschiedene Möglichkei- Segment-Anzeigen in unterschiedlicher Ziffern-
ten des Aufbaus der klassischen Anzeige- LED größe angeboten. Mit LED-Bandanzeigen kön-
sind in Abb. 6.15 dargestellt. nen analoge Anzeigen von Messwerten realisiert
Wesentlich aufwendiger wird der Chip bei werden, wobei die Länge des leuchtenden Bandes
Hochleistungs-LEDs (high-brightness LED) ge- proportional zur Messgröße ist.
staltet. Mit den in Abb. 6.16 gezeigten Geome- Eine spezielle Anwendung ist die Belich-
trien können ca. 75 % der erzeugten Photonen tung der Druckertrommel von Fotokopiergeräten.
6 Optoelektronik 319

Abb. 6.15 Verschiedene Vergussformen von LEDs: ristik), d Reflektorwanne mit diffus streuenden Partikeln
a Chip in Kunststoffgehäuse vergossen, b rasterelek- im Kunststoff (LED strahlt breit ab, für Displays geeig-
tronenmikroskopische Aufnahme, c Reflektorwanne mit net)
glasklarem Kunststoff (LED erhält starke Richtcharakte-

Abb. 6.16 Lichtauskopp- a b


lung bei Hochleistungs-
LEDs: a invertierter Pyra-
midenstumpf, b aufgeraute
Oberfläche und rückwärti-
ger Metallspiegel

Hierzu werden zeilenförmige LED-Arrays herge- geklärt. Eine große Rolle spielen sicherlich Ver-
stellt mit mehreren tausend LEDs. Beispielsweise unreinigungen des Kristalls mit Lumineszenz-
sind bei einem 600-dpi-Drucker die LED- killerzentren (z. B. Kupfer), Kristallbaufehler in-
Lichtpunkte in einem Rasterabstand von 42 m folge mechanische Spannungen und thermischer
über die gesamte Papierbreite angeordnet. Einflüsse. An diesen Fehlstellen, deren Zahl im
Immer größere Bedeutung erhält die Hinter- Laufe der Zeit anwächst, findet die Rekombinati-
grundbeleuchtung von LCD-Bildschirmen. Ge- on vorwiegend nicht strahlend statt.
genüber der klassischen Beleuchtung mit Kalt- Die Lebensdauer einer LED ist nicht ganz
kathodenlampen kann man mit LEDs Geräte mit einheitlich definiert. Gebräuchlich ist die L50-
geringerer Bautiefe herstellen. Sie zeigen eine bzw. L70-Lebensdauer, die angibt, nach wel-
bessere Langzeitstabilität der Lichtintensität und cher Zeit der Lichtstrom auf 50 % bzw. 70 %
-farbe bei niedrigerer Leistungsaufnahme und des Neuwertes abgefallen ist. Ein solcher Hel-
längerer Lebensdauer. Umgangssprachlich wer- ligkeitsunterschied wird vom menschlichen Auge
den solche Fernseher auch als LED-Fernseher kaum wahrgenommen. Das bedeutet also, dass
bezeichnet, was den falschen Eindruck erweckt, eine LED nach Ablauf der so definierten Le-
das Bild würde durch rote, grüne und blaue LED- bensdauer nicht funktionsunfähig ist wie eine
Punkte erzeugt. Glühlampe (abgesehen von Totalausfällen, die
natürlich auch vorkommen), sondern noch we-
6.3.2.8 Alterung sentlich länger betrieben werden kann. Anzeige-
Beim Betrieb von LEDs nimmt der Quantenwir- LEDs geringer Leistung haben Lebensdauern
kungsgrad und damit die Strahlungsleistung im von 105 h (das sind ca. 12 Jahre Dauerbetrieb)
Laufe der Zeit langsam ab. Die Mechanismen, die bis 106 h. Hochleistungs-LEDs erreichen Lebens-
zu dieser Degradation führen, sind nicht restlos dauern von 25.000 h bis 50.000 h.
320 R. Martin

Es zeigt sich, dass die Degradation wesentlich


rascher voranschreitet, wenn die Betriebstempe-
ratur der LED erhöht wird. Empirisch wurde für
die Temperaturabhängigkeit der Lebensdauer 
eine Arrhenius-Beziehung gefunden:

 eEa =.kT / ; (6.21)

dabei ist Ea eine Aktivierungsenergie. Alterungs-


experimente werden deshalb meist bei erhöhten
Temperaturen durchgeführt und die Ergebnisse
dann mithilfe von Gl. 6.21 auf Raumtemperatur
extrapoliert. Für eine lange Lebensdauer sollten
also die Sperrschichttemperatur und der Strom
möglichst niedrig gehalten werden. Sind große Abb. 6.17 Betrieb einer LED mit Vorwiderstand: Ar-
Strahlungsleistungen und damit große Ströme er- beitspunkteinstellung bei einer weißen LED (LUXEON
forderlich, dann kann im Pulsbetrieb gearbeitet Rebel) mit Us D 4;5 V, Rv D 2;7 . Im Schaltplan ist das
werden. genormte Schaltzeichen einer LED nach DIN EN 60617-5
dargestellt

6.3.2.9 Ansteuerschaltungen
Wie bei jeder Diode hängt auch bei einer LED Beispiel 6.4
der Strom in Flussrichtung exponentiell von der Welcher Vorwiderstand ist zu wählen, um
Spannung ab. Deshalb sollte eine LED nicht die in Abb. 6.17 dargestellte LED mit einem
einfach an eine Spannungsquelle angeschlossen Strom von IF  500 mA zu versorgen, wenn
werden, weil kleinste Spannungsschwankungen die Spannungsquelle die Spannung von Us D
große Schwankungen des Stroms und damit der 4;5 V aufweist (drei volle Alkali-Mangan-
Strahlungsleistung zur Folge haben. Wird der Zellen)? Welche Leistung wird jeweils in der
Strom größer als der zulässige, kann dies sogar LED und im Vorwiderstand umgesetzt?
zur Zerstörung der LED führen. Betreibt man
beispielsweise eine weiße Taschenlampen-LED Lösung
mit zwei NiMH-Batterien (Bemessungsspannung Aus der Kennlinie kann abgelesen werden,
1,2 V), so wird sie gemäß Kennlinie in Abb. 6.17 dass bei einer Flussspannung von UF D 3;1 V
nicht leuchten. Nimmt man drei Batterien, so der Strom IF D 500 mA beträgt. Mithilfe
führt dies bei gutem Ladezustand zur Zerstörung von Gl. 6.22 ergibt sich damit ein benötig-
der LED. ter Vorwiderstand von Rv D 2;8 . Mit dem
Aus diesem Grund muss der Strom durch ei- gewählten Widerstand Rv D 2;7  (E12-
ne LED möglichst konstant gehalten werden. Die Reihe, Toleranz ˙10 %) beträgt der Strom
einfachste Möglichkeit der Stromeinprägung ge- durch die LED IF D 515 mA. Gestrichelt
schieht dadurch, dass nach Abb. 6.17 die LED mit sind in Abb. 6.17 auch die Widerstandsgera-
einem Vorwiderstand Rv in Reihe an eine Span- den für den größten und kleinsten Widerstand
nungsquelle geschaltet wird. Der Arbeitspunkt (Rv D .2;7 ˙ 0;27/ ) eingezeichnet. Deren
ergibt sich als Schnittpunkt (s. auch Abschn. 1.4) Schnittpunkte mit der LED-Kennlinie liefern
der LED-Kennlinie und der Widerstandsgeraden, die Ströme IF;min D 477 mA und IF;max D
die beschrieben wird durch 562 mA.
Die Verlustleistung im Vorwiderstand be-
Us  UF trägt Pv D IF2 Rv D 716 mW; die Leistung
I D : (6.22)
Rv der LED ist PLED D IF UF D IF Us  Pv D
6 Optoelektronik 321

Abb. 6.19 Ansteuerung von LEDs durch TTL-Bausteine:


a Open-collector-Ausgang, LED leuchtet, wenn am Ein-
gang ein H-Pegel liegt, b Totem-pole-Ausgang, LED
leuchtet, wenn am Eingang ein L-Pegel liegt
Abb. 6.18 Betrieb einer LED mit Konstantstromquelle:
a Zwei Transistoren mit R1 D 22 k und R2 D 22 ,
b Transistor mit Referenzdiode. Mit UREF D 1;5 V (rote
LED) und UBE D 0;7 V wird IF D 20 mA bei R2 D 40  UBE /=R2 . Die Wahl des Widerstands R1 ist rela-
tiv unkritisch (z. B. R1 D 5;6 k). Er darf nicht
zu hochohmig sein, weil sonst die Referenzdiode
1;60 W; der Batterie wird die Leistung Ps D nicht genügend Spannung erhält. Die Schaltung
IF Us D 2;32 W entnommen. kann auch wieder mit mehreren LEDs in Reihe
bestückt werden. Sie verhält sich äußerst sta-
Eine aktive Stromeinprägung wird mit einer bil, auch in Bezug auf Temperaturschwankungen,
Konstantstromquelle erzielt. Verschiedene Her- und zwar deshalb, weil die Temperaturdrift der
steller bieten dafür ICs an. Eine Schaltung kann Referenzspannung etwa dieselbe ist, wie diejeni-
aber auch sehr einfach mit diskreten Bauteilen ge der Basis-Emitter-Spannung des Transistors.
aufgebaut werden. Zwei Möglichkeiten sind in Leuchtdioden können in Digitalsystemen di-
Abb. 6.18 skizziert. Teilbild a) zeigt eine Strom- rekt durch logische Gatter angesteuert werden;
begrenzerschaltung, die darauf beruht, dass bei Abb. 6.19 zeigt zwei Beispiele. Die Strombegren-
steigendem Strom durch die Leuchtdiode der zung erfolgt entweder durch gatterinterne Wider-
Spannungsabfall über dem Widerstand R2 an- stände oder durch einen externen Vorwiderstand.
steigt. Dadurch wird der Transistor Tl leitend, Die Gatterausgänge besitzen wegen der relativ
was den Basisstrom von T2 verringert und da- hohen Belastung durch die LED keine definierten
mit auch den Kollektorstrom durch T2 . Mit den Spannungspegel mehr. Zur Steuerung der Hellig-
angegebenen Widerständen ist der Strom durch keit gibt es Gatter mit einem zweiten Eingang,
die LED IF  21 mA bei einer Versorgungs- an den eine rechteckförmige Wechselspannung
spannung von U D 9 V. Dieser Strom steigt gelegt wird, deren Tastverhältnis den mittleren
nur um etwa 10 % an, wenn die Versorgungs- Diodenstrom bestimmt.
spannung auf 20 V vergrößert wird. Anstelle der Zur optischen Datenübertragung muss die
einen LED können auch zwei oder mehr einge- Strahlung einer Lumineszenzdiode moduliert
setzt werden, je nach Höhe der Versorgungsspan- werden. Es ist sowohl Sinus- als auch Pulsmo-
nung. dulation möglich. Bei der sinusförmigen Modu-
Eine andere Lösung mit nur einem Transistor lation muss ein Vorstrom IF unterlegt werden.
und einer Referenzspannungsdiode zeigt Teilbild Dieser Gleichstrom wird durch eine Wechsel-
b). Der Strom durch die LED wird durch die Wahl spannung moduliert (Abb. 6.9b). Im Beispiel von
des Widerstands R2 bestimmt: IF D .UREF  Abb. 6.20a wird die Modulationsspannung an
322 R. Martin

a b
U = +10 V U = +10 V

2,2 kΩ 50 k Ω
LED VC OPV
Modulations-
eingang +
T -
1 μF
50 k Ω LED
RE =
2,2 kΩ
220 Ω 330 Ω

Abb. 6.20 Ansteuerschaltungen für Analog-Modulation: a einfache Transistorschaltung, b Schaltung mit einem
Transkonduktanz-Operationsverstärker

6.3.3 Halbleiterlaser

6.3.3.1 Laserprinzip
Die Photonen, die von einer Lichtquelle ausge-
sandt werden, entstehen dadurch, dass Elektronen
von einem höheren in ein tieferes Energieni-
veau übergehen. Diese Übergänge erfolgen meist
spontan und völlig unkorreliert. Die Lichtwelle,
die hierbei entsteht, wird durch viele kurze Wel-
lenzüge gebildet, die untereinander keine festen
Phasenbeziehungen aufweisen. Strahlung dieser
Abb. 6.21 Einfache Schaltung zur Pulsmodulation
Art wird als nicht kohärent bezeichnet.
Einstein postulierte 1917, dass außer den
spontanen auch stimulierte Übergänge der Elek-
tronen vorkommen sollten. Dabei wird ein Elek-
der Basis des Transistors T eingespeist, der den tron in einem angeregten Energiezustand durch
Ruhestrom liefert. Der Ruhestrom beträgt IF D ein Photon zu einem Übergang in einen tiefer lie-
.U=2  UBE /=RE  20 mA. In der Schaltung genden Zustand stimuliert. Voraussetzung dafür
von Abb. 6.20b wird ein Transkonduktanzver- ist, dass die Energie des ankommenden Photons
stärker (Voltage-to-Current Converter, VC OPV, mit der Energiedifferenz zwischen den beiden Ni-
Spannungs-Strom-Umsetzer) eingesetzt. Dieser veaus übereinstimmt. Das primäre Photon wird
wandelt die Differenzspannung an den beiden durch das beim Übergang erzeugte Photon ver-
Eingängen in einen proportionalen Ausgangs- stärkt. Im Wellenbild bedeutet dies, dass die
strom um. Er besitzt einen hohen Ausgangswi- beiden Teilwellen phasengerecht aneinanderkop-
derstand, verhält sich also wie eine Stromquelle. peln. Sind sehr viele Elektronen im hohen Ener-
Eine einfache Schaltung für Pulsmodulation gieniveau, dann können sie sukzessiv zu Über-
zeigt Abb. 6.21. Solang während des Pulses 0 V gängen stimuliert werden, so dass die primäre
am Eingang liegt, sperrt der Transistor und über Welle enorm verstärkt wird und ein langer ko-
die LED fließt der Strom IF D .U  UF /=Rv  härenter Wellenzug entsteht. Diese Lichtverstär-
20 mA. Während der Pause liegen 5 V am Ein- kung durch stimulierte Emission von Strahlung
gang, der Transistor wird leitend und schließt die ist auch die Bedeutung des Akronyms LASER
LED kurz, so dass der Strom über den Transistor (Light Amplification by Stimulated Emission of
abfließt. Radiation).
6 Optoelektronik 323

a b
E E
n hf 2 hf

Elektronen
Löcher
p Ln+Lp

x x

Abb. 6.22 Bandstruktur einer Laserdiode, a spannungslos, b Betrieb in Flussrichtung, das stimulierende Photon der
Energie hf wird verstärkt, Ln C Lp ist die Breite der aktiven Zone

Ein Photon, dessen Energie mit der Differenz nung in Flussrichtung angelegt, stellt sich im
zweier Energiezustände übereinstimmt, kann nun Übergangsgebiet die in Abb. 6.22b dargestellte
nicht nur Übergänge der Elektronen vom hö- Situation ein, bei der Elektronen mit hoher Kon-
heren zum tieferen Niveau stimulieren, sondern zentration energetisch über Löchern mit ebenfalls
auch Elektronen aus dem tiefen in den höheren hoher Konzentration zu liegen kommen. Es liegt
Zustand heben. Dieser Prozess der Absorption damit eine perfekte Besetzungsinversion vor, die
wird in Abschn. 6.5.1 genauer betrachtet. Da erste Laserbedingung.
normalerweise die meisten Elektronen die tiefen Die zweite Laserbedingung, die optische
Energiezustände besetzen, ist die Absorption derRückkopplung in einem Resonator, wird beim
dominierende Vorgang, während die stimulierte Fabry-Pérot-Laser durch die spiegelnden Endflä-
Emission vernachlässigbar ist. Um eine kräftige chen des Kristalls realisiert (Abb. 6.23a). Infolge
stimulierte Emission zu erhalten, müssen mehr der großen Brechzahl der Halbleiter ist die Re-
Elektronen im angeregten Energieniveau sein, alsflexion so groß (ca. 30 %), dass keine externen
Spiegel erforderlich sind. Ein Teil der zwischen
im tiefer liegenden. Dieser als Besetzungsinversi-
on bezeichnete Zustand muss künstlich herbeige- den Endflächen hin- und herlaufenden Welle wird
führt werden und wird als erste Laserbedingung dabei ständig an den Endflächen aus gekoppelt.
bezeichnet. Mit zunehmendem Strom steigt nach
Abb. 6.24 die Ausgangsleistung zunächst wie bei
Ein Laser funktioniert praktisch nur, wenn die
Lichtwelle das aktive Gebiet (der Bereich, in einer LED an. In diesem Bereich der spontanen
dem die Besetzungsinversion vorliegt) mehrmals Emission ist die Strahlungsleistung verhältnismä-
durchläuft. Zu diesem Zweck wird das Laser- ßig niedrig. Wenn mit steigender Spannung und
material in einen optischen Resonator gebracht, steigendem Strom der optische Gewinn (gain) die
der beispielsweise beim Fabry-Pérot-Laser durch Verluste überwiegt, setzt bei einem bestimmten
zwei Spiegel gebildet wird, zwischen denen das Schwellenstrom Ith (threshold) der Laserbetrieb
ein. Im Bereich der stimulierten Emission nimmt
Licht hin- und herläuft. Diese optische Rückkopp-
lung ist die zweite Laserbedingung. die Strahlungsleistung mit dem Strom stark zu.
Der in Gl. 6.15 definierte externe Quantenwir-
6.3.3.2 Laserdiode (Injektionslaser) kungsgrad wird für den steil ansteigenden Teil
Die Laserdiode ist ein hoch dotierter pn- der Laserkennlinie differenziell formuliert:
Übergang. Bei hoher Störstellendichte ver-
schmelzen die Donatorniveaus mit dem Leitungs- e d˚e
ext D  : (6.23)
band des n-Materials, so dass stets eine hohe Eph dIF
Elektronendichte im Leitungsband vorliegt. Ent-
sprechend sind viele freie Löcher im Valenzband Aus der Kennlinie für 25 ı C in Abb. 6.24b
des p-Materials (Abb. 6.22a). Wird eine Span- ergibt sich ein differenzieller externer Quanten-
324 R. Martin

a b

p-GaAs
p-Ga1- x Alx As n-Ga1- x Alx As

p-Gebiet
aktive Zone
E
n-Gebiet -

n ph

Abb. 6.23 Übergang von der Homostruktur zur Doppel- GaAs=GaAlAs (  850 nm), von oben nach unten:
heterostruktur. a Aufbau einer einfachen Homostruktur- (1) Aufbau, (2) Bänderschema, (3) Verlauf des Bre-
Fabry-Pérot-Laserdiode. Länge 200 m bis 500 m, Brei- chungsindex, (4) Verlauf der Photonendichte
te 100 m bis 250 m, b Doppelheterostruktur aus

Abb. 6.24 Kennlinie der Strahlungsleistung eines Halbleiterlasers in Abhängigkeit vom Strom: a prinzipieller Verlauf,
b Messkurven für einen InGaAsP-Laser der Wellenlänge D 1;3 m

wirkungsgrad von ext D 32 % pro Spiegelend- macht und emittieren im nahen IR bzw. im roten
fläche. Spektralbereich. Sie sind weit verbreitet und wer-
Die Wellenlänge der Laserstrahlung hängt wie den vom industriellen Bereich bis zur Unterhal-
bei der LED von der Größe des Bandgaps Eg ab. tungselektronik (CD-Player) eingesetzt. Mit den
Tabelle 6.5 zeigt eine Zusammenstellung häufig quaternären Mischkristallen lässt sich der für die
verwendeter Lasermaterialien. Die ersten Halb- optische Nachrichtentechnik wichtige Spektral-
leiterlaser wurden aus GaAs bzw. GaAlAs ge- bereich von 1,3 m bis 1,6 m erfassen, in dem
6 Optoelektronik 325

Tab. 6.5 Materialien für Halbleiterlaser


Material Wellenlängen in nm Anwendungen
Ternäre Mischkristalle
InGaN 405 bis 500 BluRay-Player, HD-DVD
GaAlAs 690 bis 950 Optische Datenspeicher, CD-Player, optische Nachrichtentechnik,
Materialbearbeitung, Scanner, PC-Maus
GaSbAs 1877 bis 3330 Umweltmesstechnik, Gas-Sensoren
Quaternäre Mischkristalle
AlGaInP 635 bis 760 Laser Pointer, Gas-Sensoren
InGaAsP 920 bis 1654 Optische Nachrichtentechnik, Gas-Sensoren
PbSnSe 4000 bis 40.000 Umweltmesstechnik, Absorptionsmessungen im mittleren IR

a b
p-Kontakt
Oxid
p-Kontakt
p-GaAs
p-DBR
p-Ga1-x Al x As
Oxidring
p-GaAs (aktiv) GaAlAs (aktiv)
n-Ga1- y Aly As n-DBR
n-GaAs
Substrat n-Substrat
n-Kontakt n-Kontakt

Abb. 6.25 Kanten- und Oberflächenemitter: a Streifenlaser, b VCSEL

die Lichtwellenleiter die besten Übertragungsei- eingesetzt werden, bestehen aus InGaAsP, umge-
genschaften zeigen. ben von InP.
Sehr geringe Ansteuerströme ergeben sich,
6.3.3.3 Laserstrukturen wenn der Stromfluss auf einen schmalen Längs-
Eine Homostruktur- Laserdiode nach Abb. 6.23a streifen des Kristalls begrenzt wird (Abb. 6.25a).
hat sehr große Verluste und benötigt eine Schwel- Ein derartiger Streifenlaser emittiert die Laser-
lenstromdichte von etwa 105 A=cm2 . Um die Ver- strahlung typischerweise auf einer Breite von
luste zu reduzieren, wurden Hetero-Strukturen 5 m und einer Höhe von 0;5 m. Infolge der
entwickelt, bei denen die Weite der aktiven Zone kleinen Emissionsfläche sind Streifenlaser gut
auf Werte < 0;5 m eingeengt wird (carrier con- geeignet, um Strahlung in Lichtwellenleiter mit
finement). Dies wird dadurch realisiert, dass der kleinem Kerndurchmesser einzukoppeln.
eigentlich aktive Halbleiter beidseitig von Mate- Die bisher beschriebenen Laserstrukturen
rialien mit höherer Energielücke umgeben wird emittieren das Licht seitlich aus dem Kristall
(Abb. 6.23b). Infolge des höheren Brechungsin- (Kantenemitter). Seit Mitte der 1980er Jahre ist
dex der aktiven Schicht werden die Photonen wie man in der Lage, Oberflächenemitter herzustel-
in einem Lichtwellenleiter in der aktiven Zone len, sogen. VCSELs (Vertical-Cavity Surface-
geführt (photon confinement). Emitting Lasers, Abb. 6.25b). Da die Wahr-
Doppelheterostrukturen erreichen Schwellen- scheinlichkeit für eine stimulierte Emission sehr
stromdichten von < 500 A=cm2 , womit cw-Be- klein ist, wenn die Welle die dünne aktive Zone in
trieb (continuous wave) bei Raumtemperatur senkrechter Richtung durchläuft, muss die Refle-
möglich wird. Laser für längere Wellenlängen xion der Spiegel sehr hoch sein, damit die Welle
(1;3 m und 1;55 m), wie sie in der optischen die aktive Zone sehr häufig passiert. Es sind
Nachrichtenübertragung auf Lichtwellenleitern daher Reflexionsgrade von über 99,5 % erfor-
326 R. Martin

6.3.3.4 Optische Eigenschaften


Abbildung 6.26 zeigt das Emissionsspektrum ei-
nes InGaAsP-Fabry-Pérot-Lasers. Die Breite der
gestrichelten Einhüllenden ist etwa 10-mal klei-
ner als bei den LEDs (Abb. 6.10), nämlich  
4 nm. Das Spektrum besteht aus mehreren sehr
scharfen Linien (Breite < 1 pm), den longitudi-
nalen Schwingungsmoden des Lasers. Durch das
Hin- und Herlaufen der Wellen im Laserresona-
tor bauen sich stehende Wellen auf, bei denen die
Laserlänge L ein ganzes Vielfaches der halben
Abb. 6.26 Emissionsspektrum eines InGaAsP-Lasers Wellenlänge ist:
mit  1;3 m

nL D m oder
2 (6.24)
derlich, was mit Metallspiegeln nicht erreichbar 2 nL
m D .m D 1; 2; 3; : : :/ :
ist, aber mit DBR-Spiegeln (Distributed Bragg m
Reflection). DBR-Spiegel bestehen aus vielen
Schichten aus GaAs und AlAs mit einer Di- Dabei ist n der Brechungsindex des Kristalls. Aus
cke von =4. Wegen der unterschiedlichen Bre- Gl. 6.24 folgt für den Abstand benachbarter Mo-
chungsindizes der beiden Materialien kommt es den:
an jeder Grenzfläche zu einer Reflexion, wo-
2
bei alle reflektierten Wellen konstruktiv mitein- ı D  : (6.25)
ander interferieren. Derartige Spiegel haben 20 2L.n=  dn=d ) 2L n
und mehr Schichten und erreichen so die er-
Der Abstand zweier Moden (mode spacing) ist
forderliche hohe Reflektivität. VCSELs haben
also umgekehrt proportional zur Länge des Re-
eine wesentlich geringere Strahlungsleistung als
sonators. Kurze Laser, und dazu zählen insbe-
Kantenemitter, besitzen aber eine bessere Strahl-
sondere die VCSELs, sind Monomode-Laser im
qualität und haben einen Schwellenstrom von nur
Gegensatz zum Multimode-Laser von Abb. 6.26.
etwa 1 mA.
Monomode-Laser emittieren in einer Mode mit
Während die bisher beschriebenen Laser
extrem scharfer Spektrallinie. Die spektrale Brei-
Strahlungsleistungen von einigen Milliwatt bis
te ist f  20 MHz oder   110 fm für
einigen Watt besitzen (Abb. 6.24) sind für La-
D 1;3 m.
ser, die in der Druckindustrie oder der Materi-
albearbeitung eingesetzt werden, sehr viel hö-
here Strahlungsleistungen erforderlich. Um dies Beispiel 6.5
zu erreichen, werden in Hochleistungslaserdi- Wie viele halbe Wellenlängen passen in den
oden hunderte von Einzellasern zu Laserbarren Resonator eines Lasers mit L D 300 m, D
zusammengebaut. Typische Abmessungen die- 1;3 m, n D 3;3 und dn=d D 0;32 m1 ?
ser Barren sind 10 mm Breite, 0,1 mm Höhe Wie groß ist der Modenabstand der longitudi-
und einige mm Länge. Ein derartiger Laser be- nalen Schwingungsmoden?
sitzt typischerweise eine Strahlungsleistung von
250 W bei einem Strom von 300 A und einem Lösung
Schwellenstrom von Ith  14 A. Die Steigung Nach Gl. 6.24 ist die Ordnungszahl m D
der Leistungs-Strom-Kennlinie (Abb. 6.24) ist 2nL=  1523. Mit Gl. 6.25 ergibt sich ı D
d˚e =dIF  1 W=A. Die Ausgangsleistung ist 0;76 nm; wird näherungsweise die Dispersion
limitiert durch die Verlustwärme, die durch Was- vernachlässigt (d. h. dn=d D 0), dann ergibt
serkühlung abgeführt wird. sich ı D 0;85 nm.
6 Optoelektronik 327

Abb. 6.27 Wahl des


Arbeitspunkts bei der Mo-
dulation von Laserdioden:
a Analogmodulation, b Di-
gitalmodulation

Der Halbleiterlaser strahlt im Gegensatz zu Nanosekunden abklingende Relaxationsoszilla-


den Gaslasern kein paralleles Lichtbündel ab, tionen im GHz-Bereich.
sondern infolge der Beugung am Austritts- Bei sinusförmiger Modulation ergibt sich
rechteck (Abb. 6.25) ein stark divergentes. die in Abb. 6.28b dargestellte Modulations-
Der Öffnungswinkel in der Ebene des pn- Übertragungsfunktion (s. Gl. 6.19 für LEDs)
Übergangs liegt in der Größenordnung von
  20ı , senkrecht dazu bei   50ı . !02
H.!/ D q : (6.26)
Durch Kollimationsoptiken mit anamorpho-
.!02  ! 2 /2 C .2! rel /2
tischen Prismen kann der divergente ellipti-
sche Strahl in einen kreisrunden Parallelstrahl
!0 ist die Kreisfrequenz der Relaxationsschwin-
transformiert werden. Sehr viel besser ist das
gungen, rel die Zeitkonstante, mit der sie ge-
Abstrahlverhalten von VCSELs. Sie emittie-
dämpft werden. Die Grenzfrequenz des Lasers
ren von vorn herein einen kreisrunden Strahl
ist erreicht, wenn das optische Signal um 3 dB
mit einem relativ kleinem Divergenzwinkel
gegenüber dem Wert bei langsamer Modulation
von  < 10ı .
abgenommen hat, also für H D 0;5. Moderne
Laser können mit über 10 Gbit=s moduliert wer-
6.3.3.5 Modulation den.
Die Strahlungsleistung von Laserdioden kann
durch den Strom direkt moduliert werden. Dem 6.3.3.6 Temperaturabhängigkeit
Modulationsstrom muss ein Vorstrom IB (Bias) Aus Abb. 6.24 ist ersichtlich, dass sich der
unterlegt werden, um einen bestimmten Arbeits- Schwellenstrom mit steigender Temperatur nach
punkt auf der Kennlinie einzustellen (Abb. 6.27). oben verschiebt und dass die Kennlinien et-
Bei analoger Modulation muss der Vor- was flacher werden, d. h. dass der differenzielle
strom IB genügend groß sein, damit nur auf externe Quantenwirkungsgrad abnimmt. Empi-
dem steil ansteigenden Teil der Kennlinie mo- risch wurde eine exponentielle Abhängigkeit des
duliert wird und nichtlineare Verzerrungen ver- Schwellenstroms von der Temperatur festgestellt:
mieden werden. Bei der Pulsmodulation sollte
der Vorstrom IB mindestens so groß sein wie Ith D Ith,0 eT =T0 : (6.27)
der Schwellenstrom Ith , damit keine Verzögerung
des Lichtpulses gegenüber dem Strompuls auf- Für die charakteristische Temperatur T0 wurden
tritt. Wie Abb. 6.28a zeigt, vergehen etwa 2 ns folgende Werte gefunden:
ehe die ersten Photonen den Kristall verlassen.  GaAlAs-Laser: 120 K bis 230 K,
Die Strahlungsleistung zeigt dann über mehrere  InGaAsP-Laser: 60 K bis 80 K.
328 R. Martin

Abb. 6.28 Modulation eines Lasers: a Einschwingver- Übertragungsfunktion H bei sinusförmiger Modulation
halten nach einem Strompuls, die Strahlungsleistung für frel D 3 GHz und rel D 1;5 ns
ist proportional zur Photonenzahl P , b Modulations-

Das Modenspektrum von Abb. 6.26 verschiebt 6.3.3.7 Alterung


sich mit steigender Temperatur zu höherer Wel- Bei den Lasern nimmt wie bei den LEDs die
lenlänge. Dabei verschiebt sich die Einhüllende Strahlungsleistung bei festem Strom stetig ab.
(gain-Kurve) ungefähr so wie das Bandgap mit In der Laserkennlinie nach Abb. 6.24 verschiebt
ˇ sich der Schwellenstrom Ith im Laufe der Zeit zu
d ˇˇ
 0;24 nm=K größeren Werten und die Neigung der Kennlinie,
dT ˇBandgap
d. h. der externe Quantenwirkungsgrad nimmt ab.
bei GaAs und  0;3 nm=K bei InGaAsP. Die Alterungsmechanismen sind trotz intensiver
Die Peaks der einzelnen Longitudinalmoden Forschung nicht völlig bekannt. Eine große Rol-
verschieben sich nach Gl. 6.24, wenn mit stei- le für die Degradation spielen Kristallbaufehler
gender Temperatur der Brechungsindex und die (z. B. Versetzungen, Stapelfehler, Punktdefekte),
Kristalllänge wachsen. Es ergeben sich folgende mechanische Spannungen, die daher kommen,
Zahlenwerte: dass die Gitterkonstanten der verschiedenen Kris-
ˇ tallschichten nicht gleich sind und unterschied-
d ˇˇ
 0;12 nm=K liche Temperaturkoeffizienten haben, sowie die
dT ˇ Moden Belastung der Auskoppelspiegel durch die hohe
bei GaAs und  0;08 nm=K bei InGaAsP. optische Leistungsdichte. Bei einem Streifenla-
Dadurch, dass sich die gain-Kurve mit der ser mit ˚e D 10 mW und einer Emissionsfläche
Temperatur rascher verschiebt als die Moden, von 0;2 m 2 m beträgt die Leistungsdich-
kommt es beim Monomode-Laser zum mode te 2,5 MW=cm2 (zum Vergleich: Die spezifische
hopping, wobei die Emissionslinie mit steigender Ausstrahlung der Sonne ist Me  6;2 kW=cm2 ).
Temperatur immer wieder zur nächsten Mode mit Wenn sich an den Spiegeln kleine absorbierende
der nächst kleineren Ordnungszahl m springt. Partikeln anlagern, werden die Spiegel lokal so
Um die temperaturabhängigen Eigenschaften heiß, das sie Mikrorisse bekommen und durch ei-
des Lasers kontrollieren zu können, werden Halb- ne Art Erosion aufplatzen.
leiterlaser, deren Wellenlänge konstant bleiben Die Lebensdauer des Lasers (Werte von über
soll, auf einen Peltier-Kühler gesetzt, mit dem 105 h werden erreicht) ist nicht einheitlich de-
die Temperatur auf etwa 0,1 K genau geregelt finiert. Häufig wird die Zeit angegeben, nach
wird. Dabei wird die Ausgangsleistung des La- welcher der Schwellenstrom um 20 % gegenüber
sers durch eine Monitor-Diode gemessen, die dem Neuwert angestiegen ist. Dabei nimmt die
gegenüber dem rückwärtigen Spiegel angebracht spontane Emission (unterhalb der Schwelle) um
ist. etwa 50 % ab (siehe Definition der Lebensdauer
6 Optoelektronik 329

bei LEDs). Verschiedene Hersteller geben auch verwendeten Laser so billig, dass sie nur 1 % der
eine Degradationsrate an, d. h. die prozentuale Kosten eines CD-Players ausmachen.
Steigerung des Stroms pro 1000 Betriebsstunden. Hochleistungslaser werden eingesetzt, um
Hochleistungslaser erreichen Lebensdauern von Festkörperlaser (z. B. Nd-YAG-Laser) zu pum-
etwa 104 h. pen sowie zur direkten Materialbearbeitung wie
Lebensdauertests werden wie bei den LEDs Bohren, Schneiden, Schweißen etc. Auch in der
bei erhöhter Temperatur durchgeführt. Die Le- Medizin werden in vielen Verfahren Halbleiterla-
bensdauer in Abhängigkeit von der Temperatur ser eingesetzt, beispielsweise als Skalpell, bei der
wird durch Gl. 6.21 beschrieben, mit deren Hil- Zahn- und Augenheilkunde, zum Abtragen von
fe die erwartete Lebensdauer bei Raumtempera- Gewebe etc.
tur extrapoliert wird. Halbleiterlaser sind äußerst Über die Laufzeit von Laserpulsen können
empfindlich gegen hohe Stromspitzen. Bei der Entfernungen sehr präzise bestimmt werden.
Ansteuerung muss deshalb große Sorgfalt darauf In der interferometrischen Längenmesstechnik
verwendet werden, solche Spitzen zu vermeiden. spielt der Laser als kohärente und frequenzstabile
Verschiedene Hersteller bieten Ansteuerschaltun- Lichtquelle eine große Rolle.
gen zum Dauerstrichbetrieb oder zur Modulation Schließlich können Bleisalzlaser auf spezifi-
von Laserdioden an. sche Absorptionswellenlängen bestimmter Mole-
küle abgestimmt werden und so in der Umwelt-
messtechnik eingesetzt werden, um die Konzen-
6.3.3.8 Anwendungen tration bestimmter Stoffe in der Atmosphäre zu
Alle Einsatzgebiete des Halbleiterlasers zu be- messen.
nennen würde den Rahmen dieses Buches spren-
gen. Einige Anwendungen sowie die verwende-
ten Materialien sind in Tab. 6.5 zusammenge-
stellt. Die Laserdiode ist der Lumineszenzdiode 6.4 Displays
in all jenen Gebieten überlegen, wo es auf große
Strahldichte, kleine spektrale Breite (Monochro-
masie), großen Quantenwirkungsgrad und ho- 6.4.1 Anthropotechnische
he Modulationsfrequenz ankommt. Diese Punkte Gesichtspunkte
sind bei der optischen Nachrichtenübertragung
von größter Bedeutung. Anzeigeelemente oder Displays haben die Auf-
Bei der Datenverarbeitung werden mit La- gabe, alphanumerische Daten oder Bilder darzu-
sern Daten mit höchster örtlicher Auflösung in stellen. Da sie vom Menschen betrachtet werden,
Speicher ein- oder ausgelesen und Laserdru- müssen einige Forderungen des menschlichen
cker zur Erstellung von Schriftstücken eingesetzt. Auges erfüllt werden, damit eine gute Ablesbar-
Bilder werden mit Laser-Pointern projiziert. In keit gewährleistet ist. Unser Auge ist empfindlich
Großrechnern werden die Daten mittels VC- für Leuchtdichten Lv > 106 cd=m2 . Bei Leucht-
SELs in Lichtwellenleiter eingespeist. Der Peta- dichten kleiner als Lv  10 cd=m2 sind nur die
Flop-Rechner „Blue Waters“ (IBM 2011) enthält Stäbchen der Netzhaut aktiviert (skotopische An-
5 Millionen optische Verbindungen. Ein moder- passung), die keine Farben unterscheiden, son-
ner VCSEL benötigt die Energie 100 fJ, um ein dern nur Graustufen. Farben werden für Lv >
Bit über 100 m Entfernung mit einer Datenrate 10 cd=m2 erkannt, wenn die Zapfen der Netzhaut
von 75 Gbit=s zu übertragen. angeregt werden (photopische Anpassung). Für
Im täglichen Leben trifft man den Halblei- Leuchtdichten größer als Lv  105 cd=m2 setzt
terlaser in optischen Computermäusen, Laser- Blendung ein. Die Zeichen von aktiven Displays
Pointern, Barcode-Scannern und im CD-Player. (selbstleuchtende) sollten eine Leuchtdichte von
Durch die Massenproduktion wurden die dort Lv D 10 cd=m2 bis 104 cd=m2 aufweisen.
330 R. Martin

Entscheidend für die Sichtbarkeit eines leuch- Tab. 6.6 Zeichenhöhe alphanumerischer Displays und
tenden Elements ist nicht allein seine Leuchtdich- maximaler Beobachtungsabstand für sicheres Ablesen
te, sondern vor allem der Kontrast zur Umge- Zeichenhöhe in mm 2,8 7 10 13 14,2 20,3
bungshelligkeit. Ist Lv;Z die Leuchtdichte eines maximaler Abstand in m 0,6 1,6 2,3 3,0 3,3 4,7
Zeichens und Lv;H die Leuchtdichte des Hinter-
grunds, so ist der Kontrast aktiver Displays Ka
folgendermaßen definiert: Für ein sicheres Ablesen müssen nach
DIN EN 894-2 die Zeichen einer Anzeige unter
Lv,Z  Lv,H einem Winkel von mindestens 15 Bogenminuten
Ka D : (6.28) vom Auge aus sichtbar sein. Aus dieser Forde-
Lv,H
rung folgen die in Tab. 6.6 angegebenen Werte
Der Kontrast sollte zwischen 7 W 1 und 100 W 1 des maximalen Abstandes für gängige Ziffernhö-
liegen. Zur Illustration: Bei einem guten Dia be- hen von LED- Displays.
trägt der Kontrast etwa 1000 W 1, bei einem TFT-
Bildschirm bis zu 3000 W 1. Der Kontrast eines
aktiven Displays nimmt mit steigender Helligkeit 6.4.2 Displaytypen
des Umfeldes ab, bis schließlich für Ka < 3 W 1
die Anzeige ausbleicht und kaum mehr abgelesen Von den vielen Möglichkeiten zur Anzeige von
werden kann. Daten werden hier nur die für kleinere alpha-
Bei Beleuchtung mit Weißlicht (Sonnenlicht) numerische Anzeigen wichtigsten Verfahren be-
ist der Kontrast von roten Zeichen wesentlich sprochen:
besser als der von grünen (bei gleicher Leucht-  Leuchtdioden,
dichte der Zeichen). Der Kontrast lässt sich da-  Vakuum-Fluoreszenz- Displays,
durch verbessern, dass Farbfilter vor die Zeichen  Plasma-Displays,
gesetzt werden. Umgebungslicht, das auf das Dis-  Flüssigkristallanzeigen,
play fällt und an der Umgebung der Zeichen  Organische Leuchtdioden.
reflektiert wird, erfährt bei zweimaligem Durch- Es werden keine Verfahren zur Übertragung von
gang durch das Filter eine stärkere Schwächung Bildern (z. B. Bildröhre, Flachbildschirm) behan-
als das Licht, das die Zeichen selbst aussenden. delt.
Für passive Anzeigen (nicht selbstleuchtende)
ist der Kontrast Kp wie folgt definiert: 6.4.2.1 Leuchtdioden
Die Wirkungsweise von LEDs wurde bereits aus-
Lv,Z führlich in Abschn. 6.3.2 dargestellt. Mithilfe von
Kp D : (6.29)
Lv,H LEDs lassen sich alle gängigen Symbole für al-
phanumerische Anzeigen herstellen. In Segment-
Bei dunklen Zeichen auf hellem Grund spricht Anzeigen werden die LED-Chips in Reflektoren
man von Positivkontrast im Gegensatz zum Ne- eingebettet, die das Licht auf das ganze Segment
gativkontrast bei hellen Zeichen auf dunklem verteilen (Abb. 6.15d). Die Fläche des aktiven
Hintergrund. Zur Illustration: Der Kontrast beim Chips kann dabei 100-mal kleiner sein als die
Zeitungsdruck beträgt etwa 1 W 7. Ein gutes Fläche des leuchtenden Segments. Die Reflek-
Schwarz-Weiß-Foto erreicht bis zu 1 W 100. Für toren werden mit dunklem Kunststoff umgeben,
passive Displays sollte der Kontrast zwischen damit ein guter Kontrast entsteht.
1 W 7 (bzw. 7 W 1) und 1 W 30 (bzw. 30 W 1) liegen. Vorteile des LED- Displays: Niedere Span-
Bei mittleren bis hohen Umgebungsleuchtdich- nung (TTL-kompatibel), schnell schaltbar, da-
ten ist der Kontrast passiver Displays konstant her gut geeignet für Multiplexbetrieb (Ab-
und hängt nicht von der Umgebungshelligkeit ab. schn. 6.4.4), breite Farbpalette, hohe Leuchtdich-
Bei geringen Umgebungsleuchtdichten benötigen te (Lv  104 cd=m2 ), extrem hohe Lebensdauer
passive Displays eine Zusatzbeleuchtung. ( > 105 h), mechanisch unempfindlich. Ein
6 Optoelektronik 331

Nachteil ist die hohe Leistungsaufnahme (P  von der Art des Leuchtstoffs bestimmt wird. Das
50 mW). Deckglas der Anzeige ist dabei mit einer trans-
parenten Anode überzogen; die Kathoden bilden
6.4.2.2 Vakuum-Fluoreszenz-Displays die einzelnen Segmente einer alphanumerischen
(VFD) Anzeige.
Das VFD ist eine Weiterentwicklung der Elek- Beim Plasmabildschirm werden jeweils drei
tronenröhre (Triode). Kathode, Gitter und Anode Kammern mit den Grundfarben grün, rot und blau
sind in einem flachen Glasgefäß untergebracht. zu einem Bildpunkt zusammengefasst. Durch
Die geheizte Wolframkathode sendet Elektronen spalten- und zeilenförmig angebrachte Elektro-
aus, die je nach Gitterspannung auf die An- den lassen sich die einzelnen Pixel im Multiplex-
ode treffen oder abgebremst werden. Die Anode betrieb ansteuern.
ist mit einem Leuchtstoff beschichtet, der Licht Vorteile der PDP: Hohe Leuchtdichte (Lv >
emittiert, wenn schnelle Elektronen auftreffen 500 cd=m2 ) und hoher Kontrast, komplizierte
(Kathodolumineszenz). Häufig wird als Leucht- Symbole darstellbar (Siebdrucktechnik möglich).
stoff ZnO eingesetzt, der blaugrün leuchtet, je- Nachteile: Hohe Betriebsspannung von 150 V
doch sind auch Farbstoffe für blau, gelb, orange bis 300 V, Spezialtreiber bei TTL-Ansteuerung
und rot gefunden worden. Es sind Siebenseg- erforderlich, hoher Leistungsbedarf (ein TV-
ment-Anzeigen, Matrix-Anzeigen und Sonder- Gerät mit 4200 Bildschirm und 1024 768 Pixel
zeichen erhältlich. Großanzeigen mit Ziffernhö- benötigt etwa 300 W bis 400 W), Zündproble-
hen bis 150 mm sind möglich. Sie sind weit me, stoßempfindliches Glasgefäß, geringe Le-
verbreitet in der Unterhaltungselektronik, bei- bensdauer (  6  104 h).
spielsweise in Videorekordern.
Vorteile der VFD: Gestochen scharfe Zei- 6.4.2.4 Flüssigkristallanzeigen (LCD)
chen mit hoher Leuchtdichte (Lv > 103 cd=m2 ), Flüssigkristalle (FK, engl. Liquid Crystals, LC)
schnell steuerbar, daher gut zum Multiplexbetrieb werden von langgestreckten Molekülen meist
geeignet, hohe Lebensdauer (  105 h). aromatischer Verbindungen gebildet. Sie pas-
Nachteile: Aufwendige Bauweise, mehrere sen sich wie eine Flüssigkeit der Gefäßform an,
Spannungen nötig, darunter 10 V bis 50 V An- besitzen aber gewisse Ordnungsstrukturen, die
odenspannung, hohe Leistungsaufnahme (P  sonst nur bei Kristallen auftreten. Sie befinden
100 mW pro Segment). sich in einem bestimmten Temperaturbereich in
einem Zwischenzustand (Mesophase) zwischen
6.4.2.3 Plasma-Displays (PDP) der hoch geordneten, anisotropen Kristallstruk-
Plasma- Displays (engl. Plasma Display Panel) tur und dem völlig ungeordneten Zustand der
benutzen das Licht, das bei einer Gasentladung Flüssigkeit mit ihren isotropen Eigenschaften. In
in einem Gas unter geringem Druck ausgesandt FK sind zwei unterschiedliche Ordnungsstruktu-
wird. Zwischen zwei Glasplatten befinden sich ren möglich: Einerseits die von den Festkörpern
viele kleine Kammern, die mit einem Edelgas- bekannte regelmäßige Anordnung der Massen-
gemisch aus Neon, Xenon und Helium sowie ei- mittelpunkte (Molekülschwerpunkte) und ande-
ner winzigen Menge an Quecksilber gefüllt sind. rerseits die Ausrichtung der Molekülachsen. Je
Zur Erzeugung eines Zeichens wird jede Kam- nach Ordnungsstruktur unterscheidet man nema-
mer mit einem zugehörigen Transistor gezündet. tische (fadenförmige), cholesterinische (wendel-
Das Gas wird dabei wie in der Leuchtstoffröh- förmige) und smektische (geschichtete) Flüssig-
re kurzzeitig ionisiert, also zum Plasma. Durch kristalle.
Zusammenstöße werden die Hg-Atome angeregt In FK-Anzeigen finden bislang nur nema-
und senden beim Übergang in den Grundzustand tische Stoffe (mit geringen cholesterinischen
UV-Strahlung aus. Diese wird durch Leuchtstof- Zusätzen) Verwendung. Bei ihnen sind die
fe, mit denen die Kammer innen ausgekleidet ist, Längsachsen der zigarrenähnlichen Moleküle
in sichtbare Strahlung umgewandelt, deren Farbe im Mittel parallel ausgerichtet (Abb. 6.29). Die
332 R. Martin

larisiert, dass die Polarisationsrichtung mit der


Vorzugsrichtung der Moleküle an der unteren
Glasplatte übereinstimmt. Beim Durchlaufen der
Zelle dreht sich die Polarisationsebene der Licht-
welle im Sinne der Molekülachsen. Das Licht
verlässt die Zelle also mit einer um 90ı gedrehten
Polarisationsrichtung und wird von dem zweiten
Polarisator, der gegenüber dem ersten ebenfalls
Abb. 6.29 Anordnung der Moleküle in einem nemati- um 90ı gedreht ist, durchgelassen.
schen Flüssigkristall
Wird an die beiden Elektroden eine Spannung
angelegt (1,5 V bis 5 V), dann orientieren sich
nach Abb. 6.30b die Moleküle in Feldrichtung
Moleküle lassen sich gegeneinander relativ leicht
und eine linear polarisierte Lichtwelle erfährt
verschieben. Sie weisen ein schwaches elektri-
beim Durchgang durch die Zelle keine Drehung
sches Dipolmoment auf und erfahren in einem
mehr. Das Licht wird deshalb vom oberen Pola-
elektrischen Feld ein Drehmoment. Ist die Di-
risator nicht mehr durchgelassen, die Zelle bleibt
elektrizitätszahl in Längsrichtung der Moleküle
schwarz. Es ist natürlich auch möglich, die bei-
größer als senkrecht dazu, dann liegt eine positive
den Polarisatoren einer TN-Zelle parallel zu ori-
Anisotropie vor, im umgekehrten Fall eine negati-
entieren. Die Zelle ist dann im spannungslosen
ve. Im ersten Fall richten sich die Molekülachsen
Fall schwarz und bei Anlegen des Feldes trans-
parallel zum elektrischen Feld aus, im zweiten
parent. Zur Ansteuerung muss Wechselspannung
Fall senkrecht dazu. Durch Ein- und Ausschalten
verwendet werden, wobei der Gleichspannungs-
eines elektrischen Feldes können die Moleküle
anteil höchstens 50 mV betragen darf. Bei zu
gedreht und damit die optischen Eigenschaften
großem Gleichspannungsanteil würden nämlich
des Flüssigkristalls beeinflusst werden.
die transparenten ITO-Elektroden zu undurch-
sichtigem Indium und Zinn reduziert und die
Prinzip der Drehzelle Die Flüssigkristall- Anzeige würde erblinden.
Drehzelle wurde von S CHADT und H ELFRICH Abbildung 6.31 zeigt den Aufbau einer
entwickelt und beruht auf einem Feldeffekt. In Siebensegment-Reflexionsanzeige. Umgebungs-
einem 5 m bis 15 m breiten Raum zwischen licht fällt von vorn rechts auf die Zelle und
zwei Glasplatten befindet sich ein nematischer erhält durch den Polarisator P1 eine horizonta-
FK mit positiver Anisotropie. Die Glasplatten le Polarisationsrichtung. Die beiden Glasplatten
sind mit einer transparenten Elektrodenschicht G tragen die transparenten ITO-Elektroden, wo-
aus Indium-Zinn-Oxid (ITO) überzogen. Dar- bei die Elektroden der hinteren Platte aus sieben
auf wird eine wenige nm dicke Polyimidschicht einzeln ansteuerbaren Elementen bestehen. Der
aufgebracht, die mit einer kurzhaarigen Wal- Abstandshalter A ist etwa 10 m dick und wird
ze gerieben wird. Durch das Reiben erhält der durch die obere Füllöffnung mit dem Flüssig-
Kunststoff eine Struktur, und die FK-Moleküle kristall gefüllt. In diesem Bereich bildet sich die
orientieren sich an der Glasoberfläche parallel zu verdrillte nematische Phase aus, in der die Pola-
dieser Vorzugsrichtung. Nach Abb. 6.30a wer- risationsebene des Lichtes um 90ı gedreht wird.
den die zwei Glasplatten so angeordnet, dass die Das Licht durchsetzt den Polarisator P2 , wird am
Vorzugsrichtungen um 90ı gegeneinander ver- Reflektor R reflektiert und tritt nach vorne wieder
dreht sind. Die Moleküle ordnen sich dadurch aus der Zelle aus. Aktiviert man jetzt beispiels-
schraubenförmig zwischen den beiden Platten weise die Elektroden a, b, c, d und g, dann wird
an, weshalb man von einer verdrillten nema- im Bereich dieser Elektroden die Schwingungs-
tischen Phase (Twisted Nematic, TN) spricht. richtung des Lichts nicht mehr gedreht und es
Wird die TN-Zelle von unten beleuchtet, dann erscheint eine schwarze Ziffer 3 auf hellem Hin-
wird das Licht durch einen Polarisator so po- tergrund.
6 Optoelektronik 333

Abb. 6.30 Prinzip der


Flüssigkristall- Drehzelle:
a spannungslos, b mit
angelegter Spannung

Abb. 6.32 Kennlinie des Kontrasts einer TN-Zelle in Ab-


hängigkeit von der Spannung

Abb. 6.31 Aufbau einer Reflexions- Drehzelle


FK-Anzeigen sind wie ein Plattenkondensa-
Der Kontrast einer Drehzelle ist in Abb. 6.32 tor aufgebaut und stellen für die Ansteuerquelle
qualitativ skizziert. Üblicherweise wird der ein- eine kapazitive Last dar. Die Kapazität einer klei-
bzw. ausgeschaltete Zustand mit 10 % bzw. 90 % nen Anzeige beträgt pro Segment etwa 100 pF.
des maximalen Kontrastes definiert. Typische Die Frequenz der Wechselspannung liegt in der
Spannungen liegen bei Uaus  2 V und Uein  Praxis zwischen 30 Hz und 100 Hz. Dabei wird
4 V. Die TN-Zelle folgt einer Spannungsände- eine außerordentlich kleine Leistung von etwa
rung mit einer gewissen Trägheit. Die Zeitver- 5 W=cm2 aufgenommen.
zögerung ist in der Größenordnung von 100 ms
beim Einschalten und 200 ms bis 300 ms beim 6.4.2.5 Organische Leuchtdioden
Ausschalten. Bei tiefen Temperaturen verzögern (OLEDs)
sich die Zeiten erheblich und können in den OLEDs (Organic Light Emitting Diodes) beste-
Bereich von Sekunden kommen. Der Kontrast hen aus organischen Substanzen, die halbleitende
einer TN-Zelle ist definiert als das Verhältnis Eigenschaften aufweisen. Beispielsweise besitzt
der maximalen zur minimalen Leuchtdichte (Ab- der Phenylring von Polyphenylenvinylen sechs
schn. 6.4.1). Kommerzielle Displays zeigen bei  -Molekülorbitale, von denen die drei energe-
senkrechter Betrachtung einen Kontrast von K  tisch tief liegenden mit je zwei Elektronen be-
200. Er nimmt ab, wenn das Display von der Seite setzt sind (Highest Occupied Molecular Orbital,
betrachtet wird. HOMO). Die drei energetisch höher liegenden,
334 R. Martin

a b
E E E
EF
LB
eU
VB

EF

K OHL A K ET LT A

x x

Abb. 6.33 Energie-Orts-Diagramm einer OLED. a Ein- lenzband. b Zweischicht-OLED, ET: Elektronen-Trans-
schicht-OLED, K: Kathode, OHL: Organischer Halbleiter, portband (n-Leiter), LT: Löcher-Transportband (p-Leiter)
A: Anode, EF : Fermi-Energie, LB: Leitungsband, VB: Va-

die von den unteren durch eine Energielücke angelegt wird (Abb. 6.33a). Als Kathode dient
getrennt sind, sind leer (Lowest Unoccupied Mo- ein Metall mit kleiner Elektronen-Austrittsarbeit,
lecular Orbital, LUMO). Werden mehrere Ringe z. B. Ca, Mg, Cs, Al. Die Anode besteht aus
zu einer Kette zusammen gesetzt, in der sich einem Metall mit großer Austrittsarbeit, z. B.
Einfach- und Mehrfachbindungen entlang der Indium-Zinn-Oxid (ITO), Au, Pt.
Kette alternierend aneinander reihen, so dass ei- Aus der Kathode werden Elektronen in das
ne vollständige Delokalisation der  -Elektronen Leitungsband des organischen Halbleiter inji-
über die Kette gegeben ist, dann kommt es in- ziert, die sich dann infolge des elektrischen
folge von Wechselwirkung zu einer Aufspaltung Feldes durch die Schicht in Richtung Anode
der Energieniveaus und damit zur Ausbildung bewegen. Innerhalb eines Moleküls geschieht
von Energiebändern wie bei einem klassischen der Ladungstransport entlang konjugierter Mehr-
Halbleiter. Dabei entsteht aus den HOMOs das fachbindungen, das sind alternierend aufein-
besetzte Valenzband und aus den LUMOs das ander folgende Einfach- und Mehrfachbindun-
leere Leitungsband. gen zwischen den Kohlenstoffatomen. Von Mo-
Wird ein Elektron unter Energieaufwand vom lekül zu Molekül erfolgt der Ladungstrans-
Valenz- ins Leitungsband gehoben, bleibt im Va- port über Hopping-Prozesse. Die Beweglich-
lenzband ein Loch zurück. Beide Ladungsträger keit ist mit   103 cm2 =.Vs/ sehr nied-
können über die Coulomb’sche Anziehung einen rig im Vergleich zu den klassischen Halblei-
gebundenen Zustand eingehen, ein so genanntes tern (Tab. 1.7). Damit ein genügender Strom
Exziton bilden. Zerfällt das Exziton, dann wird fließt, muss deshalb die Feldstärke sehr groß
die bei der Rekombination frei werdende Ener- sein, was durch eine dünne organische Schicht
gie entweder in Form eines Photons oder als erreicht wird. Ist beispielsweise die Schichtdi-
Wärme abgegeben. Die Energie des Photons ent- cke d D 200 nm und die anliegende Spannung
U D 5 V, so wird die Feldstärke E D U=d D
spricht ungefähr der Breite der Energielücke, Eg .
Sie kann durch Substitution, Dotierung, Einbau 25 MV=m.
von Seitenketten etc. gezielt verändert werden, so Aus dem Valenzband des organischen Halblei-
dass Licht mit beliebigen Farben erzeugt werden ters können Elektronen in die Anode übertreten.
kann. In der Sprache der Halbleiterphysik sagt man,
dass Löcher von der Anode in das Valenzband
Aufbau einer OLED Im einfachsten Fall wird des Halbleiters injiziert werden. Aufgrund des
ein organischer Halbleiter mit Metallelektroden elektrischen Feldes bewegen sich die Elektronen
versehen, an die eine Spannung von einigen Volt und die Löcher aufeinander zu und können unter
6 Optoelektronik 335

a b
Deckglas

Kathode
Kathode
organ.
ET
Halbleiter
LT

ITO-Anode
Anode

Glas-
substrat
Licht

Abb. 6.34 Struktur eines OLED- Displays. a Schichtaufbau eines einzelnen Pixels. b Passive Pixel-Matrix

Aussendung eines Photons strahlend rekombinie- Computermonitore und Fernseher erfordern


ren. die schnelle aktive Ansteuerung einzelner Pi-
Der Wirkungsgrad dieser Einfachschichten ist xel, weshalb für jedes Pixel ein Stromtreiber auf
nicht sehr gut, weil die Ladungsträger die kom- dem Substrat integriert ist. Dies ist ein Dünn-
plette Schicht auch durchlaufen können, ohne schichttransistor (TFT) aus Poly-Silicium oder
zu rekombinieren. Der Wirkungsgrad kann deut- amorphem Silicium. Eine typische Rasterung (pi-
lich verbessert werden, wenn zwei verschiedene xel pitch) ist 4 Bildelemente pro Millimeter und
Schichten eingebaut werden (Abb. 6.33b) und Zeile. Mehrfarbige Bilder werden dadurch er-
zwar eine für den Elektronen-Transport optimier- zeugt, dass an jedem Bildpunkt nebeneinander
te Schicht ET und eine für den Löcher-Transport drei verschiedene organische Halbleiter aufge-
optimierte LT. An der Grenzfläche entsteht eine bracht werden, die in den Grundfarben Rot, Grün
Potenzialbarriere für die Elektronen, die sich dort
und Blau leuchten und die unabhängig voneinan-
sammeln und mit den Löchern Exzitonen bilden, der angesteuert werden.
die dann rekombinieren. Dabei bilden sich 25 % Das Aufbringen der organischen Filme auf das
Singulett-Exzitonen (Elektronen- und Löcherspin Substrat geschieht bei vergleichsweise kleinen
antiparallel), die strahlend rekombinieren, wäh- Molekülen durch aufwendiges und teures Auf-
rend bei den 75 % Triplett-Exzitonen (Elektro- dampfen im Vakuum. Polymer- OLEDs (PLEDs)
nen- und Löcherspin parallel) die strahlende Re- besitzen Moleküle mit langen Ketten, die löslich
kombination verboten ist. Durch Dotierung mit sind und aus der Lösung aufgebracht werden kön-
Schwermetallen wie Pt und Ir gelingt es, den Spin nen. Dies kann z. B. durch Aufschleudern (spin
umzudrehen und damit den internen Quantenwir- coating) geschehen oder durch Siebdruck oder
kungsgrad auf nahezu 100 % zu steigern. durch Aufspritzen mit einem modifizierten Tin-
tenstrahldrucker. Dies erlaubt die kostengünstige
Display-Struktur Der Aufbau eines Pixels ist Herstellung von Strukturen, wie sie in einem Dis-
in Abb. 6.34a dargestellt. Die emittierten Photo- play benötigt werden.
nen treten durch die transparente ITO-Anode aus.
Die Dicke der organischen Halbleiterschicht ist Eigenschaften von OLEDs OLEDs liefern bril-
etwa 200 nm. Bei der passiven Pixel-Matrix nach lante Bilder mit einer Leuchtdichte von bis zu
Abb. 6.34b sind zeilen- und spaltenförmig Elek- Lv D 1000 cd=m2 . Im Gegensatz zu LCDs
troden angebracht. Werden an einem Überkreu- benötigen sie keine Polarisatoren und keine Hin-
zungspunkt beide aktiviert, leuchtet die OLED tergrundbeleuchtung, da sie Selbstleuchter sind.
auf. Sie sind deshalb auch gut von der Seite ablesbar.
336 R. Martin

Abb. 6.35 Balkenanzeige: a Leuchtpunkt, b Leuchtband

Abb. 6.36 Ansteuerung


einer Balkenanzeige mit
einem 1-aus-n-Decoder:
a Leuchtpunkt, b Leucht-
band

Der Betrachtungswinkel beträgt etwa 160ı bei zeigen (Bargraph) mit 5; 10; : : : ; 101 einzelnen
einem Kontrastverhältnis von besser als 100 W 1. LED-Elementen an.
OLEDs sind sehr schnell (die Reaktionszeit liegt Liegen die Daten digital vor, dann geschieht
bei 1 s), so dass videofähige Bildschirme ge- die Ansteuerung mithilfe eines 1-aus-n-Deko-
baut werden können. Sie sind einsetzbar in einem ders. In Abb. 6.36a leuchtet die LED auf, deren
Temperaturbereich von 30 °C bis C70 °C. An Kathode durch den Decoder auf 0 gelegt wird.
Luft beträgt die Lebensdauer der OLEDs nur we- Nach Abb. 6.36b kommt man zur Leuchtbandan-
nige Tage. Eine luftdichte Verpackung im Vaku- zeige dadurch, dass die Ausgänge des Decoders
um oder inerter Atmosphäre ist daher unabding- durch UND-Gatter verknüpft werden. Dadurch
bar und ergibt Lebensdauern von über 10.000 h. leuchten außer der ausgewählten LED alle nie-
Wenn es gelingt, eine dichte Verpackung mit derwertigeren mit.
Kunststofffolien herzustellen, sind auch flexi- Zur Anzeige von analogen Spannungen bie-
ble Displays möglich. Neben dem Einsatz der ten diverse Hersteller Leuchtpunkt- bzw. Leucht-
OLEDs in Displays lassen sich mit ihnen auch bandtreiber als integrierte Schaltungen an. Dabei
großflächige Beleuchtungen zu realisieren. ist bei Spannungsänderung entweder ein sprung-
hafter Übergang von einer zur nächsten LED
möglich oder ein kontinuierlich gleitender. Es
6.4.3 Analoganzeigen gibt auch Treiber, die eine logarithmische Anzei-
ge ermöglichen.
Skalenanzeigen in Form eines wandernden
Leuchtpunktes oder eines leuchtenden Bandes
nach Abb. 6.35 lassen eine quasianaloge Anzeige 6.4.4 Numerische Anzeigen
von Spannungen zu. Displays dieser Art wer-
den häufig eingesetzt bei Aussteuerungsanzeigen, Die am meisten verbreitete Form der nume-
Füllstandsmessern, Tachometern und Rundfunk- rischen Anzeige ist die Siebensegment-Anzeige
skalen. Verschiedene Hersteller bieten Balkenan- nach Abb. 6.37. Durch entsprechende Auswahl
6 Optoelektronik 337

Abb. 6.37
Siebensegment-Anzeige

der Segmente a bis g sind alle Zahlen sowie


einige Buchstaben und Sonderzeichen erzeug-
bar.

6.4.4.1 LED-Displays
LEDs lassen sich nach der in Abb. 6.19 gezeigten
Art und Weise direkt aus TTL-Bausteinen ansteu-
ern. Die Ansteuerung der einzelnen Segmente
des Displays geschieht nach Abb. 6.38 mithilfe
eines BCD-Siebensegment-Dekoders, der die im
BCD-Kode ankommenden Daten decodiert und
entsprechend umsetzt.
Da alle Segmente am selben Anodenpoten-
zial liegen, kommen diejenigen zum Leuchten,
deren Kathoden auf 0-Potenzial gesetzt werden
(es sind auch Dekoder für gemeinsame Katho-
den erhältlich). Bei manchen Dekodern müssen
Abb. 6.38 Ansteuerung einer LED-Siebensegment-
zwischen die LED und die Dekoderausgänge Wi-
Anzeige mit einem BCD-Decoder
derstände zur Strombegrenzung eingesetzt wer-
den. Tabelle 6.7 zeigt die Funktionstafel eines
solchen Dekoders. Verschiedene Decoder kön-
nen auch Sedezimalzahlen (Hexadezimalzahlen) ren auf jeden Fall der Parallelansteuerung vor-
darstellen, allerdings müssen die Ziffern B (11) zuziehen. Ein Taktgeber mit Dualzähler sorgt
und D (13) als kleine Buchstaben dargestellt wer- dafür, dass die vier Anoden nach dem Zeit-
den, weil sie sonst von den Ziffern 8 und 0 nicht schema von Abb. 6.39b jeweils hintereinander
unterscheidbar sind. aktiviert werden. Im selben Takt werden die Da-
Die Anzeige kann nicht nur im Parallelbe- ten von der Logik dem Siebensegment-Decoder
trieb arbeiten, wo, wie eben beschrieben, al- zugeführt, der die jeweils erforderlichen Katho-
le leuchtenden Elemente gleichzeitig geschaltet den aktiviert. Es leuchtet also momentan immer
werden, sondern auch im Zeitmultiplex. Nach nur eine Ziffer auf. Trotzdem entsteht wegen der
diesem Verfahren werden vor allem auch mehr- Trägheit des menschlichen Auges ein stehendes
stellige Anzeigen angesteuert, weil dadurch die Bild, wenn die Wiederholfrequenz entsprechend
Zahl der Leitungen erheblich reduziert wird. So groß gewählt wird. Es hat sich gezeigt, dass
wären beispielsweise für eine vierstellige An- für flimmerfreie Anzeigen die Taktfrequenz et-
zeige (ohne Dezimalpunkt) im Parallelbetrieb wa 100 Hz nicht unterschreiten sollte. Der Strom
4 7 Kathodenleitungen, eine gemeinsame An- durch die LED muss hier etwa viermal so groß
odenleitung und vier Decoder erforderlich. Beim sein, wie bei der Parallelansteuerung, da sie ja
Zeitmultiplex nach Abb. 6.39a sind nur sie- beim Tastverhältnis 1 W 4 nur während einem Vier-
ben Kathodenleitungen, 4 Anodenleitungen, ein tel der Zykluszeit pro Zyklus in Betrieb sind.
Siebensegment-Decoder sowie ein 1-aus-4-De- Ansteuerungsschaltungen der beschriebenen Art
coder erforderlich. Bei großen Ziffernanzeigen werden von vielen Herstellern voll integriert
(acht und mehr Stellen) ist das Multiplexverfah- angeboten.
338 R. Martin

Tab. 6.7 Funktionstafel eines BCD-Siebensegment-Dekoders


Zahl BCD-Eingang Segmente
D C B A a b c d e f g
23 22 21 20
0 0 0 0 0 Ein Ein Ein Ein Ein Ein Aus
1 0 0 0 1 Aus Ein Ein Aus Aus Aus Aus
2 0 0 1 0 Ein Ein Aus Ein Ein Aus Ein
3 0 0 1 1 Ein Ein Ein Ein Aus Aus Ein
4 0 1 0 0 Aus Ein Ein Aus Aus Ein Ein
5 0 1 0 1 Ein Aus Ein Ein Aus Ein Ein
6 0 1 1 0 Ein Aus Ein Ein Ein Ein Ein
7 0 1 1 1 Ein Ein Ein Aus Aus Aus Aus
8 1 0 0 0 Ein Ein Ein Ein Ein Ein Ein
9 1 0 0 1 Ein Ein Ein Ein Aus Ein Ein

Abb. 6.39 Zeitmultiplex-Ansteuerung einer vierstelligen LED-Anzeige: a Schaltplan, b zeitlicher Ablauf der Schalt-
zustände für die Darstellung der Zahl 1942

6.4.4.2 Flüssigkristallanzeigen (LCD) ist in Abb. 6.40a dargestellt. Die rechte Elektrode
Nach den Ausführungen von Abschn. 6.4.2 müs- ist wie in Abb. 6.31 für alle Segmente gemein-
sen LCD zur Vermeidung von Degradation im- sam. Sie ist direkt mit dem Rechteckgenerator
mer mit Wechselspannung betrieben werden. verbunden. Die sieben Elektroden auf der lin-
Dies wird in der Praxis dadurch erreicht, dass an ken Seite werden durch Exclusiv-ODER-Gatter
jede der beiden Elektroden eines Segments ei- angesteuert. Der Zeitverlauf der Spannungen ist
ne Rechteckspannung gelegt wird. Je nachdem, in Abb. 6.40b für den Fall dargestellt, dass das
ob die beiden Rechteckspannungen gleich- oder Segment a angesteuert werden soll. Ur ist die
gegenphasig anliegen, liegt am Segment Span- Spannung an der rechten Elektrode, Ul die an
nung an oder nicht. Die praktische Realisierung der linken, die zu Ur gegenphasig ist, solan-
6 Optoelektronik 339

Abb. 6.40 Ansteuerung eines 7-Segment-LCD: a Schaltung, b Spannungsverläufe

das aktiviert werden soll, benötigt eine Spannung,


die größer ist als Uein . Beim Triplex-Verfahren
wird mit drei Spannungspegeln gearbeitet: Alle
Segmente, die angesteuert werden sollen, erhal-
ten eine hohe, die anderen eine niedrige Span-
nung.

6.4.5 Alphanumerische Anzeigen

Die 16-Segment-Anzeige nach Abb. 6.41 ist ei-


ne Erweiterung der 7-Segment-Anzeige. Damit
können außer den Zahlen alle Großbuchstaben
Abb. 6.41 16-Segment- Display für alphanumerischen
Anzeigen des Alphabets sowie verschiedene Sonderzei-
chen dargestellt werden. Decoder für LED- und
LCD-Anzeigen werden von verschiedenen Her-
ge Ua auf 1 liegt. Wird Ua auf 0 gesetzt, dann stellern angeboten. Bei sog. intelligenten Dis-
sind Ur und Ul gleichphasig. Die Spannung am plays sind alle erforderlichen Decoder, Treiber
Flüssigkristall UFK D Ur  Ul ist damit eine pul- usw. in CMOS-Technik im Display integriert.
sierende Wechselspannung nur solange, wie Ua Für die Darstellung aller Buchstaben und Zah-
auf 1 liegt. len hat sich die 5 7-Matrixanzeige durchgesetzt,
Das Multiplexen von LCD macht prinzi- die in Abb. 6.42a skizziert ist. Mit ihr lassen
piell Schwierigkeiten. Es sind aber Anzeigen sich praktisch beliebige Zeichen darstellen. Mit
auf dem Markt, wo mehrere Ziffern im Multi- handelsüblichen Zeichengeneratoren kann man
plexbetrieb angesteuert werden. Bei Multiplex- beispielsweise die in Abb. 6.42b gezeigten 96
Anzeigen werden immer mehrere Segmente ver- ASCII-Zeichen sowie viele Sonderzeichen erzeu-
bunden. Dadurch liegt auch an Segmenten, die gen. Die einzelnen Elemente werden im Zeit-
gar nicht angesteuert werden sollen, eine Span- multiplex geschaltet. Das bedeutet, dass zeitlich
nung. Diese muss nach Abb. 6.36 kleiner sein nacheinander die fünf Spalten aktiviert werden
als die Schwellenspannung Uaus . Das Segment, und synchron dazu die jeweiligen Zeilen.
340 R. Martin

Abb. 6.42 5 7-Matrix-


Display: a Anordnung der
Punkte, b Darstellung der
96 ASCII-Zeichen

Tab. 6.8 Detektoren auf der Grundlage des Fotoeffekts


Äußerer Fotoeffekt Innerer Fotoeffekt
Nicht verstärkend
Fotokathode (Vakuum- Fotowiderstand (LDR)
Fotozelle) Fotodiode
Fotoelement
Verstärkend
Fotomultiplier (PM) Fotolawinendiode (Ava-
oder Sekundärelektro- lanche-Fotodiode, APD),
nenvervielfacher (SEV), Fototransistor, Fotothyris-
Abb. 6.43 Absorptionsakt im Bändermodell eines Halb-
Bildverstärkerröhre (Bild- tor
leiters
wandler)

bei im Valenzband ein Loch zurückbleibt, kann


6.5 Halbleiter-Detektoren man folgern, dass jedes absorbierte Photon im
Halbleiter ein Elektron-Loch-Paar erzeugt. Damit
6.5.1 Strahlungsabsorption dieser Vorgang ablaufen kann, muss die Pho-
in Halbleitern tonenenergie mindestens so groß sein wie die
Breite Eg der verbotenen Zone:
Aus der Vielzahl von Detektoren für elektroma-
gnetische Strahlung zeigt Tab. 6.8 eine Zusam- Eph
Eg (6.30)
menstellung der Detektoren, die auf dem Foto-
Das bedeutet für die Wellenlänge der absor-
effekt beruhen. Beim äußeren Fotoeffekt wird
bierten Strahlung, dass sie kleiner sein muss als
ein Elektron durch ein auftreffendes Photon voll-
eine Grenzwellenlänge g , für die mit Gl. 6.1
ständig aus dem Festkörper entfernt. Das Photon
und 6.2 gilt:
muss dabei als Mindestenergie die Austrittsarbeit
des betreffenden Materials besitzen. Im Folgen- hc 1;24 m  eV
den sind ausschließlich Detektoren beschrieben, g D D : (6.31)
Eg Eg
die auf dem inneren Fotoeffekt beruhen.
Wird ein Halbleiter mit Licht bestrahlt, dann Fällt nach Abb. 6.44 elektromagnetische
geben die Photonen ihre Energie an gebundene Strahlung mit der Strahlungsleistung ˚0 auf
Elektronen ab, die – falls die Photonenenergie da- einen Kristall, so wird durch die oben beschrie-
zu ausreicht – aus ihrer Bindung gerissen werden bene Absorption der Photonenfluss ins Kristall-
und sich dann frei im Halbleiter bewegen können. innere immer geringer. Die Abnahme der Strah-
Im Bänderschema nach Abb. 6.43 wird dieser lungsleistung um d˚ D ˚(x C dx/  ˚.x/
Prozess so dargestellt, dass mithilfe der Pho- innerhalb der Strecke dx ist proportional zur
tonenenergie ein Elektron aus dem Valenzband Strahlungsleistung an der Stelle x und zur Län-
hochgehoben wird ins Leitungsband. Da hier- ge dx: d˚ D ˛ ˚.x/ dx: Dies wird damit
6 Optoelektronik 341

Abb. 6.44 Verlauf der Strahlungsleistung in einem Halb-


leiter
Abb. 6.45 Absorptionskoeffizienten verschiedener Halb-
leiter

begründet, dass die Wahrscheinlichkeit für Ab-


sorptionsakte umso größer ist, je mehr Photonen
6.5.2 Gütekriterien von Detektoren
vorhanden sind, also je größer die Strahlungsleis-
tung ist. Durch Integration der obigen Gleichung
Bevor auf die Funktion der Detektoren einge-
ergibt sich, dass die Strahlungsleistung ins Kri-
gangen wird, sollen in diesem Abschnitt einige
stallinnere hinein exponentiell abnimmt:
Begriffe geklärt werden, welche die Güte eines
˚.x/ D ˚0 e˛x : Detektors betreffen und die beim Vergleich ver-
schiedener Detektoren herangezogen werden.
Ist die Kristalldicke d , dann ist die Leistung der
Strahlung, die den Kristall durchdringt, 6.5.2.1 Empfindlichkeit
Im Sinne von Abb. 6.1 sind Fotodetektoren
˚ D ˚0 e˛d : (6.32)
Wandler, die optische Signale (Energie) in elek-
Die Materialgröße ˛ wird als Absorptionskoeffi- trische umwandeln. Beschreibt Y die vom Wand-
zient bezeichnet. Der Absorptionskoeffizient ist ler abgegebene elektrische Ausgangsgröße und X
ein Maß für das Absorptionsvermögen einer Sub- eine Größe, welche die Eigenschaften der auf
stanz und hängt ab von der Energie der Licht- den Wandler treffenden Strahlung charakterisiert,
quanten, bzw. der Wellenlänge der Strahlung. dann ist die Empfindlichkeit S (in der englisch-
Abbildung 6.45 zeigt die Absorptionskoeffizien- sprachigen Literatur wird meist der Begriff Re-
ten einiger Halbleiter in Abhängigkeit von der sponsivity < verwendet) des Detektors als Quoti-
Wellenlänge der Strahlung. Deutlich ist die durch ent der beiden Größen definiert:
Gl. 6.30 und 6.31 beschriebene Schwellenbedin-
gung für die Absorption sichtbar. S D < D Y =X: (6.33)

Beispiel 6.6 Für Y wird meist der durch die Strahlung indu-
Wie dick muss ein Siliciumkristall sein, damit zierte Fotostrom Iph eingesetzt, manchmal auch
von der auffallenden Strahlungsleistung ˚0 eine Spannung. Die Eingangsgröße X ist meist
bei der Wellenlänge D 700 nm nur noch der die auffallende Strahlungsleistung ˚e . Eine ty-
Bruchteil ˚=˚0 D 104 durchgelassen wird? pische Empfindlichkeit einer Fotodiode ist bei-
spielsweise S D 0;5 A=W. Die Empfindlichkeit
Lösung: hängt stark von der Wellenlänge ab, wie in den
Nach Abb. 6.45 ist der Absorptionskoeffizi- folgenden Abschnitten noch gezeigt wird. Spe-
ent von Si bei dieser Wellenlänge ˛ D 2;5  ziell bei den Detektoren für sichtbares Licht ist
103 cm1 . Aus Gl. 6.32 folgt für die erforder- die Bezugsgröße X auch häufig die Beleuch-
liche Dicke d D ˛ 1  ln.˚0 =˚/ D 36;8 m. tungsstärke Ev . So findet man beispielsweise in
342 R. Martin

Katalogen für die Empfindlichkeit einer Foto- nal ist, wird häufig der auf B 1=2 bezogene Wert
diode S D 50 nA=lx. Dabei muss angegeben angegeben, z. B. NEP D 1014 W=Hz1=2 .
werden, für welches Licht diese Angabe gilt. In
der Regel ist der Wert bezogen auf Beleuchtung 6.5.2.3 Detektivität
mit Normlicht A (Abschn. 6.2.2). (Nachweisvermögen)
Je geringer die rauschäquivalente Leistung ei-
6.5.2.2 Rauschäquivalente Leistung nes Detektors, desto größer ist seine Detektivität
(NEP) (engl. detectivity), d. h. seine Fähigkeit, Strah-
Jeder Detektor gibt ein mehr oder weniger ver- lung nachzuweisen. Für die Detektivität gilt D D
rauschtes Signal ab, wobei das Rauschen ver- 1=NEP .
schiedene Gründe haben kann: Außer der bereits erwähnten Abhängigkeit der
 Signalrauschen: Die Photonen treffen zeitlich rauschäquivalenten Leistung von der Bandbrei-
nicht gleichmäßig auf den Detektor, sondern te ist sie auch proportional zur Wurzel aus der
regellos statistisch verteilt. Detektorfläche: NEP A1=2 B 1=2 . Verschieden
 Generations- und Rekombinationsrauschen: große Detektoren lassen sich deshalb nicht mit-
Die Raten der Generation und Rekombination einander vergleichen. Dies wird aber möglich mit
von Ladungsträgern zeigen regellose Fluktua- der bezogenen Detektivität
tionen, so dass die Ladungsträgerdichte und p
damit beispielsweise der ohmsche Widerstand  AB
eines Bauteils statistisch schwankt. D D ; (6.34)
NEP
 Schrotrauschen: Bei Sperrschichtdetektoren
ruft jeder Ladungsträger, der die Sperrschicht die sich allgemein zur Charakterisierung durch-
überquert, einen Stromimpuls hervor. Das sta- gesetzt hat.
tistisch regellose Überqueren (Schroteffekt)
manifestiert sich als Rauschen. Beispiel 6.7
 Thermisches Rauschen: Infolge der Brown’- Die Fotodiode BPX 61 hat bei D
schen Bewegung der Elektronen gibt jeder 850 nm die bezogene Detektivität D  D
Widerstand eine Rauschspannung ab. 6;6 1012 cm Hz1=2 W1 . Die lichtempfindliche
 Zusatzrauschen: In Detektoren mit interner Fläche beträgt A D 7 mm2 . Welche Strah-
Verstärkung (z. B. APD) ist die Verstärkung lungsleistung kann mit dieser Diode bei einer
regellos schwankend. Bandbreite von B D 1 Hz noch nachgewiesen
Jeder Detektor gibt also eine gewisse Rausch- werden?
leistung ab, auch wenn keine Strahlung auf ihn
trifft. Damit eine Strahlung detektiert werden Lösung p
kann, muss ihr Signal mindestens so groß sein, Nach Gl. 6.34 ist NEP D DAB  D 41014 W.
wie das Rauschsignal des unbeleuchteten De-
tektors. Die zugeordnete Leistung dieses kleins-
ten Signals wird als Rauschäquivalente Leistung 6.5.3 Fotowiderstand
bezeichnet, abgekürzt mit NEP (Noise Equiva-
lent Power). Man versteht darunter die effekti- Der Fotowiderstand (Light Dependent Resistor,
ve Wechselleistung einer voll durchmodulierten LDR) oder Fotoleiter ist ein passives Bauele-
Strahlung, die im Detektor das Signal-Rausch- ment, dessen elektrischer Widerstand sich bei
Verhältnis S=N D 1 erzeugt. Je kleiner die Bestrahlung verringert. Bei der Absorption von
rauschäquivalente Leistung ist, desto empfindli- elektromagnetischer Strahlung wird die Konzen-
cher ist der Detektor. Ein typischer Zahlenwert tration der freien Elektronen und Löcher vergrö-
bei einer Si- Fotodiode ist NEP D 1014 W. Da ßert und damit auch die elektrische Leitfähigkeit.
die rauschäquivalente Leistung zur Wurzel aus Für die Leitfähigkeit eines Halbleiters gilt nach
der Bandbreite B der Messeinrichtung proportio- Gl. 1.114 (Abschn. 1.8.5):  D e .n n C pp /; n
6 Optoelektronik 343

Abb. 6.46 Fotoleiter, a b c


a Prinzip, b Praktische
Ausführung, c Schalt-
zeichen nach DIN EN

d
60617-5

b
l

und p sind die Konzentrationen der freien Elek- ˚e =(hf ) ist die Zahl der Photonen, die pro Zeit-
tronen und Löcher, n und p die entsprechenden einheit auf den Detektor fallen; . / ist die wel-
Beweglichkeiten. lenlängenabhängige Quantenausbeute, die an-
Ist nd die Elektronendichte bei Dunkelheit gibt, wie viele Elektron-Loch-Paare pro absor-
und nl diejenige bei Lichteinfall, dann gilt für die biertem Photon gebildet werden. Sie ist stets
Zunahme der Elektronendichte: n D nl  nd , kleiner als 100 %. l, b, und d sind die Abmes-
bei den Löchern ist entsprechend p D pl  pd . sungen des Kristalls nach Abb. 6.46a.
Da die Photonen die Elektronen und Löcher stets Damit ergibt sich für die Überschussdichte der
paarweise erzeugen, gilt p D n. Für die Leit- beweglichen Ladungsträger
fähigkeit
bei Beleuchtung ergibt sich somit l D
˚e 1
e .n C n/n C .p C p/p und bei starker n D g  D   . / 
Anregung .hf / l b d
und für den Widerstand des Plättchens bei Be-
l  e n.n C p / : (6.35) strahlung

Die Überschussdichte n der Elektronen und .hf / l 2 1 1


Rl D :
Löcher folgt durch Lösung der Differenzialglei- e .n C p / ˚e . /  ˚e Ee
chung 6.13 (6.37)
Der Widerstand sollte sich also umgekehrt pro-
d.n/=dt D g  r D g  n= : portional zur Bestrahlungs- bzw. Beleuchtungs-
stärke verhalten. Bei Fotoleitern aus polykris-
g ist die Generationsrate freier Elektronen, die tallinem Material ist die Beweglichkeit von der
direkt proportional ist zur Bestrahlungsstärke Ee Beleuchtung abhängig, so dass der Zusammen-
bzw. zur Strahlungsleistung ˚e , r ist die Rekom- hang zwischen Widerstand und Beleuchtungs-
binationsrate und  die Lebensdauer der angereg- stärke recht komplex werden kann. In der Praxis
ten Ladungsträger. Bei Bestrahlung mit konstan- hat sich gezeigt, dass der Zusammenhang zwi-
ter Strahlungsleistung ergibt sich im stationären schen Widerstand und Beleuchtungsstärke häufig
Gleichgewicht, d. h. bei d.n/=dt D 0 durch ein Potenzgesetz der Form

n D g ˚e Ee : (6.36) Rl Ev (6.38)

Die Generationsrate g kann aus der absorbierten beschrieben werden kann;  wird als Steilheit
Strahlungsleistung ˚e berechnet werden: bezeichnet. Abbildung 6.47 zeigt den Zusam-
menhang für einen CdS- Fotowiderstand. Aus
˚e 1 dem Diagramm folgt, dass  in der Größenord-
gD   . / :
.hf / l b d nung von 1 ist.
344 R. Martin

Grund werden Fotoleiter praktisch mit kammar-


tiger Elektrodenform realisiert (Abb. 6.46b) mit
kleinem Abstand zwischen den Stegen. Eine ho-
he Lebensdauer  bedeutet zwangsläufig, dass
das Bauelement langsam wird. Für den Fall der
Beleuchtung des LDR mit sinusförmig modulier-
tem Licht der Kreisfrequenz ! wird der Gewinn
frequenzabhängig analog zur Lichtemission von
LEDs (Gl. 6.19 und Abb. 6.13 in Abschn. 6.3.2):

 1
GD p : (6.41)
tTr 1 C .!/2

Die durch Gl. 6.33 definierte Empfindlichkeit (re-


sponsivity) eines Fotowiderstands ist S D I =˚e .
Mithilfe von Gl. 6.39 ergibt sich
Abb. 6.47 Abhängigkeit des Widerstands eines CdS-
Fotoleiters von der Beleuchtungsstärke bei Beleuchtung e e
mit einer Lichtquelle der Farbtemperatur TF D 2700 K S D<DG . / D G . / : (6.42)
(LDR 05, RPY 30, Philips Semiconductors) hf hc

Bei konstanter Quantenausbeute und konstantem


Gewinn steigt die Empfindlichkeit also propor-
Der Strom, der durch ein Plättchen nach
tional zur Wellenlänge. In Wirklichkeit ist die
Abb. 6.46 fließt, beträgt
Quantenausbeute von der Wellenlänge abhängig:
U U e .n C p / ˚e   Beispielsweise ist  D 0 für > g , so dass auch
I D D : die Empfindlichkeit null wird für Wellenlängen
Rl l 2 .hf /
oberhalb der Grenzwellenlänge nach Gl. 6.31.
.U= l/n ist nach Gl. 1.112 die Driftgeschwin- Abbildung 6.48 zeigt den Verlauf der Empfind-
digkeit der Elektronen und l 2 =(Un / D tTr;n lichkeit eines Fotowiderstands aus Cadmiumsul-
die Transitzeit, die ein Elektron braucht, um das foselenid. Die Empfindlichkeit folgt in etwa dem
Bauteil zu durchqueren (entsprechendes gilt für Hellempfindlichkeitsgrad V . / des menschlichen
die Löcher). Damit lässt sich der Fotostrom auch Auges.
schreiben als Zum Nachweis von sichtbarem Licht sind
 e˚e  e˚e  vor allem intrinsische Fotowiderstände aus CdS
I D DG ; (6.39) und CdSe geeignet. Sie werden häufig einge-
tTr hf hf
setzt in Belichtungsmessern, Dämmerungsschal-
dabei ist tTr eine mittlere Transitzeit, die sich aus tern, Flammenwächtern usw. Für den Nachweis
1=tTr D 1=tTr,n C 1=tTr,p ergibt. Die Größe von infraroter Strahlung (IR-Spektroskopie, Um-
 weltmesstechnik, Pyrometer, IR-Bilderfassung)
GD (6.40) kommen Halbleiter mit kleinerem Bandgap wie
tTr
PbS, InAs und InSb in Frage. Abbildung 6.49
wird als Gewinn (gain) des Fotoleiters bezeich- zeigt eine Zusammenstellung der Detektivitä-
net wird. Ein großer Gewinn wird erzielt, wenn ten D  einiger typischer Materialien. Für Mes-
die Lebensdauer  der angeregten Ladungsträger sungen im fernen Infrarot werden extrinsische
groß und die Transitzeit tTr klein ist. In CdS- Fotoleiter eingesetzt. Das sind dotierte Halblei-
Fotowiderständen lassen sich Gewinne bis G  ter (meist Germanium), die bei tiefen Tempe-
105 erreichen, in Si ist G  103 und mehr. raturen betrieben werden, damit die Störstellen
Die Transitzeit wird klein bei großer, ange- nicht thermisch ionisiert werden. Zur Absorption
legter Spannung und kleiner Länge. Aus diesem kommt es, wenn die Energie der Photonen größer
6 Optoelektronik 345

Abb. 6.50 Bänderschema einer Fotodiode ohne äußere


Spannung; die Lage des Fermi-Niveaus ist gestrichelt ein-
gezeichnet

Beispiel 6.8
Wie groß ist die Empfindlichkeit eines Foto-
widerstandes mit  D 1 und G D 1 bei D
550 nm?
Abb. 6.48 Relative Empfindlichkeit Srel (schwarz) ei-
nes Fotoleiters (RPY 63, Siemens) im Vergleich zum Lösung
Hellempfindlichkeitsgrad V . / (rot) des menschlichen
Auges
Aus Gl. 6.42 folgt S D 0;44 A=W. Tat-
sächlich kann die Empfindlichkeit wesentlich
größer, aber auch kleiner sein als dieser Wert,
je nachdem, ob der Gewinn größer oder klei-
ner als 1 ist.

6.5.4 Fotodiode

6.5.4.1 Wirkungsweise
Die Fotodiode ist ein aktives Bauelement, das
bei Bestrahlung eine elektrische Spannung (foto-
voltaischer Effekt) bzw. einen Fotostrom abgibt.
Die Basis ist der pn-Übergang, dessen Bänder-
schema in Abb. 6.50 dargestellt ist. Wird ein
Photon mit ausreichender Energie in der Raumla-
dungszone (RLZ) absorbiert, dann wird ein freies
Elektron im Leitungsband erzeugt und ein frei-
Abb. 6.49 Bezogene Detektivitäten D  verschiedener es Loch bleibt im Valenzband zurück. Infolge des
Fotoleiter eingebauten elektrischen Feldes (Diffusionsspan-
nung Ud ) werden die beiden Ladungsträger sofort
getrennt, und zwar wird das Loch zur p-Seite, das
ist als die Ionisierungsenergie der Störstelle. Da Elektron zur n-Seite befördert. Diese Ladungs-
diese Energien bei flachen Störstellen sehr nied- trennung geht ohne äußere Spannung vonstatten,
rig sind, kann Strahlung mit Wellenlängen bis ca. kann aber durch Anlegen einer Spannung beein-
100 m nachgewiesen werden. flusst werden.
346 R. Martin

ist die Diffusionslänge Lp bzw. Ln . Somit ist


das aktive Gebiet der Fotodiode (das Sammel-
volumen) durch die Summe der Diffusionslängen
Ln C Lp bestimmt, die ein bis zwei Größenord-
nungen größer ist als die Breite der RLZ.
Wird der pn-Übergang mit monochromati-
scher Strahlung der Strahlungsleistung ˚e be-
strahlt, dann ist der Photonenfluss NP D ˚e =.hf /.
Unter der Voraussetzung, dass jedes Photon ein
Elektron-Loch-Paar erzeugt, das zum Fotostrom
beiträgt (Quantenausbeute  D 1), ergibt sich der
Abb. 6.51 Absorption von Strahlung verschiedener Wel- Fotostrom Iph D e NP D .e˚e /=.hf /. In realen
lenlängen in einer Fotodiode; 1 < 2 < 3 < 4 < 5
Bauelementen ist aber die Quantenausbeute ,
d. h. die Zahl der nachweisbaren Fotoelektronen
pro absorbiertem Photons, kleiner als eins, so
Wird die Diode mit offenen Enden betrieben dass für den Fotostrom gilt:
bzw. mit einem sehr hochohmigen Lastwider-
stand, dann lädt sich die p-Seite positiv, die n- e ˚e
Iph D . /: (6.43)
Seite negativ auf. Die Diffusionsspannung wird hf
abgebaut und an den Enden ist die Leerlauf-
spannung UL abgreifbar. Die maximale Leerlauf- Der Fotostrom hängt also linear von der ab-
spannung ist zwangsläufig immer kleiner als die sorbierten Strahlungsleistung ab. Diese wichti-
Diffusionsspannung, so dass gilt: UL < Ud bzw. ge Eigenschaft einer Fotodiode wurde experi-
UL < Eg =e. Dioden mit großem Bandabstand Eg mentell über einen Bereich von mehr als acht
liefern eine große Leerlaufspannung UL . Zehnerpotenzen bestätigt. Abbildung 6.52 zeigt
Werden die Enden der Diode kurzgeschlos- den Zusammenhang zwischen Fotostrom und Be-
sen, dann fließt im äußeren Stromkreis der Fo- leuchtungsstärke für eine Si-Fotodiode. Ist die
tostrom Iph (Kurzschlussstrom IK ), der die Rich- Beleuchtungsstärke homogen, dann wächst der
tung eines Sperrstroms hat. Zum Fotostrom tra- Fotostrom proportional zur lichtempfindlichen
gen nicht nur die Ladungsträger bei, die innerhalb Fläche der Fotodiode.
der Raumladungszone (RLZ) erzeugt werden. Sie Dass die Quantenausbeute von der Wellen-
ist ohnehin so dünn, dass die Wahrscheinlichkeit länge abhängt, geht schon aus Abb. 6.51 her-
dafür, dass ein Photon gerade in diesem Ge- vor: Photonen hoher Energie (kurze Wellenlän-
biet absorbiert wird, sehr gering ist. Photonen, ge 1 ) haben einen großen Absorptionskoeffizi-
die beidseitig der RLZ absorbiert werden, kön- enten (Abb. 6.45) und bleiben deshalb unmit-
nen aber dennoch zu einem Fotostrom führen. telbar an der Oberfläche stecken; ihre Quanten-
Wird nach Abb. 6.51 ein Photon beispielswei- ausbeute ist also  D 0. Umgekehrt haben
se im n-Gebiet absorbiert (rot) und erzeugt dort Photonen kleiner Energie (große Wellenlänge 5 )
ein Elektron-Loch-Paar, so trägt es nur dann zum eine große Eindringtiefe, so dass ihre erzeugten
Fotostrom bei, wenn das Loch über den Über- Elektron-Loch-Paare auch nicht zum Fotostrom
gang hinweg ins p-Gebiet gelangt. Dies gelingt, beitragen. Wird die Wellenlänge größer als die
wenn das Loch nicht zu weit vom Übergang ent- Grenzwellenlänge nach Gl. 6.31, dann werden
fernt erzeugt wird, so dass es während seiner die Photonen ohnehin nicht mehr absorbiert, der
Lebensdauer (bevor es durch Rekombination ver- Kristall wird „durchsichtig“. Der typische Ver-
schwindet) an den Rand der RLZ diffundieren lauf der Quantenausbeute . / ist für verschie-
kann, wo es dann vom elektrischen Feld erfasst dene Fotodioden in Abb. 6.53 dargestellt (rot).
und ins p-Gebiet befördert wird. Die Strecke, die Die in Gl. 6.33 definierte Empfindlichkeit (re-
ein Ladungsträger im Mittel diffundieren kann, sponsivity) einer Fotodiode folgt unmittelbar aus
6 Optoelektronik 347

Abb. 6.53 Typischer Verlauf der Quantenausbeute . /


(rot) und der Empfindlichkeit S. / von Fotodioden aus
verschiedenen Materialien

Die Strom-Spannungs-Kennlinie der Fotodi-


ode geht aus der bekannten Kennlinie einer nor-
malen Diode hervor. Wie eingangs gezeigt wur-
Abb. 6.52 Leerlaufspannung UL und Kurzschluss- de, ist der Fotostrom Iph ein von der Beleuchtung
strom IK (Fotostrom) in Abhängigkeit von der abhängiger Sperrstrom. Das bedeutet, dass die
Beleuchtungsstärke Ev bei Beleuchtung einer Si-
Fotodiode (BPY 12, Siemens) mit Normlicht A Kennlinie einer Fotodiode mit zunehmender Be-
leuchtungsstärke nach unten verschoben wird,
wie es in Abb. 6.54a dargestellt ist. Wird die Di-
Gl. 6.43: odenkennlinie durch die Shockley’sche Gl. 1.138
I D IS .eeU=.kT /  1/ beschrieben (IS ist der
Iph e e
S. / D < D D . / D . /: Sperrsättigungsstrom), so gilt für eine Fotodiode
˚e hf hc
(6.44)
I D IS .eeU=.kT /  1/  Iph : (6.45)
Sie ist ebenfalls in Abb. 6.53 dargestellt
(schwarz).
Im Leerlauf (I D 0) ist bei Beleuchtung an den
Beispiel 6.9 Anschlüssen der Diode die Leerlaufspannung UL
Die relative Empfindlichkeit der Fotodiode (Abb. 6.54a) abgreifbar. Aus Gl. 6.45 folgt un-
BPY 12 bei der Wellenlänge D 500 nm be- mittelbar
trägt nach Herstellerangabe Srel D 37 %. Die  
kT Iph
maximale Empfindlichkeit bei D 850 nm ist UL D ln C1 : (6.46)
Smax D 0;60 A=W. Wie groß ist die Empfind- e IS
lichkeit und die Quantenausbeute der Fotodi-
Wenn also, wie bereits erläutert, der Fotostrom
ode bei D 500 nm?
linear mit der Beleuchtungsstärke zunimmt, dann
Lösung wächst nach Gl. 6.46 die Leerlaufspannung loga-
Die Empfindlichkeit beträgt S.500 nm/ D rithmisch. Dieser Zusammenhang ist in Abb. 6.52
Smax  Srel D 0;22 A=W. dargestellt.
Nach Gl. 6.44 ist die Quantenausbeute
. / D S. /
e
hc
D 55 %. 6.5.4.2 Betriebszustände
Von jeweils 100 absorbierten Photonen tra- Je nach äußerer Schaltung unterscheidet man die
gen also 55 zum Fotostrom bei. Betriebszustände Elementbetrieb und Diodenbe-
348 R. Martin

Abb. 6.54 Kennlinien einer Fotodiode: a komplettes (Siemens). Parameter ist die Beleuchtungsstärke Ev bei
Kennlinienfeld (qualitativ), b Fotostrom Iph als Funk- Beleuchtung mit Normlicht A, c Schaltzeichen der Foto-
tion der Sperrspannung UR für die Fotodiode BPY 12 diode nach DIN EN 60617-5

trieb. Im Elementbetrieb wird die Fotodiode ohne


äußere Spannungsquelle direkt an einen Lastwi-
derstand RL (Verbraucher) angeschlossen. Die
Diode arbeitet als Stromgenerator im vierten
Quadranten des Kennlinienfeldes von Abb. 6.54a
und wird als Fotoelement bzw. Solarzelle be-
zeichnet. Beide sind im Prinzip gleich; die Solar-
zelle ist aber für große Leistungen ausgelegt und
speziell für das Sonnenspektrum optimiert (Ab- Abb. 6.55 Schaltung einer Fotodiode mit externer Span-
schn. 6.5.5). Der Arbeitspunkt A in Abb. 6.54a nungsquelle (Batterie) und Lastwiderstand
ergibt sich als Schnittpunkt der Widerstandsgera-
den I D U=RL mit der Diodenkennlinie. Die
Leistung, die der Zelle entnommen werden kann, Arbeitspunkt B in Abb. 6.54a stellt sich als
ist P .A/ D U.A/  jI.A/j und hängt von der Lage Schnittpunkt der Widerstandsgeraden I D .UB 
des Arbeitspunktes A ab. Durch Variation von RL U /=RL mit der Kennlinie ein und liegt im drit-
kann die abgegebene Leistung optimiert werden. ten Quadranten des Kennlinienfeldes. Es ist in der
Fotoelemente haben meist gegenüber Fotodioden Praxis üblich, den dritten Quadranten in den ers-
einen vergleichsweise geringen Innenwiderstand. ten zu verlegen, so dass in Datenblättern Kenn-
Beim Diodenbetrieb wird die Diode (Foto- linienfelder in Form von Abb. 6.54b zu finden
diode im engeren Sinne) mit einem Lastwider- sind. Bei Änderung der Beleuchtungsstärke än-
stand RL in Reihe nach Abb. 6.55 an eine Span- dert sich der Strom, so dass am Lastwiderstand
nungsquelle (Batterie) angeschlossen, wobei die die Spannung Iph  RL abgreifbar ist, die zur Be-
Batteriespannung in Sperrrichtung anliegt. Der leuchtungsstärke proportional ist.
6 Optoelektronik 349

Beispiel 6.10
Die Fotodiode von Abb. 6.54b wird in ei-
ner Schaltung nach Abb. 6.55 mit Licht der
Beleuchtungsstärke Ev D 500 lx beleuchtet.
Die Batteriespannung beträgt UB D 20 V,
der Lastwiderstand RL D 33 k. Wie groß
ist die am Widerstand abgreifbare Signalspan-
nung UL ?

Lösung
In Abb. 6.54b ist die Widerstandsgerade für
RL D 33 k bereits eingezeichnet. Aus dem
Diagramm kann abgelesen werden, dass bei Abb. 6.56 Reaktion einer Fotodiode auf eine sprunghafte
Ev D 500 lx der Fotostrom Iph D 85 A be- Änderung der Strahlungsleistung
trägt. Damit beträgt die Signalspannung UL D
Iph RL D 2;81 V.
spontan folgt, sondern wie in Abb. 6.56 skizziert,
Das Betriebsverhalten der Fotodiode ist von mit einer gewissen Zeitverzögerung. Zur Zeitver-
der Temperatur abhängig. Am stärksten wird der zögerung tragen bei:
Dunkelstrom IR beeinflusst, der wie der Sperrsät- RC : Die RC-Zeitkonstante zur Umladung der
tigungsstrom IS exponentiell mit zunehmender Sperrschichtkapazität Cj über den Lastwi-
Temperatur ansteigt. Pro T D 10 K Tempe- derstand RL (und evtl. einen Serienwi-
raturerhöhung steigt der Dunkelstrom um den derstand der Diode), RC D Cj RL . Die
Faktor 2 bis 4 an. Dadurch nimmt auch das Zeitkonstante wird klein bei kleinem Last-
Rauschen zu, so dass der NEP-Wert wächst und widerstand und bei kleiner Sperrschichtka-
die Detektivität D  abnimmt. Gleichung 6.46 pazität (normale pn-Übergänge haben Ka-
zeigt, dass die Leerlaufspannung UL reduziert pazitäten von einigen 100 pF). Bei Anle-
wird, wenn der Sperrsättigungsstrom wächst. gen einer Sperrspannung verringert sich die
Das bedeutet, dass die Leerlaufspannung mit Sperrschichtkapazität und somit auch die
zunehmender Temperatur abnimmt. Experimen- Zeitkonstante.
tell ergibt sich eine Abnahme von UL =T  Diff : Wie bereits eingangs beschrieben, müssen
.2;5 bis 3;5/ mV=K. Da mit steigender Tempe- Ladungsträger, die außerhalb der Raumla-
ratur die Energielücke Eg abnimmt, verschieben dungszone, also in den feldfreien Gebieten
sich in Abb. 6.53 die Kurven für die Quan- generiert werden, bis zur RLZ diffundie-
tenausbeute und die Empfindlichkeit zu höherer ren. Dieser Diffusionsprozess ist naturge-
Wellenlänge. Das bewirkt, dass die Fotodiode für mäß relativ langsam. Die Zeitkonstante ist
kurzwellige Strahlung weniger empfindlich, für proportional zum Quadrat der Weite L des
langwellige Strahlung aber empfindlicher wird. Diffusionsgebiets: Diff D L2 =.2D/ (D ist
Insbesondere wird die Fotodiode bei Beleuch- die Diffusionskonstante). Zum Diffundie-
tung mit Normlicht A mit zunehmender Tempe- ren durch eine Strecke von der Länge der
ratur empfindlicher, was in einer Zunahme des Diffusionslänge benötigt ein Ladungsträ-
Fotostroms zum Ausdruck kommt. Bei einer Si- ger eine Zeit, die seiner Lebensdauer ent-
Fotodiode beobachtet man einen Anstieg des Fo- spricht. In Silicium ist diese in der Größen-
tostroms um etwa 0,12 %=K bis 0,20 %=K. ordnung von Diff  1 s.
Das Zeitverhalten einer Fotodiode wird durch Drift : Für das Überqueren der Raumladungszo-
verschiedene Mechanismen bedingt, deren Zu- ne der Weite w mit der Driftgeschwin-
sammenwirken dazu führt, dass sie einer sprung- digkeit vDrift benötigt ein Ladungsträger
haften Änderung der Strahlungsleistung ˚e nicht die Zeit Drift D w=vDrift . Bei großer
350 R. Martin

Diffusionsvorganges auf die Zeitkonstante ver-


nachlässigbar. Sie wird dann also im Wesentli-
chen durch die Driftzeit Drift D w=vS bestimmt.
Für die 3-dB-Grenzfrequenz gilt näherungsweise
0;44
f3dB  : (6.48)
Drift

Beispiel 6-11
Wie groß ist die Transitzeit der Ladungsträger,
Abb. 6.57 Aufbau einer pin-Fotodiode
um eine w D 10 m dicke i-Zone in Silicium
zu überqueren und welche Grenzfrequenz er-
Feldstärke bewegen sich die Ladungsträ- gibt sich daraus für die Fotodiode?
ger mit der Sättigungsdriftgeschwindigkeit;
Lösung
diese beträgt in Silicium vS  105 m=s
Die Zeitkonstante beträgt Drift D w=vS D
(Abb. 1.75). Die Transitzeit zum Durch-
100 ps. Nach obiger Gleichung ist die zugehö-
queren einer w D 1 m breiten Zone ist
rige Grenzfrequenz f3dB D 4;4 GHz.
Drift D 10 ps.
Die drei Verzögerungsmechanismen addieren Nach Abb. 6.51 haben Photonen langwelli-
sich zu einer Zeitkonstanten ger Strahlung eine große Eindringtiefe und kön-
 D RC C Diff C Drift : (6.47) nen deshalb mit pin-Dioden breiter i-Zone besser
nachgewiesen werden als mit normalen pn- Fo-
Bei normalen pn-Übergängen liegt diese Zeit- todioden. Diese größere IR-Empfindlichkeit geht
konstante in der Größenordnung von   1 s, aber auf Kosten der Schnelligkeit, denn die Zeit-
was zu einer Grenzfrequenz von fgr  1 MHz konstante für das Überqueren der i-Zone steigt
führt. Kommerzielle Fotodioden aus Silicium zei- mit zunehmender Breite ebenfalls an. Beispiels-
gen Grenzfrequenzen von 200 kHz bis 50 MHz; weise hat eine pin- Fotodiode aus Silicium mit
Fotoelemente sind langsamer mit fgr D 25 kHz einer w D 0;7 mm breiten i-Zone eine große
bis 100 kHz. In der Regel ist der langsame Diffu- Empfindlichkeit bei D 1;06 m, der Wellen-
sionsprozess für das Zeitverhalten ausschlagge- länge des Nd-YAG-Lasers; die Transitzeit beträgt
bend. Eine wesentliche Verbesserung bringt hier dabei Drift D 7 ns und die Grenzfrequenz f3dB D
die pin-Struktur. 63 MHz.
Silicium- und Germanium- Fotodioden mit
6.5.4.3 pin-Fotodiode besonders dicker i-Zone (mehrere Millimeter)
Die pin-Diode besteht nach Abb. 6.57 aus einer werden in der Strahlenmesstechnik eingesetzt
breiten eigenleitenden Schicht (i: intrinsic), die zum Nachweis von Röntgen- bzw. Gammastrah-
beidseitig von einem hochdotierten pC bzw. nC - lung. Die dicke i-Zone wird durch einen Drift-
Gebiet eingeschlossen ist (Sandwich-Struktur). prozess von Lithium in ursprünglich p-leitendes
Bei genügender Breite w werden die meisten Material hergestellt. Da Lithium sehr diffusions-
Photonen in der i-Zone absorbiert und erzeugen freudig ist, muss zur Erhaltung der Diodenstruk-
dort je ein Elektron-Loch-Paar. Da die ange- tur das Bauteil in einem Kryostaten mit flüssigem
legte Sperrspannung praktisch vollständig über Stickstoff auf T D 77 K gekühlt werden.
der hochohmigen i-Zone abfällt, werden die La-
dungsträger durch das elektrische Feld getrennt 6.5.4.4 Rauschen in pin-Fotodioden
und driften relativ rasch (mit Sättigungsdriftge- Die guten Eigenschaften der pin- Fotodiode prä-
schwindigkeit vS / in das angrenzende n- bzw. destinieren sie für den Einsatz bei der opti-
p-Gebiet. Werden die pC - und nC -Gebiete hin- schen Signalübertragung. Da am Ende eines lan-
reichend dünn gemacht, so ist der Einfluss des gen Lichtwellenleiters die Signale meist sehr
6 Optoelektronik 351

a i iph

Îph
I
Iph,0
t

t Φ0 Φ
b in

c Φ
i n2
t

i n2 Abb. 6.59 I.˚/-Charakteristik einer Fotodiode


t

Abb. 6.58 Rauschen von Fotodioden, a Diodenstrom,


ode:
b Rauschstrom, c Rauschstromquadrat ˇ ˇ
jI j D ˇINph ˇ C jIb j C jId j :

 Thermisches Rauschen: In jedem Widerstand


schwach sind, spielt das Rauschen des Detek- (hier der Lastwiderstand) kommt es infolge
tors eine große Rolle für das Signal-Rausch- der Brown’schen Bewegung der Elektronen zu
Verhältnis. Rauschsignalen, deren mittleres Rauschstrom-
Der Strom einer Fotodiode ist immer mehr quadrat nach N YKVIST gegeben ist durch
oder weniger verrauscht (Abb. 6.58). Der eigent-
liche Rauschstrom in .t/ (noise current) ergibt 4kT
2
itherm D B:
sich aus dem momentanen Strom i.t/ durch Sub- R
traktion des zeitlichen Mittelwerts IN: in .t/ D
i.t/  IN. Die Qualität eines detektierten Signals hängt ab
Die mit dem Rauschstrom verknüpfte Verlust- vom Verhältnis der effektiven Signalleistung Psig
leistung ist proportional zu in2 .t/ (Abb. 6.58c). zur effektiven Rauschleistung Pn , dem so ge-
Der zeitliche Mittelwert ist in2 .t/. Für die in Ab- nannten Signal-Rausch-Verhältnis
schn. 6.5.2 dargestellten Rauschquellen gelten
2
folgende Beziehungen: S Psig isig
D D ; (6.49)
 Schrotrauschen (shot noise): Die erzeugten N Pn in2
Ladungsträger überqueren die Sperrschicht
statistisch regellos (wie die Schrotkugeln einer das häufig auch als Pegelmaß in dB angegeben
Schrotflinte). Nach S CHOTTKY ist das mittle- wird:
re Rauschstromquadrat proportional zum mitt- S
leren Strom und zur Bandbreite B der Messan- SNR D 10  lg dB : (6.50)
N
ordnung:
Fällt sinusförmig modulierte Strahlung mit
dem Modulationsgrad m D ˚O =˚0 und
2
ish D 2e jI j B : der Leistung ˚.t/ D ˚0 C ˚O sin.¨t/ D
˚0 .1 C m sin.¨t// auf eine Fotodiode, dann er-
Beiträge zum Strom liefern der mittlere Foto- zeugt diese nach Abb. 6.59 einen modulierten
strom INph , der Hintergrundstrom Ib , verursacht Fotostrom iph .t/ D Iph,0 C IOph sin.¨t C '/ mit
durch die Hintergrundstrahlung (background IOph D <˚O und Iph,0 D <˚0 . < ist die in Gl. 6.33
radiation) sowie der Dunkelstrom Id der Di- definierte Empfindlichkeit (responsivity).
352 R. Martin

Tab. 6.9 Typische Daten verschiedener pin- Fotodioden


p
Halbleiter Wellenlänge Dunkelstrom Empfindlichkeit NEP = B D
in nm Id in nA < in A=W in W Hz1=2 in cm Hz1=2 W1
Si 800 2 0,55 4;8  1014 1;9  1012
Ge 1550 1000 0,8 0;3  1012 3  1011
InGaAs 1550 1 0,95 1;8  1014 4;9  1012

Der Signalstrom ist Signal-Rausch-Verhältnis ist S=N D


104;5 D 3;16  104 . Wenn in erster Näherung
isig .t/ D IOph sin.¨t C '/ ; nur das thermische Rauschen betrachtet wird,
mit 2
isig D 12 IOph
2
D 12 .<˚O /2 : reduziert sich Gl. 6.51 auf

Das Signal-Rausch-Verhältnis S .<˚O /2 RL 1


D  :
N 8kT B
2
S isig
D Die erforderliche Leistungsamplitude ergibt
N 2
ish C itherm
2
sich hieraus bei T D 300 K zu
wird damit s
1 S 8kT
S .< ˚O /2 1 ˚O D B D 5;07  107 W :
D h   i  : < N RL
N O B
4e R ˚m C ˚b C Id C 8kT
RL
(6.51) Streng genommen muss man die quadratische
Gleichung
Beispiel 6-12
Sinusförmig modulierte Strahlung (m D <2 N O 2 4eR ˚O 8kT
90 %) der Wellenlänge D 1;3 m fällt nach ˚   D0
B S m RL
Abb. 6.55 auf eine pin- Fotodiode der Emp-
findlichkeit R D 0;8 A=W. Mit der Strahlung lösen, woraus sich ergibt: ˚O D 5;82  107 W.
werden analoge TV-Signale übertragen, für Die kleinste nachweisbare Leistung ist da-
die ein Signal-Rausch-Abstand von SNR D
q S=N D 1 wird. Daraus
durch gegeben, dass
45 dB gefordert wird. Die Bandbreite ist B D O
folgt ˚min D 1
R
8kT
B D 2;85  109 W.
RL
5 MHz. Die Sperrschichtkapazität der Diode
Die rauschäquivalente Leistung ist definiert
ist Cj D 1 pF. Mit welcher Amplitude muss
als Effektivwert dieser minimalen Strahlungs-
die Strahlungsleistung moduliert sein? Wie
palso wird NEP
leistung, D 2;02 109 W bzw.
groß ist die rauschäquivalente Leistung der Di-
NEP = B D 9  10 W  Hz1=2 .
13
ode?
Tabelle 6.9 zeigt eine Zusammenstellung
I Hinweis: In pin-Dioden-Empfängern domi- von Kenngrößen verschiedener pin-Dioden.
niert mit Abstand das thermische Rauschen Aus den Werten ist ersichtlich, dass bei
gegenüber dem Dunkelstrom- und Hinter- den langen Wellenlängen, die bei der opti-
grundrauschen. schen Datenübertragung verwendet werden,
die InGaAs-Dioden jenen aus Ge überlegen
Lösung sind.
Falls keine Bandpassfilter verwendet werden,
begrenzt die RL Cj -Zeitkonstante die Band- 6.5.4.5 Lawinenfotodiode
breite. Damit ist ein Lastwiderstand erforder- Bei der Lawinenfotodiode oder kurz APD
lich von RL D .2 Cj B/1 D 31;8 k. Das (Avalanche Photodiode) werden durch Photo-
verlangte nen freigesetzte Ladungsträger lawinenartig ver-
6 Optoelektronik 353

M beschrieben:
˚e
IAPD D Iph  M D e . /  M : (6.52)
hf
Die Wahrscheinlichkeit, dass durch ein Elek-
tron oder Loch auf der Strecke dx eine Stoßio-
nisation verursacht wird, ist ˛n dx bzw. ˛p dx;
˛n und ˛p sind die Ionisationskoeffizienten der
Elektronen bzw. Löcher, die exponentiell von der
Feldstärke abhängen. In Abb. 6.60 ist der Fall ei-
nes Halbleiters mit ˛n  ˛p skizziert, wie er
beispielsweise in Silicium vorliegt. Das bedeu-
tet, dass praktisch nur die Elektronen in der Lage
sind, Stoßionisationen durchzuführen. Die bei der
Stoßionisation erzeugten Löcher laufen zwar in
Abb. 6.60 Lawinenfotodiode ( APD): a Aufbau, b Feld-
stärkeverteilung. Die p-Zone ist in Wirklichkeit viel Richtung pC -Zone, sind aber nicht in der Lage,
schmaler als die  -Zone unterwegs neue Elektron-Loch-Paare zu schaf-
fen. Der durch ein Photon erzeugte Strompuls
reißt dann ab, wenn das letzte erzeugte Loch
mehrt, wenn die Diode bei hoher Sperrspan- am pC -Kontakt angekommen ist. Sind die Ioni-
nung betrieben wird. Abbildung 6.60 zeigt einen sationskoeffizienten für Elektronen und Löcher
Schichtaufbau, wie er in Si-APD gebräuchlich in derselben Größenordnung, d. h. ˛n  ˛p ,
ist. Auf einem hochdotierten pC -Substrat wird dann können natürlich auch die Löcher auf ihrem
sehr reines  -Material abgeschieden (  bedeutet Weg zum pC -Gebiet Stoßionisationen durchfüh-
nahezu intrinsisches p-Material) und schließlich ren. Die Folge ist, dass bei genügender Breite
durch Diffusion eine p-Zone sowie eine hochdo- der Multiplikationszone die Lawine nicht mehr
tierte nC -Zone erzeugt. Wird durch ein Photon abreißt: es kommt zum Durchbruch. APDs aus
in der  -Zone (Absorptionsgebiet) ein Elektron- solchen Substanzen sind sehr instabil und zei-
Loch-Paar erzeugt, dann driftet das Elektron nach gen erhebliches Rauschen. Gut kontrollierbare
links in das p-Gebiet, wo es durch die hohe Verhältnisse liegen nur dann vor, wenn die La-
elektrische Feldstärke stark beschleunigt wird. dungsträgersorte mit dem größeren Ionisations-
Ist seine kinetische Energie größer als Eg , dann koeffizienten in die Multiplikationszone injiziert
besteht die Möglichkeit, dass bei einem Zu- wird. In Silicium sind dies die Elektronen, in Ger-
sammenstoß mit einem anderen Elektron dieses manium die Löcher.
aus seiner Bindung gerissen und ins Leitungs- Der Multiplikationsfaktor M hängt von
band gehoben wird. Dieser als Stoßionisation der angelegten Sperrspannung UR ab. Abbil-
bezeichnete Vorgang ist der zum Auger-Effekt dung 6.61 zeigt den typischen Verlauf für eine Si-
(Abschn. 6.3.1) inverse Prozess. Das primär vor- APD. Der Verlauf der Kurve oberhalb des Knies
handene und das durch Stoßionisation erzeugte lässt sich näherungsweise beschreiben durch
freie Elektron werden erneut beschleunigt und
können bei genügender Strecke wieder so viel 1
M D  m : (6.53)
UR I RS
Energie aufnehmen, dass sie durch Stoßionisa- 1 UBr
tion neue Elektron-Loch-Paare schaffen können.
Bei genügender Breite der Multiplikationszone UR ist die angelegte Sperrspannung, I  RS der
kann sich dieser Vorgang mehrmals wiederholen Spannungsabfall über dem Serienwiderstand der
und so zu einer Ladungsträgerlawine führen. Die Diode, m ist ein durch Anpassung zu bestim-
Verstärkung des primären Fotostroms Iph nach mender Faktor, der von der Diodenstruktur, dem
Gl. 6.43 wird durch einen Multiplikationsfaktor Material und der Beleuchtung abhängt (m < 1).
354 R. Martin

Es existiert demnach ein Verstärkungs-Band-


breite-Produkt

1
M B D D const : (6.56)
2 Tr k

Typische M  B-Produkte sind 150 GHz bis


300 GHz bei Si, 20 GHz bis 30 GHz bei Ge und
30 GHz bis 80 GHz bei InGaAs=InP.

Beispiel 6-13
Eine InGaAs-APD hat ein Verstärkungs-
Bandbreite-Produkt von M B D 60 GHz. Mit
welcher Bandbreite können Signale nachge-
wiesen werden bei einem Multiplikationsfak-
tor von M D 30?

Abb. 6.61 Typischer Verlauf des Multiplikationsfak- Lösung


tor M einer Si-APD als Funktion der angelegten Sperr-
spannung UR
Die Bandbreite ist B D 60 GHz = 30 D
2 GHz.

Das Modulationsverhalten der Lawinendiode Rauschen in APDs Der Vorteil der APD, näm-
ist sehr komplex. Fällt sinusförmig modulierte lich die eingebaute Verstärkung führt zu zusätzli-
Strahlung auf die APD, dann wird wie bei der chem Rauschen, denn der Verstärkungsfaktor M
pin-Diode ein sinusförmig modulierter Strom er- ist nicht konstant, sondern statistisch regellos
zeugt (Abb. 6.59). Für dessen Amplitude gilt schwankend. Das mittlere Rauschstromquadrat
des Schrotrauschens wird
IOph .!/ D IOph .! D 0/  H.!/ ; 2
ish D 2e jI j BM 2 :

wobei die Modulationsantwort gegeben ist durch Setzt man M als konstant an, dann ist das mitt-
lere Verstärkungsquadrat schreibbar als M 2 D
1 2
H.!/ D p : (6.54) M F .M / wobei der Zusatzrauschfaktor F .M /
1 C .! A /2 gegeben ist durch

A ist die Lawinenansprechzeit. Bei großen Mul- F .M / D M k C .1  k/.2  1=M / : (6.57)


tiplikationsfaktoren M gilt A D Tr M k; Tr D
w=vS ist die Transitzeit zum Durchqueren der Wie schon eingangs erwähnt wurde, ist das Zu-
Hochfeldzone und k das Verhältnis der Ionisati- satzrauschen gering, wenn k klein ist. Für prakti-
onskoeffizienten: k D ˛n =˛p für ˛n < ˛p bzw. sche Anwendungen kann der Zusatzrauschfaktor
k D ˛p =˛n für ˛p < ˛n . angenähert werden durch
Definiert man die elektrische 3-dB-Bandbreite
p
wieder durch die Abnahme von H auf 1= 2, F  Mx : (6.58)
dann ergibt sich
Typische Werte des Exponenten sind x D 0;2 bis
1 1 0,5 für Si, 0,9 bis 1,0 für Ge und 0,7 bis 0,8 für
B D f3dB D D : (6.55)
2 A 2 Tr M k InGaAs.
6 Optoelektronik 355

Tab. 6.10 Typische Daten verschiedener APD-Fotodioden


p
Halbleiter Wellenlänge Durchmesser Gain Dunkelstrom NEP = B D
in nm d in mm M Id in nA in W Hz1=2 in cm Hz1=2 W1
Si 850 0,8 100 100 80  1015 8;8  1011
Ge 1300 0,1 18 300 480  1015 1;8  1010
InGaAs 1300 0,2 10 10 30  1015 5;9  1011

Damit ergibt sich für das Signal-Rausch-


Verhältnis einer APD (s. Gl. 6.51 für pin-Dioden)

S .<˚O /2 1
D h O  i  :
N 4e < ˚ C ˚ C I M x C 8kT B
m b d M 2 RL
(6.59)

Obwohl die APD im Vergleich zur pin-Diode zu-


sätzliches Rauschen zeigt, kann sie günstigere
NEP-Werte liefern weil der thermische Rausch- Abb. 6.62 Schematischer Aufbau einer Solarzelle
beitrag des Lastwiderstandes um den Faktor M
heruntergesetzt wird. Energie gestattet. Als umweltfreundliche Metho-
In Tab. 6.10 sind typische Kenngrößen ver- de der Energieumwandlung hat die Solarzelle
schiedener APD-Dioden zusammengestellt. eine große Bedeutung gewonnen.
Der fotovoltaische Effekt wurde 1839 von Bec-
Beispiel 6-14 querel beobachtet, der Silberelektroden in einem
Wie groß ist die rauschäquivalente Leis- Elektrolyten bestrahlte und feststellte, dass da-
tung einer APD mit folgenden Daten: < D durch ein Strom induziert wurde. 1954 gelang
0;88 A=W, RL D 1 k, x D 0;75, B D Chapin, Fuller und Pearson bei den Bell Labo-
100 MHz, m D 100 %? Hintergrundrauschen ratorien der Bau der ersten Solarzelle auf der Ba-
und Dunkelstromrauschen werden vernachläs- sis von einkristallinem Silicium mit einem Wir-
sigt. kungsgrad von 6 %. Durch intensive Forschung
und Entwicklung konnte der Wirkungsgrad inner-
Lösung halb von 40 Jahren etwa vervierfacht werden.
Aus Gl. 6.59 folgt für S=N D 1 die minimale
Leistungsamplitude durch Lösen der quadrati-
schen Gleichung 6.5.6 Wirkungsweise

<2 O 2 8kT Die Solarzelle ist grundsätzlich eine Fotodiode


˚  4e<M x ˚O  2 D 0: mit großer Fläche (Abb. 6.62). Durch absor-
B M RL
bierte Photonen gebildete Elektron-Loch-Paare
˚O min .M D 59/ D 2;13 nW; werden infolge des eingebauten elektrischen Fel-
NEP D 1;51 nW; des getrennt. Dabei werden die Elektronen zum
p p n-Kontakt, die Löcher zum p-Kontakt befördert
NEP = B D 1;51  1013 W= Hz : (Abb. 6.50). Die Deckfläche der Solarzelle ist
mit einem Gitter dünner Kontaktfinger versehen,
die den erzeugten Fotostrom ableiten. Wegen des
6.5.5 Solarzelle hohen Reflexionsgrades der Halbleiter muss die
Oberfläche der Zelle stets mit einer reflexver-
Die Solarzelle ist ein Bauelement, das die direk- mindernden Schicht versehen werden. Da der
te Umwandlung von Sonnenenergie in elektrische Absorptionskoeffizient beim indirekten Halblei-
356 R. Martin

Abb. 6.63 Strom-


Spannungs-Kennlinie einer
Si-Solarzelle bei Standard-
Testbedingungen (STC).
Zellengröße 15 cm 15 cm

ter Silicium nur langsam mit der Photonenenergie vor, wenn die Fläche des grauen Rechtecks maxi-
ansteigt (Abb. 6.45), benötigen Si-Solarzellen ei- mal ist, nämlich
ne Dicke von 200 m bis 300 m. Solarzellen
aus direkten Halbleitern wie beispielsweise GaAs Pm D Im Um : (6.63)
kommen dagegen mit Dicken von 1 m bis 2 m Der zugehörige Arbeitspunkt ist in Abb. 6.63 mit
aus. MPP (Maximum Power Point) gekennzeichnet.
Im Kurzschlussbetrieb fließt durch die Solar- Da sich die Kennlinie mit der Sonneneinstrahlung
zelle ein Fotostrom IK , der proportional ist zur verändert, muss für effektiven Betrieb der Last-
eingestrahlten Leistung ˚e : widerstand durch eine elektronische Schaltung so
angepasst werden, dass stets im Punkt maximaler
IK ˚e D Ee A : (6.60) Leistung gearbeitet wird. Ein erster Schätzwert
für den optimalen Lastwiderstand ist
Bei gegebener Bestrahlungsstärke Ee steigt der
Strom und damit die produzierte elektrische Leis- UL
RL,opt  : (6.64)
tung proportional zur Fläche A. IK
Im Leerlaufbetrieb ist an den Kontakten der
Das Verhältnis der grau schraffierten Recht-
idealen Solarzelle nach Gl. 6.46 die Leerlauf-
eckfläche in Abb. 6.63 zur größten denkbaren
spannung
Rechteckfläche, gebildet durch den Kurzschluss-
    strom IK und die Leerlaufspannung UL; wird als
kT IK kT IK
UL D ln C1  ln Füllfaktor bezeichnet:
e IS e IS
(6.61) Im Um Pm
abgreifbar. FF D D : (6.65)
IK UL IK UL
Zeichnet man – anders als in Abb. 6.54 – die
Kennlinie der Solarzelle im ersten Quadranten, Der Füllfaktor ist ein Maß für die Güte der Zelle.
so gilt für die Strom-Spannungs-Kennlinie einer Er beträgt 70 % bis 85 %.
idealen Solarzelle (Abb. 6.63)
Beispiel 6-15
 eU
 Wie groß ist der Füllfaktor der Zelle von
I D IK  IS e kT 1 : (6.62)
Abb. 6.63?

Ist der Lastwiderstand im Außenkreis RL , Lösung:


dann definiert der Schnittpunkt der Widerstands- Aus dem Diagramm wird entnommen: UL D
geraden I D U=RL mit der Kennlinie den 0;6 V, Um D 0;49 V, IK D 7;6 A, Im D 6;7 A.
Arbeitspunkt. Der optimale Lastwiderstand liegt Damit wird FF D 72 %.
6 Optoelektronik 357

Tab. 6.11 Temperaturkoeffizienten der Betriebsparameter


von Silicium-Solarzellen
Größe Temperaturkoeffizient
Leerlaufspannung UL 0;5 %=K
Kurzschlussstrom IK C0;1 %=K
elektrische Leistung Pm 0;44 %=K

Abb. 6.64 Ersatzschaltbild einer realen Solarzelle

die Parallelschaltung den Strom. Der Wirkungs-


Die Kennlinie einer realen Solarzelle weicht grad eines Moduls ist typischerweise um 1 bis
etwas von der idealen nach Gl. 6.62 ab. Abbil- 2 Prozentpunkte niedriger als der Wirkungsgrad
dung 6.64 zeigt ein Ersatzschaltbild einer realen einer durchschnittlichen Zelle.
Solarzelle. Der Parallelwiderstand Rp berück- Die Eigenschaften der Solarzelle sind tempe-
sichtigt Leckströme über die Oberfläche sowie raturabhängig. Mit steigender Temperatur nimmt
Punktdefekte im pn-Übergang. Der Serienwider- die Leerlaufspannung ab, während der Kurz-
stand Rs entsteht durch den Ohm’schen Wider- schlussstrom leicht ansteigt. Tabelle 6.11 zeigt
stand des Halbleitermaterials, den Widerstand der eine Zusammenstellung der Temperaturkoeffizi-
Kontaktfinger sowie den Übergangswiderstand enten.
an der Halbleiter-Metall-Grenzfläche. Die Strom- Die Kennlinien von Solarmodulen sowie ihre
Spannungs-Kennlinie wird jetzt Einflussgrößen sind in Abb. 6.67 dargestellt.

 

e .U C IRs /
I D IK  IS exp 1 6.5.7 Wirkungsgrad
kT
U C IRs
 : (6.66) Der Wirkungsgrad einer Solarzelle ist definiert
Rp als Verhältnis der maximal entnehmbaren elektri-
schen Leistung Pm zur eingestrahlten optischen
Beide Widerstände verschlechtern den Füll-
Leistung ˚e :
faktor (Abb. 6.65). Bei besonders niedrigen Par-
allelwiderständen reduziert sich die Leerlauf- Pm IK UL FF
D D : (6.67)
spannung und bei besonders hohen Serienwider- ˚e Ee A
ständen verringert sich der Kurzschlussstrom.
Für den praktischen Betrieb werden Solar- Trotz großer Anstrengungen ist der Wirkungs-
zellen zu Modulen von 0,5 m2 bis 1 m2 Fläche grad handelsüblicher Solarzellen nicht höher als
(Abb. 6.66) verschaltet. Die Reihenschaltung der etwa 15 %. Die wichtigsten Verlustmechanismen
Zellen erhöht dabei die abgreifbare Spannung, sind in Tab. 6.12 zusammengestellt.

Abb. 6.65 Kennlinien realer Solarzellen. a Einfluss des Parallelwiderstandes Rp bei Rs D 0, Parameter Rp A in  cm2
b Einfluss des Serienwiderstandes Rs bei Rp D 1, Parameter Rs A in  cm2
358 R. Martin

Abb. 6.66 a Polykristalline Solarzelle, 156 mm 156 mm,  > 16 %, b Modul mit 54 Zellen, 1500 mm 990 mm,
 D 14;4 %,Werkfotos Kyocera Solar, Esslingen

a c

Abb. 6.67 Strom-Spannungs-Kennlinien des Moduls c Testergebnisse bei STC (25 ı C, 1000 W=m2 , AM 1,5),
von Abb. 6.66, a bei verschiedenen Temperaturen, STC, Kyocera Solar, Esslingen
b bei verschiedenen Bestrahlungsstärken und 25 ı C,
6 Optoelektronik 359

Tab. 6.12 Verluste in Si-Solarzellen


Optische Verluste Elektrische Verluste
 Reflexion an der Oberfläche  3 %  Interne Zellenverluste infolge des Serienwiderstandes
 Abschattung durch Kontaktfinger  3 % des Zellenmaterials und der Kontaktfinger  1 %
 Photonen mit überschüssiger Energie  32 %  Rekombination von Ladungsträgern in Basis und
 Photonen mit ungenügender Energie  24 % Emitter  22 %

Abb. 6.68 Spektrale


Bestrahlungsstärke des
Sonnenlichts außerhalb
der Erdatmosphäre (AM0)
und auf der Erdoberfläche
(rot, AM 1,5). g ist die
Grenzwellenlänge für
Absorption in Silicium

Entscheidend für die optischen Verluste ist energie nicht ausreicht, um ein Elektron-Loch-
die in Abb. 6.68 dargestellte spektrale Bestrah- Paar zu bilden. Strahlung mit < g wird
lungsstärke Ee; des Sonnenlichts. Außerhalb der zwar absorbiert, aber die überschüssige Energie
Lufthülle (AM0, Air Mass Zero) entspricht die Eph  Eg wird in der Solarzelle in Wärme ver-
Verteilung etwa der eines schwarzen Strahlers mit wandelt. Wenn also beispielsweise ein Photon
T D 5960 K. Die integrale Bestrahlungsstärke mit der Energie Eph D 2 eV ( D 620 nm) in
Si absorbiert wird, dann wird die Energie Eg D
Z1 1;11 eV elektrisch nutzbar, während 0,89 eV in
W
Ee D Ee, d D 1353 (6.68) Wärme umgesetzt wird. Stünde ein Halbleiter mit
m2
0 Eg D 2 eV zur Verfügung, dann könnte die Ener-
gie dieses Photons zu 100 % elektrisch genutzt
wird als Solarkonstante bezeichnet. Je nach Ein- werden. Für maximale Ausnutzung des Sonnen-
strahlwinkel und Weglänge der Strahlen durch spektrums sollte also für jede Photonenenergie
die Atmosphäre wird die Bestrahlungsstärke in- der Halbleiter mit passender Energielücke zur
folge von Absorption an Luftmolekülen verrin- Verfügung stehen. Dieser Idealfall kann angenä-
gert. Wird die Lufthülle senkrecht durchstrahlt, hert werden durch Tandemzellen, wobei Halblei-
spricht man von AM1-Verhältnissen (Air Mass ter mit verschiedenen Energielücken hinter ein-
One). Bei AM2 legen die Strahlen den doppel- ander angeordnet werden. Theoretisch könnten
ten Weg zurück usw. Als Standard zur Messung solche Strukturen Wirkungsgrade von über 50 %
des Wirkungsgrades wurde das AM1,5-Spektrum besitzen. Praktisch wurden beispielsweise bei der
mit Ee D 1000 W=m2 festgelegt (STC, Standard Kombination GaAs=Si 31 % gemessen.
Test Conditions). Die größten elektrischen Verluste entstehen
Der ganze Teil des Spektrums, der in durch Rekombination der Ladungsträger an der
Abb. 6.68 rechts von der Grenzwellenlänge g Grenzfläche zwischen der p-dotierten Basis und
liegt, wird nicht absorbiert, weil die Photonen- der metallisierten Rückseite (Abb. 6.62). Die Re-
360 R. Martin

kombination wird stark reduziert, wenn der Halb- gesucht (Tab. 6.13). Sowohl beim einkristalli-
leiter passiviert wird durch eine dünne Schicht nen als auch beim polykristallinen Si werden
aus SiO2 oder SiN. Da diese Schicht elektrisch durch Sägen aus Blöcken (ingots) die Wafer mit
isoliert, müssen viele punktförmige Kontakte ungefähr 300 m Dicke heraus gesägt. Dabei
durch die Schicht hergestellt werden. Ein kleines entsteht ein Abfall von etwa der Hälfte des Aus-
Gebiet mit hoher p-Dotierung erzeugt ein elek- gangsmaterials. Ungefähr 50 % der Kosten für
trisches Feld, das die Elektronen von den Kon- ein Solarmodul entfallen auf die Herstellung der
takten fern hält (local back surface field). In der Wafer. Günstiger ist es, polykristallines Silicium
Forschung sucht man nach preisgünstigen Ver- in Form von Bändern direkt aus der Schmel-
fahren, um diese Tausende von Punktkontakten ze zu ziehen, obwohl der Wirkungsgrad dieses
an der Zellenrückseite herzustellen. Wenn dieses Folien-Siliciums wegen vermehrter Kristallde-
Problem gelöst ist, sollten Si-Solarzellen in der fekte etwas niedriger liegt. Dünnschichtzellen aus
Massenproduktion mit Wirkungsgraden von etwa amorphem Silicium (direkter Halbleiter) benöti-
20 % möglich sein. gen wenig Material. Der Wirkungsgrad degra-
Der Wirkungsgrad einer Solarzelle steigt mit diert aber im Laufe der Zeit und kommt längst
der eingestrahlten Leistung. Wird der Wirkungs- nicht an die Wirkungsgrade kristalliner Zellen
grad bei Ee;0 D 1000 W=m2 (STC) mit 0 be- heran.
zeichnet, so folgt mithilfe der Gln. 6.61 und 6.67 GaAs ist mit einer Energielücke von Eg D
der Wirkungsgrad bei der Bestrahlungsstärke Ee 1;4 eV optimal an das Sonnenspektrum ange-
zu passt. Die höchsten bislang erreichten Wirkungs-
grade wurden daher mit GaAs-Zellen erreicht.
ln.Ee =Ee,0 / C eUL,0 =.kT / Wegen der sehr hohen Herstellungskosten mit der
 D 0 : (6.69)
e UL,0 =.kT / Methode der Dünnschichtepitaxie werden GaAs-
Zellen meist nur für Weltraumanwendungen ein-
Es kann also interessant sein, das Sonnenlicht gesetzt.
beispielsweise mittels mikrooptischer Bauele- Interessante Alternativen zu Silicium für ter-
mente zu konzentrieren, um einen höheren Wir- restrische Anwendungen sind Dünnschichtzel-
kungsgrad bei gleichzeitig geringerem Material- len aus CdTe und verschiedenen Chalkopyri-
verbrauch zu erhalten. ten (Tab. 6.13). CuInSe2 (Eg D 1;05 eV) und
CuInS2 (Eg D 1;55 eV) werden als CIS-Zellen
Beispiel 6.16 bezeichnet. Durch stöchiometrische Mischung
Um welchen Bruchteil steigt der Wirkungs- mit CuGaSe2 (Eg D 1;68 eV) und CuGaS2
grad einer Si-Solarzelle, wenn die Bestrah- (Eg D 2;3 eV) können quintinäre Verbindungen
lungsstärke auf das Hundertfache gegenüber Cu(In,Ga)(S,Se)2 – kurz CIGSSe – hergestellt
STC erhöht wird? werden, deren Energielücke optimal an das Son-
nenspektrum angepasst werden kann.
Organische Solarzellen aus organischen Halb-
leitermaterialien (Abschn. 6.4.2) haben großes
Lösung Potenzial als preiswerte Alternative zu anor-
Für Si ist UL;0  0;6 V (Abb. 6.63), so dass für ganischen Halbleitern. Ein Problem ist derzeit
300 K aus Gl. 6.69 folgt:  D 0 ln.100/C23;2
23;2 D noch die relativ kurze Lebensdauer von etwa
0  1;198. Der Wirkungsgrad steigt also um 5000 h.
20 %.

6.5.7.1 Technologie 6.5.8 Fototransistor


Das nach wie vor wichtigste Material zum Bau
von Solarzellen ist Silicium. Wegen der hohen Der Fototransistor ist wie die APD ein Detektor
Materialkosten wird intensiv nach Alternativen mit innerer Verstärkung. Abbildung 6.69a zeigt
6 Optoelektronik 361

Tab. 6.13 Wirkungsgrade verschiedener Solarzellen


Material Wirkungsgrad in %
Labormuster Industrielle Fertigung
Si Einkristallin 23 16 bis 20
Si Polykristallin 20 14 bis 16
Si Polykristallines Band 13 bis 18 11 bis 16
Si Amorphe Dünnschicht 13 7 bis 8
GaAs Einkristallin 25 15 bis 20
CdTe Dünnschicht 16 9 bis 10
Cu(In,Ga)(S,Se)2 Dünnschicht 20 9 bis 12
CuInS2 Dünnschicht 12,7
CuGaSe2 Dünnschicht 9,3
OPV Organische Solarzellen 10

Abb. 6.69 Bipolarer Fototransistor: a Aufbau, b Schaltsymbol nach DIN EN 60617-5 und Ersatzschaltbild, c Kennli-
nienfeld

den Aufbau eines npn-Bipolartransistors. Der leicht an, was zur Folge hat, dass Elektronen vom
Basis-Kollektor-Übergang ist großflächig ausge- Emitter in die Basis und weiter zum Kollektor
führt und in Sperrrichtung gepolt. Durch Pho- fließen.
tonenabsorption erzeugte freie Elektron-Loch- Der Kollektorstrom ist deshalb größer als
Paare werden im elektrischen Feld der Basis- Iph D hf ˚e
e . /, der primäre Fotostrom nach
Kollektor-Diode getrennt. Die Elektronen flie- Gl. 6.44. Für den Kollektorstrom ergibt sich:
ßen zum Kollektor, die Löcher zur Basis und
IC D .B C 1/ .Iph C ICB,d / : (6.70)
von dort weiter über den flussgepolten Basis-
Emitter-Übergang zum Emitter. Dadurch steigt B ist der Stromverstärkungsfaktor in Emitter-
die Flussspannung an der Basis-Emitter-Diode schaltung, ICB;d der Dunkelstrom der Basis-Kol-
362 R. Martin

lektor-Diode. Der Kollektorstrom ist also nähe-


rungsweise um den Stromverstärkungsfaktor grö-
ßer als der Fotostrom:

IC  B Iph : (6.71)

Abb. 6.70 Darlington- Fototransistor


Typische Werte für die Stromverstärkung liegen
bei B D 100 bis 1000.
Durch die interne Verstärkung ist auch die der aber mit einer Grenzfrequenz in der Größen-
Empfindlichkeit des Fototransistors um den Fak- ordnung von 10 kHz relativ langsam ist.
tor B der Stromverstärkung größer als bei der Aufgrund seiner niedrigen Grenzfrequenz
einfachen Fotodiode. Während dort die Empfind- wird der Fototransistor vor allem dort eingesetzt,
lichkeit typischerweise S  0;5 A=W beträgt wo es nicht auf hohe Geschwindigkeit, aber auf
(Abb. 6.53), ist sie beim Fototransistor 100- bis große Empfindlichkeit ankommt, beispielswei-
1000-mal größer. se bei Lichtschranken, Lochkartenlesern, Opto-
Die Wirkungsweise des Fototransistors kann kopplern, Lichtleistungsmessgeräten.
ersatzweise so beschrieben werden, als ob nach Die lichtempfindlichen Eigenschaften der
Abb. 6.69b eine Fotodiode zwischen Basis und Feldeffekttransistoren werden beim Fotofeldef-
Kollektor eines normalen Transistors geschaltet fekttransistor ausgenutzt. Beim Sperrschicht-
wäre. Der Fotostrom Iph spielt die Rolle des feldeffekttransistor wird nach Abb. 6.71a die
Basisstroms, der um den Stromverstärkungsfak- Umgebung der Steuerelektrode beleuchtet. Da-
tor B verstärkt als Kollektorstrom IC zur Verfü- durch werden im Gate-pn-Übergang Ladungsträ-
gung steht. ger freigesetzt, die zu einem Anstieg des Ga-
Das Ausgangskennlinienfeld nach Abb. 6.69c testromes führen. Über den Gatewiderstand RG
unterscheidet sich nicht grundlegend von dem ei- führt dies zu einer Änderung der Gatespannung
nes normalen Transistors. Lediglich ist anstelle und damit zu einem Anstieg des Drainstroms.
des Basisstroms die Beleuchtungsstärke Ev als Die Ausgangsspannung am Lastwiderstand RL
Parameter aufgetragen. Am Basisanschluss kann ist näherungsweise quadratisch von der Bestrah-
die Verstärkung eingestellt werden, meist ist er lungsstärke abhängig. Die Empfindlichkeit des
aber gar nicht herausgeführt. Foto-FET ist etwa S  100 A=W. Wird nach
Das Zeitverhalten des Fototransistors wird be- Abb. 6.71b Source und Drain verbunden, arbeitet
stimmt durch die Diffusionszeit der Minoritäts- das Bauelement als Fotodiode mit S  1 A=W.
ladungsträger durch die Basis, sowie eine RC- Die höchste Empfindlichkeit von etwa S 
Zeitkonstante mit der Kapazität der Kollektor- 1000 A=W ergibt sich im Betrieb als Fotoleiter,
Basis-Diode. Diese an sich bereits große Kapazi- wenn nach Abb. 6.71c Gate und Source mitein-
tät (große Fläche des Kollektor-Basis-Übergangs) ander verbunden werden.
wird noch mit dem Stromverstärkungsfaktor mul-
tipliziert. Die Anstiegs- und Abfallzeiten han-
delsüblicher Fototransistoren liegen bei eini- 6.5.9 Fotothyristor
gen s (Lastwiderstand RL D 1 k). Die 3-
dB-Grenzfrequenz liegt daher bei einigen hundert Der Fotothyristor besteht wie der normale Thy-
kHz. Wesentlich höhere Werte lassen sich nach ristor aus vier p- und n-Schichten (Abb. 6.72).
dem Schema von Abb. 6.69b erzielen, wenn eine Die Zündung wird aber nicht durch einen Strom-
diskrete Fotodiode mit einem normalen (kleinflä- puls über die Gate-Elektrode herbeigeführt, son-
chigen) Transistor zusammengeschaltet wird. dern durch Bestrahlung des sperrenden mittle-
Eine besonders große Verstärkung (B  104 ) ren pn-Übergangs. Die sperrende Diode schaltet
hat der Darlington- Fototransistor (Abb. 6.70), durch, wenn bei genügender Strahlungsleistung
6 Optoelektronik 363

Abb. 6.71 Schaltung des


Fotofeldeffekttransistor

6.5.10 Bildsensoren

Die bisher behandelten Detektoren geben ein


elektrisches Signal ab, das von der insgesamt
auftreffenden Strahlungsleistung abhängt. Um
Bilder von Gegenständen aufzunehmen, wur-
den integrierte Fotosensoren auf Halbleiterbasis
(meist Si) entwickelt, die imstande sind, Hel-
ligkeitsverteilungen in Ladungsbilder umzuwan-
deln. In den fotoempfindlichen Elementen, den
sogen. Pixeln (Kunstwort aus den engl. Wör-
tern picture element) wird die auffallende Strah-
lung in eine zur Bestrahlungsstärke proportionale
Abb. 6.72 Schema des Fotothyristor
Ladungsmenge und anschließend in elektrische
Spannungen umgewandelt.
Als lichtempfindliche Elemente eignen
die Raumladungszone mit Elektron-Loch-Paaren sich Fotodioden oder MOS-Kondensatoren
überschwemmt wird. Der gezündete Thyristor (Abb. 6.73). Die durch absorbierte Photonen
bleibt auch nach Abschalten der Lichtquelle lei- erzeugten Elektron-Loch-Paare werden in der
tend. Das Abschalten erfolgt, sobald der Strom Verarmungszone getrennt, wonach die Löcher in
unter den Haltestrom absinkt oder durch Lösch- das p-Substrat abfließen. Die Elektronen sam-
impulse. Bei Wechselspannungsbetrieb schaltet meln sich im entstandenen Potenzialtopf, bis sie
der Thyristor bei jedem Nulldurchgang der Span- am Ende der Integrationszeit von der Auslese-
nung ab, so dass er bei jeder positiven Halbwelle schaltung abtransportiert werden.
neu gezündet werden muss. Zur Erzeugung von Farbbildern wird das
Zur Zündung ist eine Strahlungsleistung von Licht durch Filter in die Grundfarben Rot, Grün
einigen mW erforderlich, die von einer LED und Blau zerlegt, die man getrennt nachweist.
oder Laserdiode geliefert und beispielsweise mit Dies kann dadurch geschehen, dass jede Far-
Hilfe eines Lichtleiters dem Fotothyristor zuge- be mit einem besonderen Sensor nachgewiesen
führt wird. Auf diese Weise wird eine Potenzial- wird, ein sehr aufwändiges Verfahren, oder dass
trennung zwischen Steuereinheit und Hochspan- man ein mosaikartiges Muster von Farbfiltern
nungsthyristor erreicht. (Bayer-Filter) vor die einzelnen Pixel eines Sen-
364 R. Martin

Abb. 6.73 Fotoelemente a b


eines Bildsensors: a einfa-
cher MOS-Kondensator,
b Fotodiode mit ver-
grabenem n-Kanal. Das
leitfähige Poly-Silicium ist
wie das isolierende Sili-
ciumdioxid im sichtbaren
Spektralbereich transparent

sors setzt. Farbzwischenwerte werden rechne- einer Eimerkette in das Ausleseregister verscho-
risch ermittelt. ben, wonach ein Ladungspaket nach dem ande-
Die Umwandlung der Bildhelligkeit in ei- ren in den Ausleseverstärker verschoben wird,
ne elektrische Spannung und deren Auslesen in in dem die Ladungs-Spannungs-Wandlung und
einen Speicher erfolgt entweder mit einem CCD- Verstärkung stattfindet. Die seitliche Trennung
Sensor oder mit einem CMOS Active-Pixel Sen- der Kanäle in Abb. 6.74 geschieht dadurch, dass
sor. durch p-Dotierung mittels Bor-Ionenimplantation
ein seitlicher Potenzialwall für die Elektronen er-
6.5.10.1 CCD-Sensoren zeugt wird. Besonders günstig wirkt es sich aus,
Der CCD-Sensor (engl. charge-coupled de- wenn zwischen dem p-Substrat und der Oxid-
vice) wurde von W ILLARD B OYLE und schicht eine dünne n-Schicht eingebaut ist. Dieser
G EORGE S MITH 1969 (Nobelpreis 2009) bei vergrabene Kanal (Abb. 6.73b) stellt eine Poten-
den Bell-Labs zunächst als Speicherchip für zialmulde dar, in der die Ladungsträger mit ge-
Computer entwickelt. Schon bald wurde die ringeren Verlusten transportiert werden, als direkt
Möglichkeit der Bildaufzeichnung erkannt, was an der Oberfläche des Halbleiters (Grenzfläche
eine Revolution bei den Bildsensoren auslöste. Halbleiter=Oxid).
Abbildung 6.74a zeigt das Prinzip. Der Ladungstransfer geschieht längs einer
Nach der Belichtung werden die Ladungen Kette von MOS-Kondensatoren (das eigentliche
der einzelnen Pixel Reihe für Reihe wie bei CCD). Die in den Potenzialtöpfen der einzelnen

a b

Abb. 6.74 Umwandlung der durch die Bestrahlung generierten Ladung in eine elektrische Spannung: a analoger CCD-
Sensor, b digitaler Active-Pixel-Sensor (CMOS-Sensor)
6 Optoelektronik 365

Abb. 6.75 Ladungs-


transport in einem
3-Phasen-CCD

Kondensatoren gefangenen Ladungsträger wer-  Full-Frame-Transfer-CCD, FFT-CCD (Abb.


den dadurch verschoben, dass jeweils an eine 6.76a und 6.74a): Der ganze belichtete Be-
Nachbarelektrode eine Spannung angelegt wird, reich wird nach der Belichtung durch einen
so dass dort der Potenzialtopf tiefer wird und die mechanischen Verschluss abgedunkelt. Da der
Elektronen in diesen abfließen. Je nach Organisa- Ausleseprozess relativ lange dauert, ist der
tionsform werden Zwei-, Drei- und Vier-Phasen- FFT-CCD nicht für Videokameras geeignet. In
CCD unterschieden. Abbildung 6.75 zeigt das dieser Technologie können sehr große hoch
Prinzip eines Drei-Phasen-CCDs. An die drei auflösende Sensoren mit über 100 Megapixel
Taktleitungen ˚1 bis ˚3 werden in regelmäßiger gefertigt werden, wie sie beispielsweise in der
zeitlicher Abfolge solche Spannungen angelegt, Astronomie eingesetzt werden.
dass nacheinander die Potenzialmuster A bis E  Frame-Tranfer-CCD, FT-CCD (Abb. 6.76b):
entstehen. Die rot angedeuteten Ladungen fließen Hier sind Bildbereich und abgedeckter
dadurch von links nach rechts in die Auslese- Speicherbereich räumlich getrennt. Die ge-
stufe (in Abb. 6.74 von oben nach unten). Die samte Ladung wird sehr schnell innerhalb von
Verlustrate ist dabei sehr gering. Gute CCDs 500 s in den Speicher verschoben. Dadurch
haben einen Übertragungswirkungsgrad von na- wird ein Verschmierung (Smear-Effekt) bei
hezu 100 %. In einem Potenzialtopf können sich bewegten Objekten weitgehend vermieden.
bis zu 105 Elektronen befinden, wobei sich be- Solange der Inhalt der Speicherzone ausge-
reits etwa 20 auslesen lassen. Damit erzielt ein lesen wird, entsteht in der Bildzone das neue
CCD eine große Dynamik von etwa 1W104 . Ladungsbild. Die Fläche des Sensors ist dop-
Die Daten werden demnach seriell gebildet pelt so groß wie bei einem gleichwertigen
und liegen zunächst analog vor; erst nach einer FFT-CCD.
A=D-Wandlung hinter dem Ausgangsverstärker  Interline-Transfer-CCD, IT-CCD (Abb. 6.76c):
stehen digitale Daten der einzelnen Pixel zur Wei- Nach der Belichtung werden die Ladungen aus
terverarbeitung zur Verfügung. den Fotodioden innerhalb etwa 1 s seitlich in
Ist das Ladungsbild erzeugt, so sollen während die senkrechten Transferregister verschoben
des Verschiebens und Auslesens keine neuen La- und von dort in das Ausleseregister. Mit dem
dungen durch Belichtung erzeugt werden. Dies IT-CCD sind sehr kurze Belichtungszeiten
kann durch verschiedene Maßnahmen erreicht möglich. Aus Platzgründen ist die lichtemp-
werden, die in Abb. 6.76 dargestellt sind. findliche Fläche relativ klein. Mit kleinen
366 R. Martin

a b c

Abb. 6.76 CCD-Architekturen: Die lichtempfindlichen Flächen sind schraffiert, die abgedeckten schwarz. a Full-
Frame-Transfer-Sensor, b Frame-Transfer-Sensor, c Interline-Transfer-Sensor

Sammellinsen über jedem Sensorelement, anbringt, in das die überschüssigen Ladungs-


wird das Licht, das normalerweise auf den träger abfließen in Analogie zu einem Regen-
lichtunempfindlichen Teil fällt, auf den licht- überlaufbecken. Die Höhe der Potenzialbarriere
empfindlichen umgelenkt. Der Smear-Effekt zwischen dem Ladungsträgerspeicher und dem
ist etwas ausgeprägter als beim FT-CCD, da Drain-Gebiet wird durch eine elektrische Span-
die vertikalen Schieberegister seitliches Streu- nung über das Antiblooming Control Gate einge-
licht einfangen können. stellt.
 Frame-Interline-Transfer-CCD, FIT-CCD Thermisch erzeugte freie Ladungen sind na-
(nicht dargestellt in Abb. 6.76): Dieser Sensor türlich nicht unterscheidbar von optisch erzeug-
ist eine Kombination von FT- und IT-CCD. ten und verursachen zusammen mit anderen
Die Ladungen werden von den vertikalen Rauschquellen einen Rauschuntergrund. Wenn
Schieberegistern schnell nach unten in den ab- die Bestrahlungsstärke gering ist (Dunkelheit),
gedeckten Bereich verschoben. Dieser relativ kann daher das Bild mehr oder weniger ver-
teuere Chip wird vor allem bei Hochgeschwin- rauscht sein, wie Abb. 6.77 zeigt.
digkeitskameras eingesetzt. Die Verschiebung der Ladungen im CCD und
Wird der Sensor lokal stark beleuchtet, so anschließende Zuordnung der Spannungswerte
kann es vorkommen, dass die Zahl der erzeugten zu den einzelnen Pixeln verlangt ein absolut
Ladungsträger das Fassungsvermögen der Poten- präzises Einhalten des Taktes, der von einem
zialtöpfe übersteigt und deshalb die Ladungen in externen Taktgenerator (clock) erzeugt wird. Die-
die Umgebung abfließen. In diesem Fall blüht se und andere Funktionen bewirken, dass neben
bei der Wiedergabe der Lichtfleck nach allen dem eigentlichen CCD wenigstens drei bis acht
Seiten auf, was als Blooming bezeichnet wird. weitere Chips mit verschiedenen Spannungen be-
Diesem unangenehmen Effekt kann dadurch be- nötigt werden. Dies wird vermieden durch die
gegnet werden, dass man neben jedes Fotoele- nachfolgend beschriebene Integration verschie-
ment eine Senke (Drain) für Ladungsträger in dener Funktionen auf dem eigentlichen Bildsen-
Form eines tieferen Potenzialtopfes (nC -Gebiet) sor.
6 Optoelektronik 367

Abb. 6.77 Aufnahmen mit einem CCD-Sensor mit 480 560 Pixeln bei Blende 8 und verschiedenen Beleuchtungs-
stärken: a Ev D 240 lx, b Ev D 6 lx

6.5.10.2 CMOS Active-Pixel Sensor biltelefone mit Kamerafunktion einen CMOS-


Der CMOS-APS ist ein Bildsensor, der in Sensor. Generell gilt als Faustregel, dass klei-
CMOS-Technik gefertigt ist und oft kurz als nere Kameras mit CCD-, größere mit CMOS-
CMOS-Sensor bezeichnet wird. Hierbei besitzt Sensoren ausgestattet sind. Tabelle 6.14 zeigt
gemäß Abb. 6.74b jedes einzelne Pixelelement einen schematischen Vergleich der beiden Sen-
eine elektronische Schaltung ( Fotodiode und drei sortypen.
MOSFETs), welche die vom Licht erzeugte La-
dungsmenge direkt in ein Spannungssignal ver- 6.5.10.3 Sensorgrößen
wandelt. Im aktiven Pixelelement werden Funk- Bei gleicher Pixelanzahl steigt die Empfindlich-
tionen wie Belichtungskontrolle, Kontrastrege- keit eines Sensors und damit sein Signal-Rausch-
lung, Rauschunterdrückung, Verstärkung und Verhältnis mit seiner Fläche. Die Maße eines
A=D-Wandlung durchgeführt. Bildsensors können direkt angegeben werden,
CMOS-APS wurden erstmals von E RIC F OS - z. B. 12,8 mm 9,6 mm. Üblicher allerdings ist
SUM 1992 ausgiebig theoretisch behandelt. In eine Bezeichnung, die aus der Zeit der klas-
den Jahren 1993 bis 1995 wurden im Jet Propulsi- sischen Bildaufnahmeröhren (Vidikon) stammt.
on Laboratory die ersten experimentellen Studien Eine runde Aufnahmeröhre mit 1 Zoll Durch-
durchgeführt. Wie Abb. 6.74b zeigt, ist ein rela- messer hatte eine lichtempfindliche rechteckige
tiv großer Teil des Pixels „blind“, da die Fläche Fläche mit einer Diagonalen von 16 mm. Ent-
von der lichtunempfindlichen Elektronik einge- sprechend nennt man einen Sensor dieser Größe
nommen wird. Mit zunehmender Verfeinerung einen 1-Zoll-Sensor. Die üblichen Formate sind
der Lithografie und Herstellung von Strukturen in Abb. 6.78 dargestellt.
kleiner als 100 nm, gelang es, den Füllfaktor, das
ist der Anteil der fotoempfindlichen Fläche an der
Gesamtfläche des Pixels, auf vernünftige Werte 6.6 Optokoppler
zu steigern. Zudem kann mithilfe von Mikrolin-
sen das Licht, das auf die Elektronik fallen würde, Der Optokoppler oder Optoisolator ist ein Bau-
auf die Fotodiode gelenkt werden. element, das zwei galvanisch vollständig getrenn-
Während Mitte der 1990er Jahre die CMOS- te Stromkreise miteinander koppelt. Meist sind
Sensoren gegenüber den ausgereiften CCD- die beiden Kreise auf verschiedenem Potenzi-
Sensoren schlecht abschnitten und deshalb nur al. So kann beispielsweise mit dem Optoisolator
für Billiganwendungen taugten, hat sich mit den ein auf Hochspannung liegender Stromkreis von
Fortschritten der Lithografie das Blatt gewendet einem auf Erdpotenzial liegenden gesteuert wer-
und die CMOS-APS machen den CCDs auf vie- den. Die Kopplung erfolgt durch Infrarotstrah-
len Gebieten Konkurrenz. Heute haben alle Mo- lung, die meist von einer GaAs-IRED ausgesandt
368 R. Martin

Tab. 6.14 Eigenschaften Eigenschaft CCD CMOS_APS


von CCD- und CMOS- Kosten hoch Niedrig
Sensoren im Vergleich
Stromverbrauch Hoch Gering
Ausleserate der Bilder Niedrig Hoch
Blooming Empfindlich Unempfindlich
Füllfaktor, Lichtempfindlichkeit Hoch Niedrig
Rauschen Niedrig Hoch
Empfindlichkeitsunterschiede einzelner Pixel Gering Groß
Verzeichnung infolge Rolling-Shutter-Effekt bei Nicht ausgeprägt Ausgeprägt
schnell bewegten Objekten

Abb. 6.78 Gängige Chip-


Formate, Maße in mm

und von einem Si-Detektor empfangen wird. Ab- ein Messobjekt, das sich in einem bestimmten
bildung 6.79 zeigt eine Zusammenstellung von Abstand befinden muss.
Optokopplern mit verschiedenen Empfängern. Abbildung 6.81 zeigt zwei prinzipiell ver-
Die meisten Koppler haben einen Fototransistor schiedene Aufbauten von Sender und Empfänger.
(Fotodarlington) als Empfänger. Der Basisan- Wird der Empfänger vom Sender über einen
schluss ist häufig zur externen Beschaltung her- Licht leitenden Kunststoff direkt bestrahlt, so be-
ausgeführt. Einfache Fotodioden als Empfänger steht ein sehr guter Koppelwirkungsgrad. Infolge
werden kaum hergestellt; man kann eine solche des geringen Abstandes der Bauelemente ist aber
Diode aber erhalten, wenn man bei einem Foto- keine große Spannungsfestigkeit zu erzielen. Für
transistor den Emitter offen lässt und lediglich die größere Spannungen ist der Reflexionskoppler
Basis-Kollektor-Diode anschließt. geeignet, bei dem die von der IRED emittierte
Die Spannungsfestigkeit der Koppler Strahlung über ein reflektierendes Halbellipso-
schwankt zwischen 100 V und 50 kV; die meisten id auf den Detektor geleitet wird. Dieser Auf-
Typen sind ausgelegt für ca. 2 kV. Optokopp- bau ist wegen der sehr kleinen Koppelkapazität
ler haben einen Isolationswiderstand von etwa ( 0;3 pF) vorteilhaft. Sender und Empfänger
1011 . Die Isolationseigenschaften und wei- sind mit einem transparenten hochspannungsfes-
tere Eigenschaften sind in DIN EN 60747-5-5 ten Kunstharz vergossen und von einem Licht
sowie VDE 0884 festgelegt. Außer den in undurchlässigen Kunststoffgehäuse umgeben.
Abb. 6.79 dargestellten geschlossenen Kopp- Die genormten Schaltzeichen nach DIN EN
lern gibt es auch offene. Beim Gabelkoppler 60617-5 sind in Abb. 6.82 dargestellt.
(Gabellichtschranke) nach Abb. 6.80a kann Eine der wichtigsten Kenngrößen eines Op-
der Lichtweg zwischen Sender und Empfän- tokopplers ist das Stromübertragungsverhältnis
ger unterbrochen werden. Man verwendet ihn CTR (Current Transfer Ratio), auch als Koppel-
beispielsweise zur Erfassung von Drehwinkeln, faktor bezeichnet. Es gibt das Verhältnis von
Längencodierung, Stückzahlbestimmung usw. Ausgangsstrom IC zu Eingangsstrom IF an:
Häufig ist im Empfänger ein Logikbaustein
CRT D IC =IF (6.72)
(Schmitt-Trigger) integriert. Beim Reflexkoppler
(Reflexlichtschranke) nach Abb. 6.80b geschieht Das Stromübertragungsverhältnis hängt von der
die Kopplung von Sender und Empfänger über Strahlungsausbeute der Sendediode, den Eigen-
6 Optoelektronik 369

Abb. 6.79 Optokoppler mit verschiedenen Empfängern

Abb. 6.80 Offene Koppler, a Gabelkoppler, b Reflexionskoppler

Abb. 6.81 Aufbau von Optokopplern, a direkter Strahlengang, b Reflexionskoppler, c Optokoppler im DIP-Gehäuse
(Werkfoto: Siemens)
370 R. Martin

a b Tab. 6.15 Stromübertragungsverhältnis und Grenzfre-


quenz verschiedener Optokoppler
Empfänger CTR fgr
Fotodiode 0,001 bis 0,008 5 MHz bis 30 MHz
Diode 0,05 bis 0,4 1 MHz bis 9 MHz
und Transistor
Fototransistor 0,2 bis 1 20 kHz bis 500 kHz
Abb. 6.82 Schaltzeichen für Optokoppler nach Fotodarlington 1 bis 10 1 kHz bis 30 kHz
DIN EN 60617-5, a mit LED und Fototransistor, b mit
Schlitz und mechanischer Lichtsperre

Kopplern mit Fotodiode beobachtet. Das Strom-


schaften des Übertragungsmediums sowie der übertragungsverhältnis hängt auch von der Tem-
Empfindlichkeit des Fotodetektors ab. Tabel- peratur ab, allerdings nicht gravierend, da sich
le 6.15 gibt einen Überblick über die Werte bei zwei gegenläufige Effekte zum Teil kompensie-
verschiedenen Empfängern. Da die Zeitkonstante ren. Mit steigender Temperatur nimmt zwar die
mit zunehmendem Verstärkungsfaktor ebenfalls Strahlungsausbeute der Sendediode ab, jedoch
ansteigt, haben Koppler mit einem großen Kop- die Empfindlichkeit des Fototransistors zu. Der
pelfaktor eine niedrige obere Grenzfrequenz. Temperaturkoeffizient liegt meist unter 0,3 %=K.
Der Koppelfaktor ist leider nicht konstant, Abbildung 6.83b zeigt die typische Temperatur-
sondern vom Strom IF durch die Sendediode abhängigkeit mit dem Flussstrom der Sendediode
abhängig. Abbildung 6.83a zeigt den typischen als Parameter.
Verlauf für einen Fototransistor und einen Foto- Im Laufe des Betriebs von Optokopplern
darlington als Empfänger. Aus dem Diagramm nimmt der Stromübertragungsfaktor kontinuier-
folgt, dass insbesondere bei kleinen Strömen der lich ab (Degradation). Dies wird in erster Linie
IRED der Ausgangsstrom nicht proportional zum verursacht durch Alterungseffekte bei der IRED,
Eingangsstrom ist. Die beste Linearität wird bei aber auch durch eine Abnahme der Empfindlich-

Abb. 6.83 Stromübertragungsverhältnis CTR in Abhängigkeit a vom Flussstrom IF der Sendediode (UCE D 5 V),
b von der Temperatur bei einem Fototransistor (UCE D 10 V)
6 Optoelektronik 371

Abb. 6.84 Analogübertragung mit Optokopplern, a einfache NF-Übertragung, b Verwendung von U=f - und f =U -
Wandlern

keit bzw. der Stromverstärkung der Ausgangsstu-


fe sowie eine verminderte Transparenz des Licht
leitenden Kunststoffs. Die Abnahme des Koppel-
faktors ist bei verschiedenen Exemplaren eines
Typs sehr verschieden, im Allgemeinen wird ei-
ne relative Streuung (Standardabweichung) der
Abnahme von ca. 50 % beobachtet. Wird als Le-
bensdauer die Zeit definiert, nach welcher der
Koppelfaktor auf 50 % des Neuwertes abgenom-
men hat, so kann im Mittel mit einer Lebens- Abb. 6.85 Ansteuerung von logischen Schaltungen, a in-
dauer von etwa 104 bis 105 Stunden gerechnet vertierend, b nicht invertierend
werden. Die Lebensdauer wird verkürzt durch
große Ströme, hohe Temperatur und Spannung.
Keinesfalls darf im Betrieb die in den Daten- in beliebiger Technologie eingesetzt werden. Ab-
blättern angegebene Prüfspannung angelegt wer- bildung 6.85 zeigt zwei Beispiele.
den.
Von der riesigen Zahl von Schaltungen, die
mit den verschiedenen Optokopplern möglich 6.7 Lichtwellenleiter
sind, sollen nur wenige vorgestellt werden. Ab-
bildung 6.84 zeigt zwei Schaltungen zur Übertra- Nach Abb. 6.1 besteht das Prinzip der optischen
gung von Analogsignalen. Die Ansteuerung des Nachrichtenübertragung darin, dass die Strah-
Senders in Teilbild a entspricht der Schaltung lungsleistung eines optischen Senders durch
von Abb. 6.20a, der Empfangstransistor ist als elektrische Signale moduliert wird. Das mo-
Emitterfolger geschaltet. Die Aussteuerung darf dulierte Lichtsignal, das die zu übermittelnde
nicht zu groß sein, um die Verzerrungen in Gren- Information trägt, wird auf einem dielektrischen
zen zu halten. Für höhere Ansprüche kann gemäß Lichtwellenleiter (LWL) geführt und einem Emp-
Teilbild b die Eingangsspannung in eine Impuls- fänger zugeleitet, der das elektrische Signal
folge umgewandelt werden, deren Frequenz zur wieder gewinnt. Als Sender werden schnell mo-
Spannung proportional ist. Nach der Übertragung dulierbare LEDs oder Halbleiterlaser eingesetzt
wird die Impulsfolge wieder in eine proportionale (Abschn. 6.3); geeignete Empfänger sind Fotodi-
Spannung umgesetzt. Die Linearität der Übertra- oden (Pin, APD, Abschn. 6.5.4). Gegenüber der
gung hängt in diesem Fall nicht vom Koppler, klassischen Nachrichtenübertragung auf Kupfer-
sondern von den Eigenschaften der Wandler ab. kabeln weist diese Technik große Vorteile auf:
Optokoppler mit Transistorausgang können zur  große Übertragungsbandbreite,
direkten Ansteuerung von logischen Schaltungen  geringe Dämpfung,
372 R. Martin

Abb. 6.86 Fasertypen: a Stufenindexfaser (step-index fiber), b Gradientenfaser (graded-index fiber)

 Potenzialtrennung von Sender und Empfän- reichend flach auf die Grenzfläche auftrifft. Dazu
ger, muss der Winkel " zwischen Strahl und Lot grö-
 unempfindlich gegenüber elektromagneti- ßer sein als der Grenzwinkel der Totalreflexion "g ,
schen Störfeldern, der gegeben ist durch
 kein Nebensprechen, hohe Abhörsicherheit,
 unempfindlich gegenüber chemisch aggressi- sin "g D n2 =n1 : (6.73)
ver Umgebung,
 kleiner Kabeldurchmesser und geringes Ka- Durch Anwendung des Snellius’schen Bre-
belgewicht. chungsgesetzes (W. S NEL VAN ROYEN, 1591
Neben der klassischen Datenübertragung im bis 1626) auf die Brechung an der Faserstirnflä-
LAN (Local Area Network) und WAN (Wide che folgt für den zusammenhang zwischen dem
Area Network) hat die Datenübertragung durch Neigungswinkel  eines Strahles relativ zur opti-
LWL weitere Anwendungsgebiete erschlossen schen Achse und dem Winkel " relativ zum Lot
wie sin  D n1 sin.90ı  "/.
 Gebäudevernetzung, Der Akzeptanzwinkel einer Faser ist der maxi-
 Feldbussysteme (Vernetzung von Sensoren an male Winkel, unter dem ein Lichtstrahl auf die
Maschinen und Anlagen), Faserstirnfläche fallen darf, damit er im Faserin-
 optische Bussysteme im Flugzeug und Auto- nern durch Totalreflexion geführt wird. Aus den
mobil, z. B. MOST (Media Oriented Systems beiden obigen Gleichungen folgt für diesen Win-
Transport). kel

Fasertypen und Dispersion Die Führung des q


Lichtes in einem Lichtwellenleiter beruht auf sin max D AN D n21  n22 : (6.74)
dem Prinzip der Totalreflexion. Abbildung 6.86a
zeigt den schematischen Aufbau einer Stufenin- Der Sinus des maximalen Öffnungswinkels wird
dexfaser. Ein Kern (engl. core) mit dem Bre- auch als numerische Apertur AN der Faser be-
chungsindex n1 ist von einem Mantel (engl. clad- zeichnet. Mit Hilfe der normierten Brechzahldif-
ding) mit dem kleineren Brechungsindex n2 um- ferenz
geben. An der Grenzfläche von Kern und Mantel n1  n2 n2
D D1 (6.75)
wird ein Lichtstrahl total reflektiert, wenn er aus- n1 n1
6 Optoelektronik 373

folgt aus Gl. 6.74 für die numerische Apertur Bandbreite B beträgt mit B  1=.2t/
p c0 1
sin max D AN  n1 2  : (6.76) B  :
2n1  L
Bei einer Faser aus Quarzglas (SiO2 ), deren Kern Sie ist umgekehrt proportional zur Faserlänge L.
mit GeO2 dotiert ist, sind die Brechungsindizes Mit anderen Worten: es existiert ein Bandbreite-
typischerweise n1 D 1;465 und n2 D 1;450. Da- Länge-Produkt (modale Bandbreite)
mit wird  D 0;01, AN D 0;21 und max D 12ı .
c0
Es werden also lediglich Strahlen im Lichtwel- B L ; (6.78)
lenleiter geführt, die unter einem Winkel  < 2n1 
12ı auf die Grenzfläche fallen. Daraus folgt, dassfür das sich mit  D 0;01 typischerweise B L 
der Einkoppelwirkungsgrad eines Halbleiterla- 10 MHz  km ergibt. Für die digitale Bitrate rech-
sers sehr viel besser ist als der einer LED. net man in der Praxis mit BR  B=0;7, so
Nach den Gesetzen der geometrischen Optik dass für das Bitrate-Länge-Produkt BR  L 
werden in der Faser alle Strahlen geführt, die 15 .MBit=s/  km folgt.
mit einem Winkel  < max auf die Faserstirn- Aus Gl. 6.77 folgt, dass die Zahl der geführ-
fläche fallen. Tatsächlich zeigt aber die wellen- ten Moden abnimmt, wenn das Verhältnis (a= )
theoretische Behandlung durch Lösung der Max- kleiner wird. Ist schließlich N D 1, so liegt eine
wellschen Gleichungen, dass nur eine begrenzte Monomode- oder Einwellenfaser (engl. single-
Zahl von Schwingungsmoden auf der Faser aus- mode fiber) vor, bei der sich nur noch eine Mode
breitungsfähig ist. Alle anderen Wellen löschen ausbreiten kann (tatsächlich sind es zwei mit
sich durch Interferenz aus. Die Zahl der ausbrei- zueinander senkrechten Polarisationsrichtungen).
tungsfähigen Moden beträgt für eine Stufenin- In der Strahlenoptik entspricht dieser Fall einem
dexfaser näherungsweise einzelnen Lichtstrahl, der sich auf der Fasermitte
a 2 ausbreitet. Die Bedingung für das Auftreten nur
N 2  AN : (6.77) einer Mode ist nach der genauen Theorie

a 2;405
Für eine Faser mit dem Kernradius (Abb. 6.86a) : (6.79)
2  AN
a D 25 m und der numerischen Apertur AN D
0;2 sind dies bei der Wellenlänge D 1;3 m Bei gegebener Wellenlänge wird eine Faser al-
N D 292 Moden, bei D 850 nm N D so dann einwellig, wenn der Kerndurchmesser
683 Moden. Da auf Fasern dieses Typs viele Mo- 2a 2;405 =. AN / ist. Bei einer Faser mit der
numerischen Apertur AN D 0;2 und der Wellen-
den laufen, werden sie als Multimode- oder Viel-
wellenfasern bezeichnet. länge D 1;3 m ist dies der Fall für 2a 5 m
und bei D 850 nm für 2a 3;3 m. Mono-
Aus Abb. 6.86a geht hervor, dass verschiedene
Strahlen unterschiedliche Wege zurücklegen, bismodefasern haben also einen sehr dünnen Kern
sie an das Faserende gelangen. Die dadurch her-und stellen dementsprechend große Anforderun-
vorgerufene Verbreiterung zeitlich kurzer Licht-
gen bei der Herstellung und Handhabung (Ste-
pulse nennt man Modendispersion. Der Laufzeit- cker, Spleiße). Dafür tritt bei der Monomodefaser
unterschied t zwischen dem Strahl mit dem keine Modendispersion auf, so dass wesentlich
längsten und dem kürzesten Weg beträgt höhere Bandbreiten als mit Multimodefasern er-
zielt werden können.
A2N n1  Bei der Monomodefaser ist die Übertragungs-
t  L LI
2n1 c0 c0 kapazität durch die Materialdispersion begrenzt.
Da in jedem Material der Brechungsindex n
dabei ist L die Faserlänge und c0 die Vakuum- von der Wellenlänge abhängt, haben Lichtwel-
lichtgeschwindigkeit. Die maximal übertragbare len verschiedener Wellenlänge unterschiedliche
374 R. Martin

Geschwindigkeiten: c D c0 =n. Nun weisen alle auf Stufenindexfasern. Das Bandbreite-Länge-


Sender eine gewisse spektrale Breite  auf, wo- Produkt beträgt etwa B  L  2 GHz  km und das
durch sich eine Impulsverbreiterung ergibt, die Bitrate-Länge-Produkt wird BRL  3 .GBit=s/
gegeben ist durch km.
Neben dem Aufbau des Lichtwellenleiters un-
d2 n terscheiden sich die Eigenschaften vor allem auch
t D ML D L : (6.80)
c0 d 2 durch das eingesetzte Material. Folgende drei
Klassen von Lichtleitern prägen dabei maßgeb-
Ein Puls wird demnach umso mehr verbreitert,
lich die Übertragungseigenschaften:
je breitbandiger das Sendersignal ist. Optimal
 Kunststoff LWL (POF, Polymer Optical Fi-
sind daher die schmalbandigen Monomodelaser
ber),
(Abschn. 6.3.3). Der Koeffizient der Material-
 Kunststoff ummantelte Glasfaser (PCS,
dispersion, M D . =c0 /  .d2 n=d 2 /, wird für
Plastic-Cladded Silica, HCS, Hard-Cladded
Quarzglas in der Nähe von D 1;3 m null,
Silica),
so dass für diese Wellenlänge die Dispersion
 Glasfaser (GCS, Glass-Cladded Silica, GOF,
verschwindet. Praktisch erreicht man auf Mono-
Glass Optical Fiber).
modefasern Bandbreite-Länge-Produkte von B 
L > 30 GHz  km.
Dämpfung Wird in eine Faser die Strahlungs-
Eine weitere Multimodefaser, jedoch mit bes-
leistung ˚0 eingekoppelt, so kommt nach Durch-
seren Übertragungseigenschaften als die Stufen-
laufen der Strecke L nur noch die Leistung
indexfaser ist die in Abb. 6.86b dargestellte Gra-
dientenfaser. Bei ihr nimmt der Brechungsindex ˚.L/ D ˚0 eKL (6.83)
vom Maximalwert n1 in der Fasermitte kontinu-
ierlich nach außen ab, bis im Mantel der Wert n2 an. K wird als Extinktionskoeffizient bezeichnet.
erreicht ist. Das Brechzahlprofil wird in der Regel Die Abnahme beruht sowohl auf der Streuung des
durch folgende Funktion beschrieben: Lichts an kleinsten Hindernissen (Verunreinigun-
p gen, Luftbläschen, mikroskopische Schwankun-
n.r/ D n1 1  2.r=a/˛ für r a und gen des Brechungsindex) als auch der Absorption
p
n.r/ D n1 1  2 für r > a : (6.81) an Fremdstoffen (Metallionen und insbesondere
OH -Ionen). In der Praxis hat sich anstelle des
Je nach Wahl des Exponenten ˛ ergeben sich be- Extinktionskoeffizienten der Dämpfungskoeffizi-
stimmte Konturen, beispielsweise beschreibt ˛ D ent ˛ (Abb. 6.87) durchgesetzt, der die Leistungs-
1 eine Dreiecksfunktion und ˛ D 1 ein Stufen- abnahme in dB=km angibt:
profil. Meist werden Parabelprofile mit ˛ D 2  
˚0 1
hergestellt. Obwohl auch bei der Gradientenfa- ˛ D 10  lg  und
˚.L/ L (6.84)
ser verschiedene Strahlen unterschiedliche Wege
zurücklegen, ist die Impulsverbreiterung sehr ge- ˚.L/ D ˚0 10˛L=10dB :
ring, da die Strahlen mit dem größten Weg über-
Aus Abb. 6.87a geht hervor, dass die Dämpfung
wiegend in Gebieten mit kleinem Brechungsin-
von Quarzglasfasern mit steigender Wellenlänge
dex laufen, wo sie eine große Geschwindigkeit
abnimmt. Dies beruht auf der geringer werden-
haben, so dass der Umweg kompensiert wird. Für
den Streuwahrscheinlichkeit, die nach Rayleigh
die Impulsverbreiterung der Gradientenfaser gilt
(L ORD R AYLEIGH, 1842 bis 1919) proportional
n1 2 zu 4 geht (Theorie des Himmelsblaus). Die
t  L: (6.82) scharfen Spitzen in der Dämpfungskurve rühren
2 c0
von der Absorption des Lichtes an OH -Ionen
Für eine Faser mit  D 0;01 ergibt sich t=L D her. Besonders geeignet zur optischen Nachrich-
250 ps=km. Auf Gradientenfasern sind demnach tenübermittlung sind offenbar Wellenlängen nahe
wesentlich höhere Übertragungsraten möglich als 1,3 µm und 1,55 µm. Dies ist möglich mit Sen-
6 Optoelektronik 375

a b

Abb. 6.87 Dämpfungskoeffizient von Fasern in Abhängigkeit von der Wellenlänge, a Quarzglasfaser, der Anteil der
Rayleigh-Streuung ist gestrichelt gezeichnet, b Plastikfaser (PMMA)

dern aus quaternären Halbleitern (InGaAsP). Bei In der Praxis hat sich die Schreibweise
der Wellenlänge von GaAs-Sendern (850 nm) ist
die Dämpfung deutlich größer, so dass diese Wel- ˚
lenlänge für eine Weitverkehrsübertragung nicht P D 10  lg dBm
1 mW
günstig ist. Die Wellenlänge 1300 nm ist auch
deshalb sehr interessant, weil, wie oben gezeigt eingebürgert, wobei das m in dBm für den Be-
wurde, die Materialdispersion von Quarzglas bei zugswert 1 mW steht.
dieser Wellenlänge verschwindend klein ist. Für die Differenz der Pegel am Anfang und am
Die besten erreichten Dämpfungswerte von Ende eines Lichtwellenleiters gilt
Glasfasern liegen bei ˛  0;2 dB=km bei der
Wellenlänge D 1550 nm. Dies ist gleich-
P0  P ˛L
bedeutend mit einem Leistungsverlust von nur D : (6.86)
dBm dB
4,5 %=km. Kunststofffasern (POF, Plastic Opti-
cal Fiber) (Abb. 6.87b) haben dagegen wesentlich
größere Verluste. Ihre Dämpfung ist am gerings- Beispiel 6.17
ten im Wellenlängenbereich 500 nm bis 600 nm In einen LWL wird die Strahlungsleistung
und beträgt dort etwa 100 dB=km. Bei den Wel- ˚0 D 0;5 mW eingekoppelt. Die Faserlänge
lenlängen des nahen IR beträgt die Dämpfung ist L D 2 km und der Dämpfungskoeffizient
1000 dB=km und mehr. beträgt ˛ D 0;3 dB=km. Welche Strahlungs-
Die Strahlungsleistung am Ende eines Licht- leistung ˚.L/ kommt am Faserende an?
wellenleiters kann entweder gemäß Gl. 6.84,
˚.L/ D ˚0 10˛L=10 dB , berechnet und direkt
in Watt angegeben werden. Häufig aber werden Lösung
Pegel nach DIN 5493 benutzt, bei denen als Be- Mit ˛L D 0;6 dB ergibt sich nach Gl. 6.84
zugsleistung 1 mW eingesetzt wird: ˚.L/ D 0;435 mW. Rechnet man mit Pegeln,
  so gilt nach Gl. 6.85 P0 D 3;01 dBm und
˚ nach Gl. 6.86 P .L/ D 3;61 dBm, was einer
L˚=1 mW D 10  lg dB : (6.85)
1 mW Leistung von 0,435 mW entspricht.
376 R. Martin

Abb. 6.88 Leistungsbilanz einer optischen Übertragungsstrecke mit den Zahlenwerten von Beispiel 6-18

Leistungsbilanz (optical power budget) Ne- In Pegeln ausgedrückt wird obige Gleichung
ben der oben beschriebenen Dämpfung des Licht- zu
PT PR X ˛k
wellenleiters gibt es weitere Verluste an den ver-  D : (6.88)
schiedensten Verbindungsstellen. Die Gesamtheit dBm dBm dB
k
der Dämpfungen wird in der Gleichung für die
Eine übliche Darstellung der Strahlungsleis-
Strahlungsleistungsbilanz (engl.: optical-power
tungsbilanz zeigt Abb. 6.88. Dabei werden alle
budget) beschrieben:
in einem Übertragungsweg auftretenden Dämp-
fungen in einem Diagramm festgehalten. Ziel ist
10 lg.˚T =˚R / D ˛O L C ˛TC C ˛CR
(6.87) es, die gesamte Verlustleistung möglichst niedrig
C n˛CC C ˛M : zu halten. Dazu beginnt man bei der Kalkulation
mit der niedrigsten akzeptierbaren Empfangsleis-
Dabei bedeuten: tung an der Datensenke. Diese ist einschließlich
˚T Strahlungsleistung des Senders (Transmit- des Sicherheitsabstands ˛M zu wählen. Durch das
ter), W Einfügen der verschiedenen Dämpfungsverluste
˚R benötigte Strahlungsleistung des Empfän- (man durchschreitet dabei die Wirkungskette von
gers (Receiver), W hinten nach vorne, also vom Empfänger zum Sen-
˛O Dämpfungskonstante des Lichtwellenlei- der) kommt man schließlich auf die erforderliche
ters, dB=km abzustrahlende Sendeleistung des Emitters.
L Länge des Lichtwellenleiters, km
˛TC Einkoppeldämpfung in die Faser (Trans- Beispiel 6.18
mitter Coupling loss), dB Eine optische Übertragungsstrecke mit einer
˛CR Auskoppeldämpfung am Empfänger (fiber- Kunststofffaser hat die Länge L D 80 m. Die
to-Receiver Coupling loss), dB Faser hat einen Dämpfungskoeffizienten von
˛CC Steckverbindungsdämpfung (in-line-Con- ˛ D 150 dB=km. Die Strecke enthält 2 Ste-
nection loss), dB cker mit der Steckerdämpfung ˛CC D 1 dB.
n Anzahl der Steckverbindungen Der Empfängerempfindlichkeit beträgt PR D
˛M Sicherheitsabstand (Safety Margin), dB 25 dBm. Die Ein- und Auskoppeldämpfun-
(jeweils am Ende sind die gängigen Maßeinheiten gen sind ˛TC D 0;8 dB und ˛CR D 0;6 dB.
angegeben). Welche Sendeleistung ist erforderlich, wenn
6 Optoelektronik 377

Tab. 6.16 Parameter gängiger Lichtwellenleiter


Fasertyp Numer. Apertur Dämpfungskoeffizient Bandbreite-Länge-Produkt
˛ in dB=km B  L in MHz  km
Quarzglas, Gradientenprofil, 0,2 2,3 bis 3,5 @ 850 nm 200 bis 1000 @ 850 nm
50=125 m 0,5 bis 1,5 @ 1300 nm 220 bis 1500 @ 1300 nm
Quarzglas, Einmoden-LWL, < 0,1 2,3 bis 3,5 @ 850 nm 1,8 103 @ 850 nm, Laserdiode
5=125 m 0,5 bis 1,5 @ 1300 nm 1,7 106 @ 1300 nm, Laserdiode
Quarzglas, Stufenindex 0,3 bis 0,6 5 30
Kerndurchmesser < 100 m
PCS, Stufenprofil, 300=900 m 0,4 < 10 >5
PCS, Parabelprofil 0,3 2,8 @ 850 nm 400
1 @ 1300 nm
POF, 980=1000 m 0,5 130 @ 650 nm 5 @ 650 nm

Tab. 6.17 Normen zum Thema Lichtwellenleiter


Norm Inhalt
DIN 58140-1 Faseroptik – Teil 1: Begriffe, Formelzeichen; Text Deutsch und Englisch
DIN 58140-2 Faseroptik – Teil 2: Einteilung der faseroptischen Produkte; Text Deutsch und Eng-
lisch
DIN 58141-1 bis 10 Messung von faseroptischen Elementen
Bestimmung verschiedenster Eigenschaften in Teilen 1 bis 10
DIN EN 50173-1 Informationstechnik – Anwendungsneutrale Kommunikationskabelanlagen
DIN EN 60793-1 bis 54 Lichtwellenleiter – Teil 1: Messmethoden und Prüfverfahren
VDE 0888-200 bis 254
DIN EN 60793-2 bis 60 Lichtwellenleiter – Teil 2: Produktspezifikationen
VDE 0888-300 bis 326
DIN EN 60794-1 bis 4 Lichtwellenleiterkabel
VDE 0888
VDI=VDE 5570 Blatt 1 bis 4 Prüfung von Kunststofflichtwellenleitern (POF)

mit einem Sicherheitsabstand von ˛M D 3 dB


6.8 Zur Übung
gerechnet wird?

Ü 6-1 Eine LED hat senkrecht zur emittieren-


Lösung den Kristallfläche die Lichtstärke Iv D 50 mcd.
Die Summe aller Dämpfungen beträgt inkl. In welchem Abstand von der Diode beträgt die
Sicherheitsabstand 18,4 dB. Damit ist nach Beleuchtungsstärke noch Ev D 10 lx?
Gl. 6.88 die erforderliche Sendeleistung PT D
.18;4  25/ dBm D 6;6 dBm oder ˚T D
0;219 mW. Ü 6-2 Bei einer gelben LED der Wellenlänge
Typische Parameter der wichtigsten Licht- D 590 nm beträgt die Strahlungsleistung ˚e D
wellenleiter sind in Tab. 6.16 angegeben. Die 1;67 mW beim Strom IF D 20 mA.
Eigenschaften von Lichtwellenleitern sowie a) Wie groß ist der externe Quantenwirkungs-
deren Verwendung sind in verschiedenen Nor- grad ext ?
men definiert, von denen die wichtigsten in b) Berechnen Sie die gesamte Rekombinations-
Tab. 6.17 zusammengestellt sind. rate R beim Strom IF D 20 mA.
378 R. Martin

Ü 6-3 Eine rote LED wird mit einem Vorwi- Ü 6-7 Wie lang muss ein InGaAsP-Laser sein,
derstand in Reihe an eine Batterie der Spannung wenn bei D 1;3 m der Abstand benachbar-
U D 12 V angeschlossen. Welchen Wert muss ter Longitudinalmoden ı D 2 nm betragen soll?
der Vorwiderstand Rv besitzen, wenn der Strom Der Brechungsindex ist n D 3;3; die Dispersion
IF D 10 mA betragen soll? soll vernachlässigt werden.

Ü 6-4 Eine weiße LED wird nach Abb. 6.17 be- Ü 6-8 Ein Fotoleiter aus PbS besitzt bei
trieben. Im Arbeitspunkt beträgt der Strom IF D D 1;5 m die bezogene Detektivität D  D
400 mA und die Flussspannung UF D 3;03 V, die 1011 cm Hz1=2 W1 . Seine lichtempfindliche Flä-
Batteriespannung ist UB D 9 V. che ist A D 10 mm2 .
a) Wie groß ist der erforderliche Vorwiderstand? a) Welche minimale Strahlungsleistung kann bei
b) Welche Stromänderung ergibt sich, wenn die einer Bandbreite des Messsystems von B D
Batteriespannung um 10 % abnimmt? 1 Hz gerade noch nachgewiesen werden?
c) Welcher Vorwiderstand ist erforderlich, wenn b) Wie groß ist der Photonenstrom NP (Zahl der
bei UB D 5 V derselbe Strom fließen soll wie Photonen, die je s auf den Detektor treffen)
bei 9 V? bei dieser Strahlung?
d) Die Spannung wird jetzt von 5 V um 10 %
reduziert. Wie groß ist hierbei die Stromän- Ü 6-9 Ein Fotowiderstand aus PbS hat den Dun-
derung? kelwiderstand Rd D 1 M und die Minoritäts-
lebensdauer  D 250 s. Er wird in Reihe mit
Ü 6-5 Bestimmen Sie den differenziellen ex- einem Lastwiderstand RL D 1 M an eine Bat-
ternen Quantenwirkungsgrad des Lasers von terie der Spannung UB D 120 V angeschlossen
Abb. 6.24b bei 25 ı C. Wie groß sind die charakte- und erfährt bei Bestrahlung eine Widerstandsän-
ristische Temperatur T0 und der Schwellenstrom derung von R D 4 k.
Ith bei 0 ı C? a) Welche Spannungsänderung U über dem
Lastwiderstand ist zu erwarten?
Ü 6-6 Ein Halbleiterlaser emittiert Strahlung der b) Welche maximale Modulationsfrequenz fgr
Wellenlänge D 1;3 m. Beim Strom IF D sollte nicht überschritten werden?
30 mA und der Temperatur #1 D 25 ı C be- c) Zeigen Sie, dass bei schwacher Anregung,
trägt die Strahlungsleistung ˚1 D 2;6 mW. Der wenn Rl  Rd bzw. R D Rd  Rl  Rd ,
differenzielle Quantenwirkungsgrad des Lasers das Signal U maximal wird für RL D Rd .
ist ext D 0;31.
a) Bestimmen Sie den Schwellenstrom Ith;1 des Ü 6-10 Bestimmen Sie aus Abb. 6.67a den
Lasers. Füllfaktor FF des Solarmoduls sowie den opti-
b) Der Strom des Lasers wird um den mittle- malen Lastwiderstand für die Temperatur 25 ı C.
ren Strom IF D 30 mA sinusförmig moduliert
mit einer Stromamplitude von î D 1 mA. Wie Ü 6-11 Zur Messung der Dämpfung wird in eine
groß ist die Amplitude der modulierten Strah- lange Glasfaser Licht eingekoppelt und am hin-
lungsleistung? teren Ende die ankommende Leistung gemessen.
c) Die charakteristische Temperatur für die Ver- Wird von der Faser die Länge L D 10 m abge-
schiebung des Schwellenstroms beträgt T0 D schnitten, dann nimmt die Leistung am Detektor
65 K. Wie groß ist der Schwellenstrom Ith;2 von ˚ D 240 W um ˚ D 3 W zu. Wie groß
bei der Temperatur #2 D 10 ı C? ist der Dämpfungskoeffizient ˛ (in dB=km) der
d) Berechnen Sie die Strahlungsleistung ˚2 bei Faser?
der Temperatur #2 und dem Strom IF D
30 mA unter der Voraussetzung, dass auch bei Ü 6-12 Bei der Wellenlänge D 900 nm beträgt
der neuen Temperatur der Quantenwirkungs- die Materialdispersion einer Monomode- Glas-
grad ext D 0;31 beträgt. faser M D 80 ps=.km nm). Wie groß ist die
6 Optoelektronik 379

Laufzeitdifferenz t zwischen dem schnellsten c) Wie groß ist die maximale überbrückbare Ent-
und dem langsamsten Puls eines Lasers der Li- fernung für
nienbreite  D 4 nm, wenn die Faserlänge Glasfaser (˛GCS D 2 dB=km) und für POF
L D 1;2 km beträgt? (˛POF D 200 dB=km)?
d) Zeichnen Sie das Diagramm für das optical
Ü 6-13 Berechnen Sie die numerische Aper- power budget.
tur AN für einen Lichtwellenleiter, für den gilt:
nMantel D 1;512, nKern D 1;527. Welchem maxi-
malen Öffnungswinkel entspricht dies?
6.9 Weiterführende Literatur
Ü 6-14 Für welche Wellenlängen verhält sich ei-
ne Stufenindexfaser mit der numerischen Apertur  Bludau, W.: (1994) Halbleiter-Optolektronik.
AN D 0;173 und dem Durchmesser 5 m als Mo- Hanser Verlag.
nomodefaser?  Bludau, W.: (1998) Lichtwellenleiter in Senso-
rik und optischer Nachrichtentechnik. Sprin-
Ü 6-15 Zur Projektierung einer optischen Über- ger Verlag.
tragungsstrecke soll das optical power budget  Brendel, R.: (2003) Thin-Film Crystalline Si-
aufgestellt werden. Von der Übertragungsstrecke licon Solar Cells. Wiley-VCH Verlag.
sind folgende Daten bekannt:  Hering E, Martin, R.: (2005) Photonik. Sprin-
 Einkoppeldämpfung: 0,6 dB, ger Verlag.
 Auskoppeldämpfung: 0,4 dB,  Lüder, E.: (2001) Liquid Crystal Displays. Wi-
 minimale Sendeleistung: 25 dBm, ley Verlag.
 maximale Sendeleistung: 6 dBm,  Nelson, J.: (2003) The Physics of Solar Cells.
 Empfängerempfindlichkeit: 31 dBm, Imperial College Press.
 Übersteuerungsgrenze des Empfängers:  Strobel, O.: (2013) Lichtwellenleiter-Über-
8 dBm, tragungs- und Sensortechnik. VDE Verlag.
 Sicherheitsabstand: 3 dB.  Voges, E.: (2002) Optische Kommunikations-
a) Wie groß darf die Dämpfung auf dem Über- technik. Springer Verlag.
tragungsweg höchstens sein (worst case)?  Wagner, A.: (1999) Photovoltaik Engineering.
b) Wie groß muss die Dämpfung des Übertra- Springer Verlag.
gungswegs mindestens sein, damit der Emp-  Würfel, P.: (2004) Physics of Solar Cells.
fänger nicht übersteuert? Wiley-VCH Verlag.
Sensoren
7
Ekbert Hering

7.1 Grundlagen Hierbei können genormte Ausgangssignale er-


zeugt werden, die von Umgebungseinflüssen
7.1.1 Definition und Einteilung wie Temperaturdifferenzen oder Spannungs-
schwankungen unabhängig sind. In selteneren
Ein Sensor (lat.: sensus, Sinn) ist ein Fühler, der Fällen kann das Sensorsignal kann auch hy-
die Messgrößen der Umwelt erfassen kann. Na- draulische und pneumatische Ausgangsgrößen
turwissenschaftliche Effekte in Physik, Chemie, liefern. Solche Messwertaufnehmer steuern
Biologie und Medizin ermöglichen eine große dann die angeschlossenen Stellglieder direkt
Vielfalt an Sensoren und Einsatzfeldern. Ein an.
Sensor ist in der Lage, die erwähnten Gesetze Sensoren werden zweckmäßigerweise nach
ausnutzend, physikalische, klimatische, che- den verwendeten physikalischen Effekten (Mess-
mische, biologische und medizinische Größen prinzipien) und den damit messbaren physika-
zu erfassen, diese Informationen auszuwerten lischen, meteorologischen (Klima), chemischen,
und entsprechende Maßnahmen zur Steuerung biologischen und medizinischen Messgrößen ein-
einzuleiten. In diesem Werk werden haupt- geteilt. In diesem Abschnitt werden nur die in der
sächlich physikalische Messgrößen betrachtet. Technik wichtigsten physikalischen Größen ab-
In diesem Fall wandelt ein Sensor eine physi- gehandelt (Abb. 7.2).
kalische Größe (z. B. Kraft oder Temperatur) Bei der Auswahl der Sensoren ist es wich-
mit Hilfe eines physikalischen Effektes in ein tig, den Wertebereich der Messgröße und den
weiterverarbeitbares elektrisches Signal (z. B. Temperaturbereich, in dem er eingesetzt wird,
elektrischer Widerstand, Spannung oder Strom) zu kennen. Tabelle 7.1 zeigt eine Zusammenstel-
um, wie dies Abb. 7.1 zeigt. Das Sensorele- lung der wichtigsten physikalischen Messgrößen
ment erfüllt dabei die Funktion des Aufnehmers, und ihre verwendeten Messprinzipien, ferner den
eines Wandlers und eines Verstärkers bzw. einer Werte- und Temperaturbereich sowie ihre Ein-
Auswerte-Elektronik. Man entwickelt zunehmend satzgebiete und Bauformen.
integrierte Sensoren, die im Sensorelement be-
reits eine Signalvorverarbeitung mit Hilfe einer
Auswerte-Elektronik ermöglichen (gestrichelte
Linie in Abb. 7.1). Eventuelle Störgrößen, die
7.1.2 Wirtschaftliche und technische
den Messwert des Sensors beeinflussen (z. B.
Bedeutung
Temperatureinflüsse), können rechnerisch mit
einem Mikroprozessor berücksichtigt werden. Die wirtschaftliche Bedeutung von Sensoren
lässt sich nach dem im Jahre 2012 erschienenen
E. Hering () Weltreport „Sensor Markets 2016“ eindrucksvoll
E-Mail: ekbert.hering@hs-aalen.de durch Zahlen belegen: Der Sensor-Weltmarkt
© Springer-Verlag GmbH Deutschland 2017 381
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_7
382 E. Hering

Abb. 7.1 Prinzip des Sensors

umfasste im Jahre 2011 ein Volumen von et- Produktivitätssteigerung Mit Sensoren steuert
wa 120 Milliarden Euro. Bei einer weiteren man unter anderem Roboter. Durch die zuneh-
Steigerungsrate von etwa 9 % jährlich wird er mende Automatisierung wird die Produktivität
im Jahre 2016 etwa 185 Milliarden Euro be- gesteigert (verringerter Personaleinsatz bei er-
tragen. Die Sensoren erobern zügig weitere höhter Produktion). Sensoren dienen aber auch
Anwendungsfelder. Sie werden spezifischer, ro- zur automatischen Überwachung von Fertigungs-
buster, intelligenter, kommunikativer und auch prozessen (z. B. galvanische Bäder) und Ferti-
preiswerter. Dabei entfielen auf mechanische Ei- gungsmitteln (z. B. Werkzeuge). Dadurch kann
genschaften messende Sensoren 32,9 %, 19,2 % man mögliche Prozess- und Produktionsfehler
auf bilderfassende Sensoren, 10,9 % auf chemi- zum frühestmöglichen Zeitpunkt vermeiden.
sche und biologische Sensoren, 8,7 % auf rein
binäre Sensoren, 8,5 % auf thermische Sen- Flexible Fertigung Diese ermöglicht es, gerin-
soren, 2,7 % der Sensoren messen elektrische ge Stückzahlen bestimmter Produkte zu günsti-
Eigenschaften und 2,1 % optische Eigenschaf- gen Kosten zu fertigen.
ten. Gründe für den wachsenden Einsatz von
Sensoren sind beispielsweise die gesetzlich Qualitätssicherung Eine automatische Quali-
verordneten Abgasbestimmungen, der Zwang tätsprüfung während des Fertigungsprozesses
zum sparsamen Energie- und Ressourcenver- ermöglicht eine gleichbleibend hohe Qualität der
brauch, die Erhöhung der aktiven und passiven Produktion und lässt fehlerhafte Qualität sofort
Fahrsicherheit und der Wunsch nach erhöhtem am Ort des Entstehens erkennen.
Fahrkomfort. Sensoren in diesem Bereich müs-
sen harte technische Bedingungen (z. B. große Verbesserung der Arbeitsbedingungen Die
Temperaturbereiche, Stoßfestigkeit, Sicherheit Automatisierung verringert die Arbeitsplätze mit
bei Feuchtigkeit und Schwingungen) erfüllen erhöhter physischer Belastung und Berührung
und zudem noch preisgünstig sein. Auch in mit Giftstoffen (z. B. Lackierung). Ferner kann
den Haushaltsgeräten setzt man zunehmend man gefährliche Bereiche besser sichern.
Sensoren ein, weil sie kostengünstig sind und
ihre Messaufgaben über lange Zeit zuverlässig Verbesserung der Effizienz beim Rohstoff-
erfüllen. Für den Menschen besonders bedeut- und Energieeinsatz Die Produktionsprozesse
sam sind Sensoren in der Medizintechnik, die und Fertigungsverfahren können optimal gesteu-
nicht nur biologische Werte messen, sondern ert werden, so dass ein minimaler Rohstoff- und
auch entsprechende Einrichtungen so steuern, Energieeinsatz möglich wird.
dass die wichtigen Lebensfunktionen erhalten
bleiben. Verbesserungen beim Umweltschutz Eine ge-
Sensoren mit Mikroelektronik bieten im Ma- naue Messung der Giftstoffe in der Luft, im Was-
schinenbau und in der Fertigungstechnik folgen- ser und im Boden ermöglicht die Überwachung
de technischen Vorteile: der gesetzlich zulässigen Schadstoffwerte.
7 Sensoren 383

Abb. 7.2 Einteilung der Sensoren


384 E. Hering

Tab. 7.1 Übersicht über Sensoren


Messgröße Messprinzip
Mecha- Kapazitiv Induktiv Wirbel- Wider- DMS Hall- Thermo-
nisch strom stand Effekt elektrisch
Mecha- Länge, Beliebig Beliebig Beliebig 0,5 bis Beliebig Beliebig Bis 7 mm
nische Weg, (70 bis (160 bis (200 bis (75 bis (55 bis (196 bis (20 bis
Größen Position C100 °C) C100 °C) C150 °C) C1082 °C) C180 °C) C200 °C) C85 °C)
in m
Fest-
körpern

Winkelrad Bis 3 (0 Bis 6 (0 Bis 6 Bis 6 Bis 1 Bis 2


bis 70 °C) bis 75 °C) (55 bis (55 bis (20 bis (25 bis
125 °C) 125 °C) 60 °C) 85 °C)

Geschwin- Bis 333 Bis 60 Bis 6000 30 bis


digkeit (35 bis (25 bis (70 bis 60 (0 bis
m=s 230 °C) 95 °C) 260 °C) 60 °C)

Drehzahl Bis 25 Bis 30.000 Bis 1500 Bis (0 bis 50 bis


1=s (20 bis (73 bis (20 bis 180.000 60 °C) 20.000
80 °C) 150 °C) 70 °C) (25 bis (20 bis
80 °C) 80 °C)

Beschleu- Bis 200 Bis 2500 Bis 17.000


nigung (25 bis (40 bis (53 bis
m=s2 70 °C) 120 °C) 121 °C)

Kraft Bis (0 °C) Bis 24.000 Bis


N 250.000 (54 bis 200  106
(20 bis 93 °C) (200 bis
90 °C) 400 °C)

Druck Bis 41106 Bis Bis 70106 Bis Bis 4  106 Bis 60106
Pa (40 bis 200  106 (45 bis 120  106 (272 bis (25 bis
125 °C) (54 bis 150 °C) (54 bis 538 °C) 60 °C)
150 °C) 125 °C)

Dreh- Bis 50.000 Bis


moment (10 bis 400.000
Nm 70 °C) (54 bis
100 °C)
7 Sensoren 385

Tab. 7.1 (Fortsetzung)


Einsatzgebiete Werkfotosa
Foto- Piezo- Akustisch Optisch Magnetisch
elektrisch elektrisch
1 bis 40 Beliebig (0 bis Abstandsmessung;
(40 bis (40 bis 125 °C) Lagebestimmung;
C120 °C) C100 °C) Positions-
bestimmung

Bis 6 (40 (0 bis Verformung;


bis 70 °C) 125 °C) Spiel;
Banddurchmesser;
Winkelstellung

Bis 1000 Bis 300 (0 Vorschubge-


(45 bis bis 70 °C) schwindigkeit;
260 °C) Maschinenleistung

Bis 16.667 Bis 20.000 Bis 20.000 (0 bis Stück je Zeit;


(50 bis (0 °C) (55 bis 125 °C) Drehzahl
250 °C) 125 °C)

Bis 106 Schockmessung;


(269 bis Vibrationsmessung;
650 °C) Reifenprüfstand

Bis 106 Verbren-


(196 bis nungsdrücke;
200 °C) Stempelkräfte an
Pressen;
Druckvorläufe in
Ventilen

Bis 109 Materialprüfung;


(270 bis Forminnendruck
400 °C) in Spritzgieß-
maschinen;
Windkanalversuche

(0 °C) Leistungsmessung;
Drehmoment-
überwachung
386 E. Hering

Tab. 7.1 (Fortsetzung)


Messgröße Messprinzip
Mecha- Kapazitiv Induktiv Wirbel- Wider- DMS Hall- Thermo-
nisch strom stand Effekt elektrisch
Mecha- Füllstand (20 bis Bis Bis
nische m 180 °C) 500.000 m 880.000 m
Größen (50 bis (35 bis
in Flüs- 300 °C) 60 °C)
sigkei-
ten und
Gasen

Massen- Bis 83
durchfluss (30 bis
kg=s 80 °C)

Volumen- (15 bis (10 bis Bis 40106 (55 bis


durchfluss 200 °C) 60 °C) (25 bis 125 °C)
m3 =s 80 °C)

Feuchte Bis 80 (0 Bis 95 Bis 10.000 Bis 170


% bis 65 °C) (20 bis (54 bis (10 bis
70 °C) 93 °C) 60 °C)

Ther- Tempe- Bis 15 Bis 100 (0 Bis 110 Bis 3870 Bis 200 Bis 2300
mody- ratur (10 bis bis 40 °C) (10 bis (261 bis (20 bis (240 bis
ı
namik C 200 °C) 110 °C) 3870 °C) 200 °C) 2200 °C)

der Praxis kommen am häufigsten folgende Me-


7.2 Sensoren für die wichtigsten
thoden zur Anwendung:
Messgrößen

Aus der Fülle der in der Praxis eingesetz- Kapazitive Längenmessung Je geringer der
ten Sensoren werden als Beispiele diejenigen Abstand d zwischen den beiden Kondensator-
vorgestellt, die im Maschinenbau am häufigs- platten der Fläche A ist, desto größer ist die
ten Verwendung finden, nämlich die Weg- Kapazität C (C D "0 "r A=d ; Abschn. 2.3).
und Positions-Sensoren, die Kraft- und Druck- Dies wird bei einer berührungslos arbeitenden
Sensoren sowie die Temperatur-Sensoren. Längenmessung (bis 3 mm) als Näherungsschal-
ter ausgenutzt. Diese Sensoren verwendet man
überwiegend zur Positionierung oder zur Über-
7.2.1 Weg- und Positions-Sensoren wachung der Maschinenabläufe.

Wie Tab. 7.1 zeigt, kann man Weg und Position Induktive Längenmessung Nach dem Induk-
nach verschiedenen Messprinzipien messen. In tionsgesetz induziert die Änderung des magne-
7 Sensoren 387

Tab. 7.1 (Fortsetzung)


Einsatzgebiete Werkfotosa
Foto- Piezo- Akustisch Optisch Magnetisch
elektrisch elektrisch
Bis (30 (0 bis Füllhöhenüber-
bis 180 °C) 45 °C) wachung von
Schüttgütern und
Flüssigkeiten

Chemische Ver-
fahrenstechnik;
Dosierung von Zu-
satzstoffen

Bis 5000 Bis 5 (0 bis Chemische Ver-


(54 bis 100 °C) fahrenstechnik;
315 °C) Dosierung von Zu-
satzstoffen

Trockner; Rest-
feuchte in
Schüttgütern;
Feuchte bei La-
gerung

(0 °C) Bis 3500 Temperatur-


(100 bis kontrolle;
700 °C) Temperaturrege-
lung

a
µE-Meßtechnik, Datron, Thalheim, Kistler, Keller, Honeywell, Hottinger-Baldwin, Eckardt, Fischer u. Porter,
Endress u. Hauser, Delta

tischen Flusses d˚=dt eine Spannung uind sich der Kern im Mittelpunkt der Spule befindet
(uind D N d˚=dt mit N als Windungszahl; und nimmt linear mit der Bewegung des Kernes
Abschn. 2.4). Hier nutzt man, abhängig von der zu. Dieses Prinzip wird deshalb Linear-Variable-
Lage der beweglichen Spule, die unterschied- Differenzial-Transformer (LVDT)-Prinzip ge-
lichen Koppelfaktoren aus. Durch Verschieben nannt. Abbildung 7.3a zeigt den Aufbau eines
eines Eisenkerns innerhalb verschiedener Spu- induktiven Längenmessers und Abb. 7.3b die
len ändert sich das Spannungssignal proportional Wirkungsweise.
zum Weg des Kerns. In der Praxis gibt es ei-
ne Primärspule und zwei Sekundärspulen. Wird Längenmessung nach dem Wirbelstromprin-
ein Wechselspannungssignal an die Primärspu- zip Bewegt man leitende Körper in einem
le gelegt, dann wird in den beiden Spulen eine Magnetfeld, dann treten in diesem Körper durch
Spannung unterschiedlicher Polarität induziert. die induzierte Spannung Wirbelströme auf. Mit
Das Ausgangssignal ist deshalb die Differenz diesem Prinzip können Längen und Dicken an
dieser beiden Spannungen. Sie ist null, wenn elektrisch leitenden Werkstoffen bestimmt wer-
388 E. Hering

Abb. 7.3 Induktiver Längenmesser

den (in der Regel zwischen 0,5 mm und 140 mm). Inzwischen sind auch DMS auf Halbleiter-
Diese Sensoren gehören zu der Klasse der berüh- basis zu erhalten, die eine etwa 50-fach höhere
rungslosen Abstandsmesser (Non-Contacting- Dehnungsempfindlichkeit aufweisen und bei de-
Displacement-Transducers, NCDT). nen der k-Wert positiv und negativ einstellbar ist.

Widerstandspotenziometer Hierbei wird die Hall-Sensoren Zur Positionsbestimmung wird


Längenabhängigkeit des Widerstandes aus- der Hall-Effekt ausgenutzt. Ein stromdurchflos-
genützt und anhand der Ausgangsspannung einer sener Leiter, der sich in einem Magnetfeld be-
Potenziometerschaltung die Länge bestimmt findet, erzeugt eine Hallspannung UH , die senk-
(Abschn. 1.5.3). recht zur Strom- (I ) und Magnetfeldrichtung (B)
ist. Es gilt UH D k0 I B. Fließt der magneti-
sche Fluss nicht nur im Magneten und in sei-
Dehnmess-Streifen (DMS) Bei dieser in der nem ferromagnetischen Rückschluss, dann be-
Technik außerordentlich häufig eingesetzten stimmt die Länge des Luftspaltes (x) die In-
Messmethode nutzt man den Zusammenhang duktion (B 1=x). Als Luftspalt gelten alle
zwischen der mechanischen Dehnung " D l= l Wege, die nicht in ferromagnetischem Material
und der Änderung des elektrischen Widerstandes (gleichgültig, ob hart oder weich) verlaufen. Wie
R=R aus (R=R D k ", wobei k ein Propor- Abb. 7.5a zeigt, wird beispielsweise der Hall-
tionalitätsfaktor ist). generator auf ein Keramiksubstrat gebracht, das
Die Widerstandsänderung R bestimmt man sich auf einem SmCo-Dauermagneten befindet.
üblicherweise durch eine Brückenschaltung (Ab- Wird ein magnetisches Material in die Nähe ge-
schn. 1.5.4). Die DMS unterscheiden sich im bracht, dann richten sich die magnetischen Feld-
DMS-Material, in der Geometrie, im Träger- linien aus, und eine Hallspannung wird als Aus-
werkstoff und im Klebermaterial. Die Messung gangssignal linear zum Abstand des Werkstückes
der Längenänderung l= l erfolgt parallel zu den gemessen (LOHET: Linear-Output-Hall-Effect-
Leiterbahnen; senkrecht dazu soll das Element Transducer) (Abb. 7.5b). Abbildung 7.5c zeigt
unempfindlich sein. Die Geometrien (Abb. 7.4) einige Ausführungen. Die Sensoren arbeiten bei
richten sich dabei nach dem Messzweck (z. B. Schaltfrequenzen bis über 100 kHz verschleißfrei
Länge, Biegung, Torsion, Innendruck). mit Schalterspielen von über 20 Milliarden und
7 Sensoren 389

Abb. 7.4 Geometrien der DMS. Werkfoto: Baldwin Meßtechnik

Abb. 7.5 Hallsensoren zur Positionsmessung. a Aufbau des Sensors, b Wirkungsweise. c Bauform

können Messungen bis 7 mm durchführen. Die Impulsfolge (z. B. 56 Impulse innerhalb 1 ms)
Bauteile sind auch in SMD-Bauweise erhältlich. aus und empfängt das Echo. Aus der Zeitdif-
ferenz zwischen Senden und Empfangen wird
Akustische Längensensoren Der akustische (unter Berücksichtigung der Schallgeschwin-
Längensensor nach dem Ultraschallprinzip kann digkeit) die Entfernung berechnet. Bei diesem
von 0,3 mm bis zu 10,7 m die Entfernung von Effekt ist eine definierte Grenzschicht zwi-
Objekten unabhängig von Form, Farbe und Ma- schen dem Medium, in dem sich der Schall
terial auch in staubiger Umgebung mit großer ausbreitet (z. B. Luft), und dem zu erfassenden
Genauigkeit messen. Der Wegsensor sendet eine Körper erforderlich. Eine solche Grenzschicht
390 E. Hering

Abb. 7.6 Ultraschall-Wegsensor. a Messprinzp, b Bauformen Werkfoto: Messring

entsteht nur dann, wenn sich die Schallge-


schwindigkeiten in beiden Medien unterscheiden.
Abbildung 7.6 zeigt im Teilbild a das Messprin-
zip und im Teilbild b Bauformen des Wegsen-
sors.
Den Wegsensor setzt man in der Automa-
tisierungstechnik ein, beispielsweise zur Steue-
rung der Bandaufwicklung, zur Füllstandsmes-
sung, zur Positionserfassung bei Handhabungs-
automaten oder zur Wegsteuerung bei fahrerlo-
sen Transportsystemen. Weitere Anwendungen
sind die Abstandsmessung für Fahrzeuge und
die Steuerung von Antriebsmaschinen im Tunnel
bau.

Optische Längensensoren Optische Längen-


sensoren verwendet man häufig zur Steuerung
von Werkzeugmaschinen.
Abbildung 7.7a zeigt das Messprinzip: Zwi-
schen Lichtquelle und Lichtsensor befindet sich
eine Scheibe aus Quarzglas mit einem feinen
Strichmuster (etwa 100 Striche pro mm oder
mehr). Lichtquelle und -sensor sind dabei dem
Werkzeug zugeordnet und der Strichmaßstab
Abb. 7.7 Optische Längensensoren. Werkfoto: Griesha-
dem Werkstück. Mit diesem Sensor kann man
ber. a Messprinzip, b duale Kodierung, c Bauformen
allerkleinste Relativbewegungen erfassen. Wie
Abb. 7.7b zeigt, kann man auch duale Kodie-
rungen abtasten, mit denen Informationen zur sehr kleine Bauteile bestimmbar (statt der Striche
Bearbeitung gespeichert sind (z. B. im Gray- werden Teilchen erfasst).
Kode, Abschn. 11.2.1, Abb. 11.5). Werden die Der Lichtstrahl wird meistens in einem Glas-
Kodierungen kreisförmig angeordnet, so entsteht faserkabel geführt (Abb. 7.7c). Damit sind diese
ein sehr genauer Winkelmesser (Abschn. 11.2.1, Sensoren auch an schwer zugänglichen Stellen
Abb. 11.6). Mit den optischen Sensoren sind auch einzusetzen.
7 Sensoren 391

Abb. 7.8 Drei-Achsen-Magnetometer. Werkfoto: HELA

Magnetische Positionssensoren Über die Mes-


sung des Erdmagnetfeldes kann man Positions-,
Lage- und Bewegungsabläufe bestimmen. Abbil-
dung 7.8 zeigt ein Drei-Achsen-Magnetometer,
bei dem Sensor und Auswerteelektronik auf einer
Platine untergebracht sind. Man benutzt es zur Abb. 7.9 Kraft- und Drucksensoren. a Kraftmessung,
Lagebestimmung von Ballonen und Ozean-Bojen b Druckmessung, c Absolutdruckmessung, d Differenz-
druckmessung
sowie von Raketen und anderen Flugobjekten,
aber auch zur Messung von Magnetfeldern in der
Industrie und der Medizin. p2 ). Man misst jeweils die Durchbiegung des
Sensors.

Piezoelektrische Sensoren Bestimmte Werk-


7.2.2 Kraft- und Druck-Sensoren stoffe (z. B. Quarz) erzeugen bei einer äußeren
Krafteinwirkung eine elektrische Spannung
Sensoren, die eine Kraft F messen (Abb. 7.9a), (piezoelektrischer Effekt). Sensoren, die die-
kann man zur Druckmessung p (meist für Flüs- sen Effekt ausnützen, zeichnen sich vor allem
sigkeiten und Gase) einsetzen, wenn die Kraft F durch eine extreme Temperaturbelastbarkeit (von
in Bezug auf eine klar bestimmte Fläche (p D 270 ı C bis 400 ı C) aus und einen Messbe-
F=A) bestimmt wird (Abb. 7.9b). reich, der sich über mehrere Zehnerpotenzen
Die Kraft muss als Vektor bestimmt werden, erstreckt (von 0 Pa bis 109 Pa). Weiterhin vor-
d. h. der Betrag, die Richtung und der Angriffs- teilhaft ist die dynamische Messung des Drucks.
punkt sind festzustellen. Häufig ist die Richtung Solche Sensoren finden vor allem Einsatz bei
durch eine entsprechende Krafteinleitung noch zu Schwingungsmessungen (Beschleunigungen)
bestimmen, der Angriffspunkt muss aber bekannt periodischer und nicht periodischer Vorgänge,
sein. Zur Messung von Kräften und Drücken beispielsweise an hydraulischen Systemen wie
verwendet man überwiegend Dehnmess-Streifen bei Einspritzpumpen für Dieselmotoren. Abbil-
(DMS), deren Wirkungsweise bereits im vori- dung 7.10 zeigt den piezoelektrischen Effekt
gen Abschnitt behandelt wurde, weshalb hier nur (Teilbild a): Eine äußere Kraft verschiebt das
die Dünnschicht-DMS behandelt werden (pie- Kristallgitter, so dass die elektrischen Dipole an
zoresistiver Sensor). Bei der Druckmessung ist die beiden Außenseiten verschoben werden und
zwischen Absolutdruck und Differenzdruck zu damit eine elektrische Spannung auftritt. Teil-
unterscheiden, wie Abb. 7.9c und 7.9d zeigt. bild 7.10b zeigt den Aufbau eines Sensors und
Bei der Messung des Absolutdrucks wirkt der Teilbild c eine Bauform.
Messdruck p1 gegen einen Referenzdruck im
Vakuum, bei der Differenzdruckmessung wer- Piezoresistive Sensoren Der aufgebrachte
den von außen zwei Drücke zugeführt (p1 und Messdruck bewirkt eine elastische Durchbiegung
392 E. Hering

Abb. 7.10 Piezoelektrischer Sensor für hohe Kräfte und hohe Temperaturen. Werkfoto: Kistler. a Piezoelektrischer
Effekt, b Aufbau, c Bauform

Abb. 7.11 Piezoresistive Sensoren. Werkfoto: Kistler. a Sensorelement, b Kennlinie, c Auswertung, d Bauform

einer Membran aus Silicium. In diese Membran 7.2.3 Temperatur-Sensoren


ist eine Wheatstone’sche Brücke aus halbleiten-
den Widerstandselementen eindiffundiert, die Die Temperatur ist eine in der Praxis sehr häufig
sich proportional zum Messdruck verstimmt. Ab- zu messende Größe. Zum einen sind in Halblei-
bildung 7.11 zeigt die Messzelle, die zugehörige terbauelementen die meisten Eigenschaften stark
Auswertungsschaltung und das Beispiel einer temperaturabhängig und zum anderen sind in vie-
Bauform. len Technologien genaue Temperaturprofile ein-
Piezoresistive Sensoren messen im Gegensatz zuhalten. Zur Temperaturmessung stehen, wie
zu piezoelektrischen Sensoren statische Drücke Tab. 7.1 zeigt, sehr viele Messprinzipien zur Ver-
und halten keine extrem hohen Drücke aus. fügung.
7 Sensoren 393

Abb. 7.12 Thermoelemente. Werkfoto: mawi-therm. a Thermoelektrischer Effekt, b Werkstoffpaare nach DIN-
IEC 584, c Bauformen

Abb. 7.13 Platin-Widerstände. Werkfoto: Degussa. a Messprinzip, b Kennlinie, c Bauformen

Thermoelemente Die Messung beruht auf dem Optische Temperaturmessung (Pyrometer)


thermoelektrischen Effekt, bei dem bestimmte Die Temperaturmessung mit Infrarot-Strahlungs-
Werkstoffpaare bei einer Temperaturdifferenz ei- thermometern ist eine berührungslose Messung,
ne Spannung erzeugen. Abbildung 7.12 zeigt den die einen sehr weiten Temperaturbereich (von
thermoelektrischen Effekt, gängige Werkstoffe 0 ı C bis 3500 ı C) umfasst. Diese Thermome-
nach DIN-IEC 584 und zugehörige Bauformen. ter werden überwiegend dort eingesetzt, wo
Temperaturen an sehr kleinen, sich bewegen-
Widerstandsthermometer Die Temperaturab- den Objekten zu messen sind, wo kein Kontakt
hängigkeit des Widerstandes kann zur Tempera- zum messenden Objekt hergestellt werden darf
turmessung herangezogen werden. Verwendung oder wo die Temperaturen zu hoch sind (z. B. bei
finden vor allem Heißleiter (NTC-Widerstände, der Temperaturmessung von Metallschmelzen, in
Abschn. 2.2.3.1), Kaltleiter (PTC-Widerstände, Glühöfen oder für Walzenstraßen). Tabelle 7.2
Abschn. 2.2.3.3) und das NTC-Silicium (Ab- zeigt Typen von Infrarot-Strahlungsthermome-
schn. 2.2.3.2). tern, eingeteilt nach der Wellenlänge, dem Tem-
Sehr genaue (Toleranzen bis 0,1 %) und in- peraturbereich und den Anwendungsgebieten.
zwischen auch preiswerte Sensoren sind Platin- Bei dem in Abb. 7.14 dargestellten Zweifar-
Widerstände, wie sie Abb. 7.13c zeigt. ben-Pyrometer misst man die Signale zweier be-
394 E. Hering

Tab. 7.2 Infrarot-Strahlungsthermometer


Wellenlänge .m/ Temperaturbereich (ı C) Anwendungen
0,9 500 ı C bis 3000 ı C Hochtemperaturmessung im Eisenhüttenbereich und Verfahren zur
Halbleiterherstellung
0,9 bis 1,08 300 ı C bis 2800 ı C Messung an Si-Wafern und Metallen im Hochtemperaturbereich
0,91 bis 0,97 400 ı C bis 2000 ı C Messung an GaAs-Wafern
0,95 bis 1,05 700 ı C bis 3500 ı C Zweifarbenpyrometer für schwierige Hochtemperaturmessungen
(Staub, Rauch)
1,64 250 ı C bis 1100 ı C Mittlerer Temperaturbereich für Metalle
2 bis 2,6 80 ı C bis 800 ı C Niedriger bis mittlerer Temperaturbereich; für Metalle und Kunst-
stoffe
3,43 0 ı C bis 800 ı C Transparente Kunststoff-Folien und andere organische Be-
schichtungsmaterialien (z. B. Lacke, Öle). Messung des CH-
Absorptionsbandes
4,8 bis 5,2 50 ı C bis 1300 ı C Kontrolle von Glasoberflächen
7,92 20 ı C bis 400 ı C Transparente Kunststoff-Folien (z. B. Polyester und Fluorcarbone)
8 bis 14 0 ı C bis 500 ı C Niedriger Temperaturbereich, Papiertrocknung, Kontrolle der Auf-
heizung mit Infrarot-Strahlern

Abb. 7.14 Zweifarben-Pyrometer. Werkfoto: IRCON

nachbarter Wellenlängen als relative Intensitä-


7.3 Werkstoffe und Technologien
ten. Auf diese Weise ist der Einfluss von Rauch,
Staub und kleinen Partikeln (die den Strahlen- 7.3.1 Siliciumtechnik
gang nicht ganz ausfüllen) auf die Temperatur-
messung ausgeschaltet, da beide Wellenlängen 7.3.1.1 Vorteile von Silicium
gleichermaßen betroffen sind. Dies ist vor allem Als Basismaterial für Sensoren spielt Silicium ei-
in rauer Industrieumgebung (z. B. bei Hochöfen) ne bedeutende Rolle, weil es folgende Vorteile
wichtig. bietet:
7 Sensoren 395

Tab. 7.3 Physikalische Effekte in Silicium Druck- und Beschleunigungsmessung heran (Ab-
Physikalische Größe Effekt schn. 7.2.2). Statt Dehnmess-Streifen auf Silici-
Kraft, Druck, piezoresistiver Effekt um aufzubringen, können auch Piezowiderstände
Beschleunigung in Silicium diffundiert werden. Durch die Planar-
Temperatur pn-Übergang Bahnwiderstand technologie kann man zweidimensionale Sensor-
Magnetfeld Hall-Effekt felder (Sensor-Arrays) erzeugen, die komplexe
Licht Foto-Effekt, Foto-Voltaik
Messungen auf kleinstem Raum zulassen. Mit
chemisch Chem-FET (ionensensitiv)
einem solchen Sensor, der 50 Siliciumzungen
unterschiedlicher Eigenfrequenz in der Größen-
ordnung einiger Mikrometer hat, wertet man bei-
Verfügbarkeit Silicium gehört zu den Elemen-
spielsweise Vibrationsmessungen über eine Fou-
ten, die auf der Erde am häufigsten vorkommen,
rieranalyse (Abschn. 1.6.4) flächendeckend aus.
so dass es auf lange Zeit billig verfügbar sein
wird.
Temperatur-Sensoren Hierbei verwendet man
Technologie Die Herstellung eines Einkristalles
das NTD-Silicium (Neutron Transmutated
gelingt perfekt und ist preiswert. Die Fertigungs-
Doped), wie es in Abschn. 2.2.3.2 (Abb. 2.9)
technologie zur Weiterverarbeitung von Silicium-
ausführlich beschrieben ist. Diese Tempera-
Einkristallen ist sehr gut zu beherrschen.
tursensoren zeichnen sich durch sehr enge
Toleranzen, durch hohe Zuverlässigkeit und
Stellung zwischen Leiter und Isolator Als Ele- Langzeitkonstanz aus und sind im Hoch- und
ment der Gruppe 4 liegt es in seiner Leitfähig- Höchstfrequenzbereich einsetzbar.
keit zwischen den guten Leitern (z. B. Metallen)
und den Isolatoren (z. B. Kunststoffen) und ist
deshalb ein ideales Halbleitermaterial. Durch Zu- Magnetoresistive Sensoren
satz von Fremdatomen (Dotieren) können höhere Ein stromdurchflossener Leiter hat einen Wider-
Leitfähigkeiten eingestellt werden; als SiO2 ist es stand R. Wirkt ein Magnetfeld in der Ebene
eine gläserne Isolatorschicht. des stromdurchflossenen Leiters, so ändern die
Elektronen wegen der Lorentz-Kraft ihre Bahn.
Werkstoffeigenschaften Die verschiedenen Es entsteht eine transversale Spannung (Hall-
Modifikationen von Silicium (z. B. polykristal- Effekt), und der Widerstand R in Stromrichtung
lin oder amorph) bieten vielfältige Anwendungs- wird geringer (Magnetowiderstand).
möglichkeiten. Unterschiedliche Volumen- und Die Widerstandsänderung ist von der Stärke
Grenzschichteffekte sind die Grundlage für ganz und von der Richtung des Magnetfeldes (relativ
spezielle Sensoranwendungen (z. B. Temperatur- zum Strom) abhängig. Deshalb eignet sie sich
sensor oder Fotozellen). Silicium ist auch ein für Positions-, Winkel- und Strommessungen.
ideales Trägermaterial für dünne Schichten. Silicium zeigt eine verhältnismäßig geringe Be-
weglichkeit der Ladungsträger; deshalb ist der
7.3.1.2 Physikalische Effekte Hall-Effekt nicht besonders ausgeprägt. Verwen-
In Tab. 7.3 sind die verschiedenen physikali- dung finden in diesem Fall weichmagnetische
schen Effekte in Silicium zusammengestellt, die Materialien aus FeNi, die in mäanderförmigen
für Sensoranwendungen von Nutzen sind. Streifen auf der Oberfläche eines Silicium-
kristalls aufgebracht sind (Abb. 7.15a). Die
Kraft-, Druck- und Beschleunigungs-Sensoren vier Sensorelemente sind diagonal geschaltet,
Der Silicium-Einkristall wird in verschiedenen so dass sie bei Temperaturschwankungen ver-
Kristallrichtungen geätzt, wodurch druckabhän- hältnismäßig unempfindlich sind und man das
gige Widerstände (piezoresistiver Effekt) erzeugt Mess-Signal über eine Brückenschaltung aus-
werden. Diese Sensoren zieht man zur Kraft-, werten kann.
396 E. Hering

Abb. 7.16 Prinzip eines ChemFET

Durch Lichteinfall entstehen Ladungsträger,


die durch getaktete Spannungen weitertranspor-
tiert werden (ladungsgekoppelte Schaltelemente,
Charge-Coupled-Devices, CCD). Auf diese Wei-
se kann man CCD-Zeilensensoren mit MOS-
Strukturen erzeugen, die die Bildinhalte durch
die entsprechenden Ladungsansammlungen aus-
werten. Die Informationen werden zum Aus-
werten sequenziell weitergeschoben. Die lineare
Sensorzeile kann von 256 Pixel bis zu 5184 Pi-
xel umfassen (Pixelgröße: 7 m 7 m bzw.
13 m 13 m), Flächensensoren bis zu 1,5 Mil-
lionen Pixel. Die Ausleseraten der gespeicherten
Information betragen bis zu 40 MHz.

Chemische Sensoren Die meisten chemischen


Sensoren basieren auf dem Feldeffekt, ähnlich
Abb. 7.15 Magnetoresistiver Sensor. Werkfoto: Philips der Wirkungsweise des Feldeffekttransistors
Components. a Sensorchip mit 4 Sensorelementen, b An- (FET, Abschn. 3.3). Durch die Isolationsschicht
ordnung der Sensorelemente in einer Brückenschaltung, von SiO2 wird der chemische Teil vom elektri-
c Sensor schen getrennt, so dass der chemische Prozess
die elektronischen Eigenschaften steuern kann
(ChemFET). Abbildung 7.16 zeigt das Schema
Foto-Sensoren Durch Lichtenergie können La- eines solchen chemischen Sensors.
dungsträger vom Valenz- ins Leitungsband geho- Wie Abb. 7.16 zeigt, besteht das Tor (Gate)
ben werden, so dass sich die Leitfähigkeit erhöht beispielsweise aus einer dünnen Palladium-
(Abschn. 1.8.2, Abb. 1.65). Die Lichtabhängig- Membran auf SiO2 . Oberhalb des Tors befindet
keit der Eigenschaften von Dioden und Transis- sich eine elektrolytische Lösung, bei der H2
toren sowie die Funktionsweise von Solarzellen durch einen katalytischen Prozess mit Pd in
auf Silicium-Basis sind in der Optoelektronik 2 H aufgespalten wird, deren Dipolmomente das
(Kap. 6) ausführlich beschrieben. elektrische Feld zwischen den beiden n-leitenden
Lichtempfindliche Sensoren auf Silicium-Ba- Gebieten beeinflussen. Damit hängt die Kenn-
sis eignen sich vorzüglich zur Bildauswertung. linie des ChemFET von der H2 -Konzentration
7 Sensoren 397

Abb. 7.18 Mehrlagige Dünnschichttechnik

Nachteilig sind die hohen Prozesstemperaturen


Abb. 7.17 Verfahren der Dünnfilmtechnik (über 250 ı C), bei denen die Verfahren ablaufen
müssen, und die Tatsache, dass es noch keine
des Elektrolyten ab. Mit solchen Sensoren kön- Abscheideverfahren für Metalle gibt. Aus diesem
nen nicht nur Konzentrationen von Wasserstoff, Grund findet das CVD-Verfahren nur für Isolati-
sondern auch wasserstoffhaltige Gase (z. B. NH3 ons- und Passivierungsschichten Verwendung.
oder H2 S) gemessen werden. Zu den physikalischen Verfahren zählt das
Mit einem leicht modifizierten FET kann man Hochvakuumverdampfen und das Kathoden-
auch andere Gas- oder Ionenkonzentrationen be- zerstäuben (Sputtern). Beim Hochvakuumver-
stimmen (Abschn. 7.3.5.2, chemische Feldeffekt- dampfen wird das abzuscheidende Material
transistoren). entweder elektrisch oder durch Beschuss mit
schnellen Elektronen (e-beam-Verfahren) er-
hitzt und schlägt sich am gegenüberliegenden
Substrat nieder. Die Temperaturen sind zwar
7.3.2 Dünnschichttechnik nicht hoch, aber die Schichten sind meist porig
und haften schlecht. Beim Kathodenzerstäuben
Die Dünnschichttechnik erlaubt es, Strukturen wird ein energiereiches Plasma eines Edelgases
mit bis zu 2 m Breite und 0,01 m Dicke zu (meist Argon) erzeugt, dessen schnelle Ionen das
erzeugen. Damit wird es möglich, die Bauteile aufzubringende Material zerstäuben. Dieses Ver-
weiter zu verkleinern und die Informations- und fahren ist universell einsetzbar, weil annähernd
Speicherdichten bei gleichzeitiger Steigerung der alle Werkstoffe zerstäubt werden können.
Zuverlässigkeit zu erhöhen.

7.3.2.2 Anwendungen
7.3.2.1 Verfahren Die häufigsten Anwendungen liegen derzeit auf
Dünne Schichten aus Metall oder Isolationswerk- dem Gebiet der Messwiderstände zur Tempe-
stoffen werden mit chemischen oder physikali- raturmessung (Platin, Nickel und NiCr) oder
schen Verfahren auf Trägerwerkstoffe (Substrate) als Dehnmess-Streifen (DMS). Wegen seines
wie Silicium, Keramik, Glas oder Kunststoff- geringen Stromrauschens ist Gold für Strah-
Folien aufgebracht (Abb. 7.17). lungssensoren geeignet. Werden Kondensatoren
Wie Abb. 7.17 zeigt, gibt es die CVD-Verfah- mit feuchteempfindlichem Dielektrikum herge-
ren (Chemical-Vapour-Deposition) und die PVD- stellt, dann ergeben sich Feuchtesensoren, die
Verfahren (Physical-Vapour-Deposition). In den in der Verfahrenstechnik von großem Interesse
CVD-Verfahren finden chemische Reaktionen sind. Thermoketten bestehen aus einem Verbund
zwischen Gasen und der Substratoberfläche statt, mehrerer Metalle (z. B. Cu und Ni oder Bi und
die zur Abscheidung dünner Filme führen. Die Sb), die eine Spannung erzeugen, die von der
Reaktionen können durch Wärme, durch Plas- Wärmeeinstrahlung abhängig ist. Mit mehrla-
ma (Plasma-Enhanced, PE-CVD) oder durch gigen Feinstleiterstrukturen kann man mehrere
Licht (Light-Enhanced, LE-CVD) beeinflusst Chips auf einem Substrat erzeugen (Multi-Chip-
werden. Die Vorteile von CVD-Schichten liegen Module, MCM), wie Abb. 7.18 schematisch
in der großen Reinheit und der Porenfreiheit. zeigt.
398 E. Hering

Abb. 7.19 Strömungssensoren. Werkfotos: Battelle. a Thermischer Flusssensor, b vektorieller Strömungssensor

7.3.3 Dickschichttechnik aufgebracht sind. Wird der Sensor von Gas oder
Wasser umspült, nimmt die Wärmeabfuhr zu.
Bei der Dickschichttechnik bringt man im Sieb- Je größer die Strömungsgeschwindigkeit eines
druckverfahren passive Bauelemente auf das Mediums ist, umso größer ist die gemessene
Substrat auf, wobei die Schichtdicken im Be- Wärmeabfuhr. Druckt man den Thermowider-
reich von 10 m bis 20 m liegen. Die wirksame stand in bestimmten Geometrien auf, dann
Substanz ist in der Paste mit Glaspulver und können auch die Richtungen der Strömung er-
einem organischen Lösungsmittel vermischt, mittelt werden. Abbildung 7.19a zeigt einen
so dass die entsprechenden physikalischen Ei- thermischen Fluss-Sensor zur Messung des
genschaften (z. B. Temperaturkoeffizient oder Massedurchflusses einer Flüssigkeit oder eines
Viskosität) je nach Anforderung einstellbar sind. Gases. Im unteren Teil ist das Sensorelement
Als Pasten werden hauptsächlich verwendet: zu sehen, das die Leiterbahnstruktur, einen
Leiterbahnpasten, Widerstandspasten (auf Oxid- Heizwiderstand und einen Temperatursensor
basis), Dielektrikapasten (Bariumtitanat) und enthält. Abbildung 7.19b zeigt einen vektori-
Abdeckpasten. ellen Strömungssensor in Dickschichttechnik. Im
In den Dickschichtschaltungen verwendet Mittelpunkt der Anordnung befindet sich eine
man häufig aktive Bauelemente (z. B. Verstärker punktförmige Wärmequelle (geheizter Dick-
oder A=D-Wandler), so dass Hybridschaltungen schichtwiderstand), die auf die kreisförmig
entstehen (Abschn. 1.9.4, Abb. 1.92), bei denen angeordneten NTC-Widerstandssensoren wirkt.
der Sensor und die Auswerteelektronik integriert Je nach Strömungsrichtung werden entspre-
sind. Die wesentlichen Vorteile dieser Sensoren chende Segmente gekühlt oder aufgeheizt und
bestehen in der hohen thermischen und elektri- ermöglichen auf diese Weise eine Richtungsaus-
schen Belastbarkeit sowie in der Zuverlässigkeit wertung der Strömung.
und Betriebssicherheit auch in aggressiver Indus-
trieumgebung. Kapazitiver Positionssensor In Dickschicht-
Folgende Anwendungen sollen beispielhaft technik wird eine Leiterbahnmatrix aufgebracht,
angeführt werden: deren x- und y-Richtung unterschiedliche Fre-
quenzen (z. B. 1 kHz und 4 kHz) aufweisen. Wird
Strömungsmesser Der thermische Durchfluss- darüber eine flache Elektrodenanordnung be-
Sensor besteht aus einem Heizwiderstand und wegt, dann koppeln die Kapazitäten bestimmte
aus einem Widerstand zur Temperaturmessung, elektrische Signale aus, die sich zur Positionsbe-
die beide auf einem Substrat aus Al2 O3 -Keramik stimmung nach Betrag und Richtung eignen.
7 Sensoren 399

Tab. 7.4 Physikalische Größen und ihre optischen Effekte


Physikalische Größe Optischer Effekt
Mechanisch Kraft Spannungsdoppelbrechung
Druck Piezooptischer Effekt
Verbiegung Piezoabsorption
Dichteänderung Tribolumineszenz
Elektrisch Elektrisches Feld Elektrooptischer Effekt
Dielektrische Elektrochromer Effekt
Polarisation elektrischer Strom Elektrolumineszenz
Magnetisch Magnetfeld Magnetooptischer Effekt
Magnetische Polarisation
Temperatur Temperaturabhängige optische Parameter (z. B. Wellenlänge)
Thermolumineszenz
Strahlung Lichtstärke Absorption
Kernstrahlung Lumineszenz
Röntgenstrahlung Strahlungsinduzierte Lumineszenz
Chemische Substanzen Änderung der Reflexion, der Absorption und des Brechungsindex
Fluoreszenz

Fotowiderstände Mit fotoleitender Paste aus Je nach Art der Modulation des Lichts sind
CdS, CdSSe oder CdSe können Fotowiderstände verschiedene Anwendungen denkbar.
aufgedruckt werden, wie sie für Lichtschranken
Verwendung finden.
7.3.4.1 Modulation der Lichtstärke
Hierbei handelt es sich um eine Änderung der
Lichtintensität durch Änderung des Brechungs-
7.3.4 Faseroptische Sensoren indexes, der Absorption oder der Emission. Ab-
bildung 7.20 zeigt einige Sensor-Prinzipien. Die
In Kap. 6 (Optoelektronik) sind die physikali- Messung erfolgt analog.
schen Grundlagen bei der Umwandlung optischer Mit Hilfe der Faser-Faser-Kopplung (Abb.
in elektrische Signale (und umgekehrt) ausführ- 7.20a) kann man digitale Signale übertragen.
lich erläutert sowie die einzelnen Bauelemente Nach diesem Verfahren arbeitet beispiels-
beschrieben, die auch als Sensoren Einsatz finden weise das digitale optische Flugleitsystem.
(in Abschn. 6.3.2: Lumineszenzdioden (LED) Weitere Anwendungen sind die Übermittlung
und Halbleiterlaser; in Abschn. 6.4 Anzeige- von Schaltzuständen in elektrischen Schaltun-
arten (LED-, Vakuum-Fluoreszenz-, Plasma- gen. Mit einem Lichtunterbrecher kann man
und Flüssigkristall-Displays); in Abschn. 6.5 die Schwingungszustände in rotierenden Maschinen
Bauelemente: Fotowiderstand, Fotodiode, Foto- analysieren oder digitale Informationen über-
transistor, Fotothyristor, Solarzelle, Bildsensoren tragen. Der Y-Reflexionssensor erzeugt analoge
und in Abschn. 6.6 die Optokoppler. Dieser Ab- Signale, mit denen beispielsweise Schwingun-
schnitt befasst sich mit faseroptischen Sensoren gen gemessen und Oberflächeneigenschaften
(Lichtwellenleiter, LWL), deren Grundlagen in untersucht werden können. Vor allem durch die
Abschn. 6.7 nachzulesen sind. Auswertung des Microbending-Effekts (kleinste
Die physikalischen Effekte, die für faseropti- Auslenkungen haben einen messbaren optischen
sche Sensorsysteme in Frage kommen, beziehen Effekt zur Folge, z. B. eine andere Strahlenfüh-
sich auf die Reflexion, Absorption oder Reemis- rung) ist es möglich, Dehnungen, Drücke und
sion von Licht oder auf die Änderung des Bre- Durchflüsse zu messen sowie Schwingungsana-
chungsindexes n (Tab. 7.4). lysen durchzuführen.
400 E. Hering

Abb. 7.20 Sensorprinzipien zur Modulation der Lichtintensität. a Faser-Faser-Kopplung, b Lichtunterbrechung,


c Y-Reflexionsschranke, d Microbending

7.3.4.2 Modulation der Wellenlänge mischen Zelle finden Redoxreaktionen statt, etwa
Häufig wird die wellenlängenabhängige Absorp- gemäß folgender Gleichung:
tion zur Messung herangezogen oder die Tem-
peraturabhängigkeit des Bandübergangs eines Gasred • Gasox C e :
Halbleiters. Diese faseroptischen Strahlungspyro-
Diese Reaktionen setzen Elektronen frei (oder
meter gestatten die Temperaturmessung im Be-
verbrauchen Elektronen). Man misst entweder
reich von 600 ı C bis 1100 ı C an schwer zugängli-
das Potenzial zwischen den Elektroden oder den
chen Stellen (z. B. zur Temperaturmessung einer
Stromfluss.
Turbinenschaufel im Flugzeugtriebwerk). Mit
Faserbündeln kann man die Temperaturvertei- Bestimmung von Kohlenmonoxid (CO) In
lung erfassen. diesem Fall liegt folgende Reaktion zugrunde:

7.3.4.3 Modulation der Polarisation CO C H2 O ! CO2 C 2HC C 2 e :


Die Polarisationsrichtung des Lichtes wird
beispielsweise im Magnetfeld gedreht (Faraday- Der Reaktionspartner CO verbraucht Wasser und
Effekt). Man nutzt diesen Effekt, um den Strom erzeugt Kohlendioxid (CO2 ). Die Messung er-
in Hochspannungskabeln zu messen. folgt mittels Platin-Elektroden gegen eine rever-
sible Wasserstoff-Elektrode, wobei Spannungen
bis zu 1000 mV und Ströme im Mikro- bis Na-
noamperebereich gemessen werden müssen.
7.3.5 Chemische Sensoren
Sauerstoff-Bestimmung mit der -Sonde Ab-
Chemische Sensoren sind in der Lage, chemi- bildung 7.21a zeigt die Messzelle, bestehend aus
sche Stoffe und ihre Konzentrationen kontinuier- einem Festelektrolyten aus ZrO2 , der fähig ist,
lich und ohne großen Zeitaufwand zu bestimmen. Sauerstoffionen zu leiten. Auf beiden Seiten
Deshalb spielen sie zur Regelung und Steuerung des Festelektrolyten sind poröse Edelmetall-
verfahrenstechnischer chemischer Anlagen eine Elektroden (meist aus Platin) aufgebracht, durch
wichtige Rolle. die das Sauerstoffgas diffundieren kann. Die ei-
ne Elektrode befindet sich dabei im Abgaskanal
7.3.5.1 Elektrochemische Sensoren (zwischen 300 ı C und 800 ı C) und die andere
Elektrochemische Sensoren wandeln chemische in Luft. Der Potenzialunterschied zwischen den
Größen in elektrische Signale um, die elektro- beiden Elektroden ist ein Maß für den Sauerstoff-
nisch weiterverarbeitet werden. Im Folgenden gehalt des Abgases. Bei einer stöchiometrischen
werden Gassensoren zur Bestimmung von Koh- Gemischbildung ( D 1) ist der Schadstoffaus-
lenmonoxid und Sauerstoff beschrieben, wie man stoß am geringsten. Wird der Bereich < 1
sie vor allem zur Bestimmung in Abgasen von (fettes Gemisch) bis > 1 (mageres Gemisch)
Kraftfahrzeugen verwendet. In einer elektroche- durchlaufen, dann ändert sich die Konzentration
7 Sensoren 401

Abb. 7.21 -Sonde. Werkfoto: Battelle. a Aufbau, b Kennlinie. c Dickschichtsensor

Tab. 7.5 Gatewerkstoffe des ISFET zum Nachweis von


Ionen
Gateschicht Nachweisbare Substanzen
dielektrisch:
Al2 O3 , Si3 N4 , Ta2 O5 , Al-, H3 OC , Ca2C , KC , NaC
B-, Na-Al-Silikat
kristallin:
AgBr, AgCl, Ag2 S, LaF3 AgC , La3C , Br , Cl , F ,
S2
heterogen:
Enzyme, Bakterien usw. in AgC , Ca2C , H3 OC , KC ,
PVC-Matrix NaC , Cl , F , S2 ,
Penicillin, Glukose

des Sauerstoffs bei D 1 sprungartig um mehre-


re Zehnerpotenzen (Abb. 7.21b). Deswegen kann
dieser Punkt messtechnisch sehr gut erfasst und
das Kraftstoffgemisch optimal geregelt werden. Abb. 7.22 pH-Wert-Messung mit einem ISFET
In Abb. 7.21c ist ein potentiometrischer Dick-
schichtsensor als -Sonde abgebildet. Die Gate-Werkstoffe werden nach dem
Verfahren der MOS-Technologie aufgebracht,
so dass die Entwicklung der ISFET keiner
7.3.5.2 Chemische Feldeffekttransistoren besonderen Verfahrensentwicklung bedurfte. Ab-
In Abb. 7.16 ist der prinzipielle Aufbau eines bildung 7.22 zeigt die Schaltung eines ISFET zur
chemischen Feldeffekttransistors (ChemFET) ge- Messung des pH-Wertes.
zeigt. Man misst meistens die Konzentration von
Ionen, deshalb nennt man diese Sensoren auch io- 7.3.5.3 Optochemische Sensoren
nensensitive Feldeffekttransistoren (ISFET). Die (Optoden)
Gate-Werkstoffe werden je nach zu messenden Optochemische Sensoren oder Optoden nützen
Ionenarten ausgewählt (Tab. 7.5). Man verwendet zur Bestimmung von chemischen Substanzen op-
auch Feldeffekttransistoren mit einer Gateschicht tische Effekte aus. Dies sind im Wesentlichen die
aus Enzymen (ENFET) oder aus anderem biolo- Absorption, Reflexion und Reemission von Licht
gischen Material (BioFET) zur Bestimmung der oder die Fluoreszenz. Wie Abb. 7.23 zeigt, be-
Konzentration medizinisch wichtiger Substanzen steht die Optode aus einer Lichtquelle (Laser,
(z. B. Cholesterose oder Harnstoff). Leuchtdiode oder Halogenlampe), deren Licht
402 E. Hering

bedeutende Rolle. Deshalb werden die Anwen-


dungen vor allem in der Fertigungstechnik liegen
und dort hauptsächlich im Bereich der Auto-
matisierungs- und Robotertechnik. Die dafür
notwendigen Sensorsysteme müssen außer dem
Sensorelement die Elektronik zum Auswerten
und Steuern der Parameter beinhalten (intelligen-
te Sensorsysteme).
Die einzelnen Messgrößen werden dabei on-
Abb. 7.23 Aufbau einer Optode
line (direkt im Fertigungsprozess) oder offline
(außerhalb des Fertigungsprozesses) erfasst.
Bei Online-Messungen können unerwünschte
über einen Lichtwellenleiter zur Mess-Stelle der
Prozessgrößen (z. B. zu langsame Schnittge-
Substanz geführt wird. Dort wird das Licht ent-
schwindigkeiten) oder Abweichungen der Lage
sprechend verändert und über den Lichtwellen-
von Werkstücken direkt korrigiert werden. Vor-
leiter zurückgeführt, filtriert und fokussiert. An-
aussetzung ist, dass die Schnittstellen zu den
schließend wird das optische Signal in ein elektri-
Steuerprogrammen anderer Fertigungsanlagen
sches umgewandelt und elektronisch weiterverar-
sowie zu den sonstigen rechnergesteuerten Pro-
beitet. Die wesentlichen Vorteile bestehen darin,
zessen (z. B. Materialwirtschaft) standardisiert
dass der Sensor direkt zum Messobjekt geführt
sind (Kap. 15).
werden kann, und dass eine vollständige elektri-
Von besonderer Bedeutung ist in produzieren-
sche Trennung zwischen dem optischen Sensor
den Unternehmen die Koordinaten-Messtechnik.
und dem Messinstrument stattfindet. Deshalb ist
Sie erfasst die Geometrie von Bauteilen vollstän-
diese Messung unempfindlich gegenüber elektro-
dig in einem einheitlichen Koordinatensystem.
magnetischen Störfeldern.
Abbildung 7.24 zeigt die Einteilung von Koordi-
Außer den Anwendungen in Medizin, Biolo-
naten-Mess-Systemen.
gie und Chemie finden die Optoden vor allem
Man unterscheidet dabei taktile (berührende)
zur Messung der Schadstoffkonzentration in der
von berührungslosen Sensoren.
Umwelttechnik oder zur Kontrolle von Ver-
fahrensprozessen Verwendung. Eine weitere
Schaltende taktile Sensoren Dabei fährt ein
Anwendung liegt im Bereich des Korrosions-
Taktstift gegen das zu messende Bauteil, bis
schutzes. Aber auch andere Einsatzgebiete sind
das Widerstandsmoment so groß wird, dass sich
denkbar, beispielsweise als Auslöseschalter für
der Schaltkontakt öffnet und ein Signal aussen-
die Bereitstellung von Sauerstoffmasken beim
det, welches die Koordinate bestimmt. Wird der
Druckabfall im Flugzeug.
Taktstift nach einer Messvorschrift an die ent-
sprechenden Stellen gefahren, dann werden die
Koordinaten des Bauteils erfasst bzw. errechnet.
7.4 Bevorzugte Einsatzgebiete In Abb. 7.25 sind verschiedene Koordinaten-
Messmaschinen zu sehen, wie sie für unter-
Die Fabrik der Zukunft wird eine rechnergesteu- schiedliche Anwendungen und Genauigkeiten
erte Produktion (Computer-Integrated-Manufac- im Einsatz sind.
turing, CIM) aufweisen mit der Besonderheit, Bedeutsam ist auch die Werkstoffauswahl für
dass die Material- und Informationsflüsse vom die Tastköpfe. In der Regel werden Saphir, Sili-
Einkauf bis zum Vertrieb über Rechner miteinan- ciumnitrid, Sternsaphir, Diamant und keramische
der vernetzt sind (Industrie 4.0). Hierbei spielen Materialien eingesetzt. Am Häufigsten wird der
die Sensoren zur Ermittlung, Überwachung und rote Saphir verwendet, weil dieser besonders ab-
zur Steuerung wichtiger Prozessgrößen eine riebfest ist.
7 Sensoren 403

Abb. 7.24 Einteilung


der Koordinaten-Mess- Koordinaten-
Systemen Messtechnik

Takle Berührungslose
Sensoren Sensoren

Schaltende Messende Lichtopsche Bildgebende


Sensoren Sensoren Sensoren Sensoren
(Einzelpunkte) (2D und 3D)

Chroma- Interfero-
Triangu-
sche metrische
laon
Sensoren Sensoren

Messende bzw. scannende taktile Sensoren Oberfläche und vom inneren Zustand eines Bau-
Hierbei erfolgt ein kontinuierliches Abtasten teils. Von besonderer Wichtigkeit ist die Röntgen-
des Bauteils entlang von definierten Linien. Computer-Tomografie. Dabei wird das Bauteil ei-
Die Messergebnisse in diesen Linien sind eine ner energiereichen Röntgenstrahlung ausgesetzt,
Folge der Einzelmessungen der entsprechen- wobei die transmittierte Strahlung ein Bild er-
den Messpunkte. Die Genauigkeit hängt von der zeugt. Mit komplizierten Algorithmen werden
Scangeschwindigkeit und von der Messfrequenz dreidimensionale Bilder erzeugt, die auch Auf-
ab. Durch den Scanvorgang werden bedeutend schluss über den inneren Zustand des Bauteils
mehr Informationen über die geometrischen Ver- geben (z. B. Fehlstellen oder Fremdeinschlüsse in
hältnisse der Bauteile erzeugt. Gussteilen). Diese Messtechnik ist insbesondere
für die Materialforschung äußerst bedeutend.
Berührungslose lichtoptische Sensoren Diese
Sensoren ermöglichen über die Effekte der Tri-
angulation, der unterschiedlichen Farben (chro-
Dreh-Schwenk-Gelenke Um die äußeren Geo-
matische Sensoren) oder der Interferometrie die
metrien und die inneren Eigenschaften von
berührungslose und damit verschleißfreie Mes-Bauteilen in allen Richtungen messen zu kön-
sung einzelner Punkte. nen, müssen die Sensoren in alle drei Richtungen
schwenken können. Dies wird durch speziel-
Berührungslose bildgebende Sensoren Diese le Dreh-Schwenk-Gelenke ermöglicht, wie dies
Sensoren ermöglichen ein echtes Bild von der Abb. 7.26 zeigt.

Abb. 7.25 Typen von Koordinaten-Messmaschinen. a Gelenkarm-, b Horizontalarm-, c Portal- und d Gantry-
Koordinaten-Messmaschinen (Werkfotos: Faro Technologies Inc., Carl Zeiss IMT GmbH)
404 E. Hering

Abb. 7.26 a Kontinu-


ierliches Dreh-Schwenk-
Gelenk und b optischer
Triangulationssensor
(Werkfoto: Carl Zeiss IMT
GmbH)

Ebenso bedeutend ist der Einsatz der Sensoren se und Einsatzgebiete. ViewegCTeubner Ver-
beim Verbraucher. Als wichtige Anwendungsbe- lag.
reiche sind beispielsweise zu nennen: Motoren  Hesse, S., Schnelle, G.: (2011) Sensoren für
und Heizungen, ferner Dosierung von Hilfs- die Prozess- und Fabrikautomation: Funkti-
stoffen, beispielsweise von Waschpulver bei on – Ausführung – Anwendung. 5. Auflage,
Waschmaschinen und Enthärter bei Geschirr- ViewegCTeubner Verlag.
spülern. Dadurch kann man einen Beitrag zur  Reichl, H.: Halbleitersensoren. Esslingen: Ex-
Verringerung der Umweltbelastung und zur Ein- pert Verl. 1989.
sparung von Rohstoffen und Energie leisten.  Schanz, G. W.: (2004) Sensoren – Fühler der
Messtechnik. 3. Auflage, Hüthig Verlag.
 Sensoren – Technologie und Anwendung.
7.5 Weiterführende Literatur (1988) VDI-Bericht 677. VDI-Verlag.
 Technisches Messen. Sonderheft: (1983, 1988
 Hering, E., Schönfelder, G.: (2011) Sensoren und 1989) Sensoren. München: Oldenbourg
in Wissenschaft und Technik. Funktionswei- Verlag.
Analoge integrierte Schaltungen
8
Klaus Bressler und Rolf Martin

8.1 Herstellung und Technologie ringe Preis je aktives Element der heute verwen-
deten komplexen analogen und digitalen Schal-
Integrierte Schaltungen bestehen aus einer Viel- tungen haben die Verbreitung der Elektronik in
zahl von passiven und aktiven Bauelemen- alle Lebensbereiche möglich gemacht. Die auch
ten (z. B. Widerstände, Dioden, Kondensatoren bei analogen Halbleitern erheblich verbesserte
oder Transistoren), die durch eine entsprechen- Herstellungstechnologie erlaubt heute den pro-
de Schaltung miteinander verbunden sind. Aus blemlosen Aufbau leistungsfähiger Analogschal-
diesen Bauelementen baut man größere und kom- tungen. Diese sind vor allem dann kleiner und
pliziertere monolithische Schaltungen auf sehr preisgünstiger als Digitalschaltungen, wenn die
kleinem Raum auf (z. B. in einem Chip der Schnittstellen analoge Signale verlangen, die Ge-
Kantenlänge 0,5 bis 2 mm). Auf einer Silicium- nauigkeit nicht allzu groß sein muss oder die
Scheibe lassen sich gleichzeitig sehr viele identi- Signalverarbeitung sehr schnell sein muss, wie
sche integrierte Schaltungen unterbringen. Durch beispielsweise für eine schnelle Regelung.
die Massenproduktion der integrierten Schaltun- Soll ein analog erfasstes Signal digital weiter-
gen entfällt auf jede nur ein kleiner Teil der verarbeitet werden, beispielsweise vor und in ei-
hohen Entwicklungs- und Fertigungskosten, so nem Digital-Analog-Wandler, dann darf der Ana-
dass die integrierte Schaltung nicht nur wesent- logteil keine zusätzlichen Fehler verursachen.
lich kleiner, sondern auch billiger und – wegen Moderne Präzisionsverstärker arbeiten auch bei
der geringen Anzahl an Lötverbindungen – auch 16 Bit Auflösung (entsprechend  15  106 ) im
zuverlässiger ist. Voraussetzung für eine hohe Digitalteil ausreichend genau. Die Entwicklung
Zuverlässigkeit ist ein geeignetes Gehäuse, das geht immer mehr zu einer digitalen Verarbeitung
schädliche Fremdstoffe, vor allem Wasserdampf, mit Mikrorechnern. Dazu werden die analog vor-
von den feinen und empfindlichen Halbleiter- verarbeiteten Signale in digitale Form gewandelt,
strukturen fernhält. Weiterhin dürfen die Grenz- im Rechner verarbeitet und das Ergebnis bei Be-
werte des erlaubten Arbeitsbereichs wie Span- darf wieder in den analogen Bereich umgesetzt.
nungen, Ströme, Verlustleistung und Temperatur Integrierte digitale Schaltungen sind im
nicht überschritten werden. Kap. 12 beschrieben. Analoge integrierte Schal-
Die große Integrationsdichte, die günstigen tungen kann man mit den heute weit entwickelten
Leistungsdaten, die Zuverlässigkeit und der ge- Technologien für fast jeden Anwendungszweck
herstellen, sofern die benötigte Stückzahl die
K. Bressler () Entwicklungskosten rechtfertigt. Analoge inte-
E-Mail: Klaus.Bressler@web.de grierte Schaltungen wurden zuerst in bipolarer
R. Martin Technologie gebaut, sie wird zunehmend durch
E-Mail: rolf.martin@hs-esslingen.de die MOS-Technologie ergänzt und ersetzt. Dabei

© Springer-Verlag GmbH Deutschland 2017 405


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_8
406 K. Bressler und R. Martin

stellt man die einzelnen Bauteile gleichzeitig ne- nung sondern einen eingeprägten Strom abge-
beneinander auf der Oberfläche eines Chips her. ben.
Viele gleichartige Schaltungen werden auf einer
15 bis 25 cm großen Scheibe aus hochreinem ein-
kristallinem Silizium, einem Wafer hergestellt. 8.2.1 Idealer und realer
Operationsverstärker

8.2 Operationsverstärker Moderne Operationsverstärker bestehen aus vie-


len Transistoren und Widerständen. Trotz guter
Operationsverstärker (OPV) sind die wichtigste Schaltungstechnik und fortgeschrittener Herstel-
Gruppe der analogen integrierten Schaltungen. lungstechnologie verursachen Bauteileigenschaf-
Sie fanden ursprünglich für Rechenoperationen ten und deren Toleranzen Abweichungen von
in Analogrechnern und in der Regelungstechnik den angestrebten Eigenschaften des idealen Ope-
Verwendung. Dieser Einsatz erfordert eine sehr rationsverstärkers. Sind die Abweichungen im
hohe Verstärkung (v
105 ) von Gleichstrom- genutzten Arbeitsbereich ausreichend klein, dann
signalen bis zu Frequenzen von einigen hundert kann man die Schaltung mit einem idealen Ver-
Hz, einen nicht invertierenden Verstärkereingang, stärker berechnen.
dessen Signale mit der Verstärkung v verstärkt Tabelle 8.1 vergleicht die wichtigsten Kenn-
werden und einen invertierenden Verstärkerein- daten eines idealen und eines realen Operati-
gang mit der Verstärkung v. Werden beide an- onsverstärkers und gibt den Wertebereich der
gesteuert, dann wird die Spannungsdifferenz zwi- Kenndaten bei realen Operationsverstärkern an.
schen beiden Eingängen mit der Verstärkung v Preisgünstige Operationsverstärker besitzen so-
verstärkt. Der erforderliche Eingangsstrom ist wohl gute als auch schlechte Werte. Für viele An-
vernachlässigbar klein. wendungen ist dies ausreichend. In einer ersten,
Diese Verstärker lassen sich mit einfachen sehr einfachen Näherung betrachtet man den Ver-
Netzwerken aus Widerständen und Kondensa- stärker als ideal; lediglich die Eingangsfehlspan-
toren beschalten. Sie verknüpfen die Eingangs- nung (Offsetspannung UI0 ) und der Frequenz-
spannungen und -ströme nach den vorgegebenen gang v D f .f / werden besonders betrachtet
mathematischen Zusammenhängen zu dem benö- (fett gedruckte Zeilen in Tab. 8.1). Abbildung 8.1
tigten Ausgangssignal. Auf einem Halbleiterkris- zeigt das Ersatzschaltbild eines Operationsver-
tall aufgebaute Operationsverstärker senken den stärkers, der aus einem idealen Verstärker und
Platzbedarf und die Kosten so weit, dass Operati- extern zugeschalteten Störquellen besteht. Die
onsverstärker trotz besserer Leistung preisgünsti- Tab. 8.2 erläutert deren Wirkung und gibt Richt-
ger sind als diskret aufgebaute Schaltungen mit werte eines Standardverstärkers an. Das RC-
ein oder zwei Transistoren. Sie finden deshalb Netzwerk am Ausgang stellt einen Tiefpass dar,
heute auch für viele andere Zwecke Verwendung. der die Anstiegszeit begrenzt.
Neben dem in Abschn. 8.2.2 beschriebenen Stan- Abbildung 8.2a zeigt das normgerechte
dardverstärker wurden weitere Verstärker mit be- Schaltzeichen eines Operationsverstärkers nach
sonderen Eigenschaften entwickelt. Dazu gehört DIN EN 60617-13. Das ältere Schaltzeichen
eine sehr kleine Offsetspannung, Verstärker für (Abb. 8.2b) wird wegen seiner Dreiecksform
nur eine Betriebsspannung, Verstärker, die am nicht mit anderen Symbolen verwechselt. Da-
Ein- und Ausgang bis an die Versorgungsspan- tenblätter, die heute nur noch in englischer
nung ausgesteuert werden können (Rail to Rail), Sprache veröffentlicht werden, nutzen das drei-
sowie sehr schnelle Verstärker. Die meisten Ver- eckige Symbol ebenso konsequent, wie viele
stärker sind spannungsgesteuert und geben am Entwurfsprogramme für Schaltpläne und die
Ausgang eine niederohmige Spannung ab. dazugehörigen Programme zur Schaltungsbe-
Eine weitere Gruppe sind stromgesteuerte rechnung. Auch in aktueller Fachliteratur findet
OPV oder OPV die am Ausgang keine Span- man überwiegend das dreieckige Zeichen. Des-
8 Analoge integrierte Schaltungen 407

Tab. 8.1 Vergleich eines idealen und eines realen Operationsverstärkers


Eigenschaft des Operationsverstärkers (OPV) Symbol Einheit Idealer OPV Realer OPV
Eingangsfehlspannung UI0 mV 0 0,5 V bis 10 mV
Temperatureinfluss auf UI0 ˛UI0 V=K 0 0,2 V=K bis 10 V=K
p p p
Rauschen (Noise) Un nV= Hz 0 2;5 nV= Hz bis 100 nV= Hz
Eingangsstrom II nA 0 0,1 pA bis 1 A
Eingangswiderstand RI M 1 100 k bis 1015  (MOSFET)
Gleichtaktunterdrückung CMMR dB 1 70 dB bis 120 dB
Einfluss der Speisespannung PSRR V=V 0 0,1 V=V bis 0,1 mV=V
Verstärkung bei Gleichstrom VU0 V=mV 1 10 V=mV bis 104 V=mV
Frequenzabhängigkeit der Verstärkung fg 1 1 Hz bis 1 MHz
(Grenzfrequenz) Abfall VU0 mit 20 dB=Dekade
Anstiegsgeschwindigkeit der Ausgangs- S V=s 1 0,5 V=s bis 2000 V=s
spannung
Ausgangswiderstand R0  0 10  bis 1 k

Abb. 8.1 Ersatzschaltbild eines realen Operationsverstärkers

halb wird hier mit dem gut erkennbaren aber Verstärkers und ihre jeweilige schaltungstechni-
nicht normgerechten Zeichen gearbeitet. Die An- sche Ursache sind hierin beschrieben. Ein Ver-
schlüsse für die Speisespannungen CUS und US gleich mit den erklärten Begriffen des Operati-
(Abb. 8.2c) werden wegen der besseren Übersicht onsverstärkers (Tab. 8.2) sei empfohlen.
meistens weggelassen. Der einfachste Operationsverstärker besteht
aus drei gleichspannungsgekoppelten Verstärker-
stufen. Abbildung 8.3 zeigt seine Prinzipschal-
8.2.2 Schaltungstechnischer Aufbau tung. In der Praxis enthalten die Verstärker viele
weitere Bauelemente, um die erwünschte Funkti-
Der folgende Abschnitt zeigt den Aufbau ei- on unter den geforderten Bedingungen sicherzu-
nes Operationsverstärkers. Die Eigenschaften des stellen.
408 K. Bressler und R. Martin

Tab. 8.2 Begriffe beim Operationsverstärker


Grenzwerte Werte
(Absolute maximum ratings)
Speisespannung (Supply voltage) US D ˙18 V Höchstzulässige Versorgungsspannung
Eingangsspannung (Input voltage UI D ˙15 V Höchstzulässige Eingangsspannung
range)
Differenzeingangsspannung UID ˙ 30 V Höchstzulässige Spannung zwischen den Eingängen
(Differential input range)
Kurzschlussdauer (Duration of tz D 1 Diese Zeit darf der OPV bei 25 ı C Umgebungstempera-
output short circuit) tur gegen 0 V kurzgeschlossen sein
Sperrschichttemperatur (Junction Tj D 150 ı C Höchstzulässige Sperrschichttemperatur im Betrieb
temperature)
Lagertemperatur (Storage Tstg D 55 ı C Zulässiger Bereich der Umgebungstemperatur ohne
temperature) 125 ı C Betrieb
Funktionsbereich (Operating range) In diesem Bereich hält der Verstärker die angegebenen
Daten ein
Speisespannung (Supply voltage) US D ˙3 V ˙ 18 V In diesem Bereich arbeitet der Verstärker linear
Umgebungstemperatur (Operating TU D 0 bis 70 ı C In diesem Bereich hält der Verstärker die angegebenen
free-air temperature) TA Daten ein
Kennwerte (Electrical characteristics)
Eingangsnullspannung, Eingangs- UI0 D ˙2 mV Bei dieser Eingangsspannung wird die Ausgangsspan-
fehlspannung (Input offset voltage) nung des Operationsverstärkers 0 V
Temperaturkoeffizient der Ein- ˛UI0 D 3 V=K Änderung der Eingangsfehlspannung als Funktion der
gangsfehlspannung (Temperature ˛VI0 Sperrschichttemperatur
coefficient of input offset voltage)
Eingangsnullstrom, Eingangsfehl- II0 D ˙20 nA Bei dieser Eingangsstromdifferenz wird die Ausgangs-
strom (Input offset current) spannung des Operationsverstärkers 0 V
Temperaturkoeffizient des ˛II0 D 0;5 nA=K Änderung des Eingangsfehlstroms als Funktion der
Eingangsfehlstroms (Temperature Sperrschichttemperatur
coefficient of input offset current)
Eingangsstrom (Input bias current) II D 60 nA Mittelwert der beiden Eingangsströme bei Eingangs-
IIB und Ausgangsspannung gleich 0 V
Eingangswiderstand (Input RI D 2 M Eingangswiderstand zwischen den beiden Eingängen
resistance differential mode) des OPV bei kleinen Eingangssignalen
Eingangskapazität (Input resistance CI D 1;5 pF Eingangskapazität zwischen den beiden Eingängen des
differential mode) OPV bei kleinen Eingangssignalen
Rauschdichte der Eingangsspan- Un D 15 nV=Hz Effektivwert der scheinbaren Eingangsspannung, die
nung (Input noise voltage density) über den Verstärker die Rauschspannung am Ausgang
in einem vorgegebenen Frequenzbereich erzeugt
p
Rauschdichte des Eingangsstroms In D 3 pA= Hz Effektivwert des scheinbaren Eingangsstroms, der über
(Input noise current density) den Verstärker die Rauschspannung am Ausgang in
einem vorgegebenen Frequenzbereich erzeugt
Gleichtaktunterdrückung (Common CMRR D 90 dB Um dieses Verhältnis werden gleichsinnige Änderungen
Mode Rejection Ratio: CMRR) der Eingangsspannung weniger verstärkt als Diffe-
renzeingangsspannungen
Betriebsspannungsunterdrückung PSRR D 20 V=V Die Änderung der Betriebsspannung US um 1 V verur-
(Power Supply Rejection Ratio: sacht die gleiche Änderung der Ausgangsspannung wie
PSRR) 20 V Eingangsspannungsänderung. Die Werte können
für die positive und die negative Ausgangsspannung
verschieden sein
Spannungsverstärkung, vU0 D 110 dB Spannungsverstärkung im linearen Bereich für Gleich-
Leerlaufspannungsverstärkung 220 V=mV AV0 spannungen und niedrige Frequenzen. Angabe als
(Large signal voltage gain) UO =UI in V=mV oder als AVO D 20 lg UO =UI in dB
8 Analoge integrierte Schaltungen 409

Tab. 8.2 (Fortsetzung)


Kennwerte Werte
(Electrical characteristics)
Aussteuerbereich der Ausgangs- UOSS D ˙12 V Linearer Bereich der Ausgangsspannung bei vor-
spannung (Output voltage swing) VOM gegebener Betriebsspannung und vorgegebenem
Lastwiderstand
Anstiegsgeschwindigkeit der S D 0;8 V=s Bauartbedingte schnellste Änderung der Ausgangsspan-
Ausgangsspannung (Slew Rate) SR nung. Der Wert liegt beim kompensierten OPV fest und
kann beim unkompensierten durch externe Beschaltung
reduziert werden
Verstärkungs-Bandbreite-Produkt B1 D 3 MHz Frequenz, bei der die offene Verstärkung auf 1 abgesun-
(Unity-gain bandwidth) ken ist
Leistungsbandbreite (Full power Bmax D 15 kHz Höchste Frequenz, bei der der Verstärker noch den
bandwidth) vollen Hub der Ausgangsspannung erreicht
Phasenreserve bei der Verstärkung 'm D 60ı Reserve bis zur kritischen Phasendrehung (180ı ) bei
v D 1 (Phase margin at unity gain) hohen Frequenzen mit der Verstärkung 1
Ausgangswiderstand (Open loop R0 D 100  Ausgangs-(Innen-)Widerstand des nicht gegengekoppel-
output resistance) ten Verstärkers
Ausgangskurzschlussstrom (Short IOS D 10 mA Strom im Ausgang, wenn dieser nach Masse kurzge-
circuit output) schlossen ist
Stromaufnahme (Supply current) IS D 2 mA Stromaufnahme des Verstärkers beim Ausgangsstrom 0.
Ist der Ausgangsstrom ¤ 0, dann erhöht sich die Strom-
aufnahme entsprechend

a b c

Us +
-- -- --

+ + +
Us -

Abb. 8.2 Schaltzeichen des Operationsverstärkers. a nach DIN EN 60617-3, b älteres und weitverbreitetes Symbol,
unbeschaltet, c Symbol mit Spannungsversorgung

Operationsverstärker werden meistens aus renzverstärker (Abschn. 3.2.6 und Abb. 8.3). Er
zwei symmetrischen Speisespannungen CUS und hat zwei Eingänge, einen invertierenden () und
US gespeist, die im allgemeinen ˙15 V betra- einen nicht invertierenden (C), die in der Schal-
gen. Sie erhalten keinen 0-Volt- oder Massean- tung meist mit C und  bezeichnet sind. Das
schluss der Versorgungsspannung. Bis auf eine Eingangssignal UI erscheint verstärkt und gleich-
kleine Restspannung von ungefähr 1 bis 3 V kön- phasig am Kollektor des Transistors T2 und am
nen sich die Eingangs-, Ausgangs- und internen Kollektor von T1 verstärkt und gegenphasig.
Potenziale frei innerhalb der Versorgungsspan- Der Kollektor C2 steuert die Basis des Transis-
nungen bewegen. Für besondere Anwendungen tors T3 , der als zweite Spannungsverstärkerstufe
gibt es Verstärker, die mit wesentlich kleineren arbeitet.
Spannungen (3 V) und kleinen Versorgungsströ- Sein Kollektor steuert die Basisanschlüsse der
men im A-Bereich auskommen. Dabei kann Endstufentransistoren T4 und T5 , die in Kollek-
oft auf die negative Versorgungsspannung ver- torschaltung betrieben werden. Der Transistor
zichtet werden. Man nennt sie Single Supply T4 liefert positive Ausgangsströme, T5 negative
OPV. Die erste Verstärkerstufe ist stets ein Diffe- Ausgangsströme. Beide sind reine Stromverstär-
410 K. Bressler und R. Martin

Abb. 8.4 Möglicher Verlauf der Eingangsfehlspannung


Abb. 8.3 Prinzipschaltung eines einfachen Operations- verschiedener Operationsverstärker als Funktion der Kris-
verstärkers talltemperatur

in Abb. 8.4 verschiebt sich parallel, so dass sie


ker: die Spannungsverstärkung v3 dieser Stufe ist
bei der Abgleichtemperatur durch 0 mV geht.
v3  1. Jede Stufe des Verstärkers hat andere
Ersetzt man die Arbeitswiderstände der 1. Stu-
Aufgaben und Eigenschaften (Abb. 8.3).
fe durch einen Stromspiegel, dann steigt die Ver-
stärkung und der Stromverbrauch sinkt.
8.2.2.1 Eingangsstufe Der Eingangswiderstand RI soll einen mög-
als Differenzverstärker lichst hohen Wert haben. Der dazu erforderliche
Der Verstärker soll bei der Eingangsspannung sehr kleine Basisstrom IB wird durch hochver-
UI D 0 am Ausgang die Spannung U0 D 0 V stärkende npn-Transistoren T1 und T2 (ˇ  400)
abgeben. Dies ist nur näherungsweise möglich. sowie einen kleinen Kollektorstrom erreicht. RI
Hierzu muss die stark temperatur- und strom- liegt bei Verstärkern mit bipolaren Eingangstran-
abhängige Basis-Emitter-Spannung der verstär- sistoren zwischen 100 k und 50 M, abhängig
kenden Transistoren kompensiert werden. Im von der Art der Eingangsstufe. Besteht die Ein-
Differenzverstärker (Abschn. 3.2.6) erzeugt ei- gangsstufe aus Feldeffekttransistoren, dann kann
ne zweite, unter gleichen Bedingungen betrie- der Eingangswiderstand erheblich höher sein.
bene Verstärkerstufe die gleiche Fehlspannung
und kompensiert damit den unerwünschten Feh- 8.2.2.2 Zweite Stufe als
ler fast vollständig. Die Eingangsfehlspannung Spannungsverstärker
(engl.: offset voltage; von offset: Versatz) liegt Die zweite Spannungsverstärkerstufe kann ein
bei guten Verstärkern erheblich unter 100 V. weiterer Differenzverstärker sein. Bei einfachen
Abbildung 8.4 zeigt einen möglichen Verlauf der Operationsverstärkern besteht sie aus einem Ver-
Eingangsfehlspannung als Funktion der Kristall- stärkertransistor, der wegen der höheren Strom-
temperatur. Bei 25 ı C ist der Betrag dieser Span- verstärkung auch ein Darlingtontransistor (Ab-
nung stets kleiner als der angegebene Grenzwert, schn. 3.2.7, Abb. 3.45) sein kann. Sein Arbeits-
der bei größeren Temperaturänderungen über- widerstand ist häufig eine Stromquelle mit einem
schritten werden kann. Bei vielen Operations- sehr hohen differenziellen Widerstand, wodurch
verstärkern kann man die Eingangsfehlspannung die Verstärkung erhöht wird, während die Ver-
durch eine externe Beschaltung nach Abb. 3.42a lustleistung von der augenblicklichen Ausgangs-
(Abschn. 3.2.6.3) zu null korrigieren: Die Kurve spannung des OPV unabhängig wird. Die ge-
8 Analoge integrierte Schaltungen 411

Abb. 8.5 Verstärkung als Funktion der Frequenz Abb. 8.6 Größtmögliche Ausgangsspannung eines Ope-
rationsverstärkers als Funktion der Frequenz

meinsame Spannungsverstärkung der ersten und


der zweiten Stufe beträgt meist v D 100:000 oder schnell sich die Ausgangsspannung u0 höchs-
100 dB. Die zweite Verstärkerstufe enthält häufig tens ändern kann. Oberhalb einer bestimmten
einen Tiefpass, der die Verstärkung mit zuneh- Frequenz, der Leistungsbandbreite (engl.: full
mender Frequenz verkleinert, damit der rückge- power bandwidth), nimmt die Amplitude der
koppelte Verstärker nicht schwingt (beschrieben Ausgangsspannung mit zunehmender Frequenz
in Kap. 10: Elektronische Regler). linear ab. Abbildung 8.6 zeigt die größtmög-
Der Kondensator C in Abb. 8.3 beschaltet liche Ausgangsspannung U0 bei verschiedenen
die zweite Verstärkerstufe als Miller-Kapazität Arbeitsfrequenzen. Bei beiden Frequenzen ist die
(Abschn. 3.2.1.5, Abb. 3.30). Er kann im Verstär- Anstiegsgeschwindigkeit gleich.
ker integriert sein; dieser ist dadurch frequenz-
kompensiert. Bei vielen Verstärkern kann er ex-
tern angeschlossen und mit der übrigen Beschal- 8.2.2.3 Endstufe als Stromverstärker
tung abgestimmt werden. Der Innenwiderstand Die zweite Spannungsverstärkerstufe liefert zwar
der ersten Stufe und der Kompensationskonden- den vollen Spannungshub, aber nur einen gerin-
sator C bestimmen den Frequenzgang, der in gen Strom, der für die praktische Anwendung zu
Abb. 8.5 schwarz dargestellt ist. klein ist. Ein nachgeschalteter Endstufentransis-
Verringert man die offene Verstärkung durch tor soll die Ausgangsspannung erhalten und den
eine Beschaltung, dann erhöht sich die Grenzfre- Ausgangsstrom verstärken. Hierzu eignet sich ein
quenz, bei der die Verstärkung um 3 dB abfällt Transistor in Kollektorschaltung (Abschn. 3.2.2,
(rote Kurve). Das Produkt aus Verstärkung und Abb. 3.31). Dieser Transistor kann den Aus-
Bandbreite bleibt aber konstant (Abschn. 3.2.8.1, gangsstrom nur in einer Richtung, zwischen einer
Abb. 3.46). Versorgungsspannung und dem Ausgang, steu-
Die Frequenzkompensation in der zweiten ern. Da der Operationsverstärker positive und
Stufe bestimmt die Anstiegsgeschwindigkeit der negative Ausgangsströme aufbringen muss, sind
Ausgangsspannung du0 =dt als eine weitere ty- zwei symmetrisch angeordnete Endstufentransis-
pische Eigenschaft der Operationsverstärker. Die toren T4 und T5 in Kollektorschaltung erforder-
Anstiegsgeschwindigkeit S (engl.: slew rate) S D lich, die parallel geschaltet sind und bei verschie-
du0 =dt hat die Einheit V=s und gibt an, wie denen Halbwellen arbeiten (Abb. 8.3).
412 K. Bressler und R. Martin

die Eingangsspannung darf sich im ganzen Be-


reich der Versorgungsspannung verändern, ohne
dass der Verstärker den linearen Bereich verlässt.
Dadurch können diese Verstärker an einer uni-
polaren Spannungsquelle, beispielsweise C5 V,
betrieben werden.
Bei kurzgeschlossenem Ausgang des Verstär-
kers fällt am Emitterwiderstand von T4 so viel
Spannung ab, dass T6 durchgesteuert wird und
T4 den Basisstrom entzieht. Der Ausgangsstrom
wird begrenzt; der Verstärker wird nicht zerstört.
Diesen Schutz wendet man auch beim komple-
mentären Ausgangstransistor an. Der Ausgangs-
strom erhält die in Abb. 8.7 dargestellte Cha-
rakteristik. Heute sind alle Operationsverstärker
dauerkurzschlussfest. Das gilt nur für den Kurz-
Abb. 8.7 Größte Ausgangsspannung eines Operations- schluss nach Masse, nicht zur beliebigen Versor-
verstärkers als Funktion des Ausgangsstroms
gungsspannung.

Die Eingänge an der jeweiligen Basis darf man 8.2.3 Beispiel


gleichstrommäßig nicht direkt parallel schalten; eines Standardverstärkers
denn die Ansteuerung muss die Basis-Emitter-
Spannung der Transistoren T4 und T5 sowie Die realen Stufen eines Operationsverstärkers
den Spannungsabfall an den Emitterwiderständen seien am Beispiel des klassischen Verstärkertyps
RE4 und RE5 berücksichtigen. Der Strom in der 741 beschrieben, der in wenig geänderter Schal-
zweiten Spannungsverstärkerstufe verursacht den tung als robuster und preisgünstiger Doppelver-
erforderlichen Spannungsabfall an den Dioden stärker 1458 von vielen Herstellern weitergebaut
D1 und D2 sowie am Widerstand R1 , unabhän- und in großem Umfang eingesetzt wird.
gig von der augenblicklichen Aussteuerspannung Der Differenzverstärker 741 in Abb. 8.8 un-
des Verstärkers. terscheidet sich in zwei Punkten von dem in
Erreicht der Transistor T3 seine Sättigungs- Abb. 8.3. Die Einzeltransistoren T1 und T2 sind
spannung UCE sat (ungefähr 0,5 V bis 1 V), dann jeweils durch eine abgewandelte Kaskodeschal-
ist die Aussteuergrenze erreicht. Die Spannungs- tung ersetzt. Dadurch erreicht man im Diffe-
differenz dUAC zwischen der Speisespannung renzverstärker einen nahezu konstanten Ruhe-
USC und der größten Ausgangsspannung U0 setzt strom über den Eingangsspannungsbereich. Bei
sich aus dem Spannungsabfall über den Emitter- großer Eingangsspannungsdifferenz UI > 5 V
widerständen RE3 und RE4 , der Sättigungsspan- nehmen die pnp-Transistoren T3 und T4 die über-
nung an T3 und der Basis-Emitter-Spannung von höhte Eingangsspannung auf. Die Basis-Emitter-
T4 zusammen. Der Betrag der größten Aussteu- Strecke eines pnp-Transistors kann in Sperrrich-
erspannung ist deshalb 2 bis 3 V kleiner als die tung 30 V aushalten, während ein npn-Transistor
jeweilige Speisespannung USC und US . Mit stei- schon bei 5 V durchbricht.
gendem Ausgangsstrom sinkt die Ausgangsspan- Die Spannungsverstärkung der Stufe hängt
nung. Der differenzielle Innenwiderstand liegt von der Stromverstärkung der npn-Transistoren
zwischen 50  und 500 . T1 und T2 sowie den Arbeitswiderständen der
Der Ausgangsspannungsbereich moderner Transistoren T3 und T4 ab. Hochohmige Wider-
Rail to Rail Operationsverstärker umfasst den stände sind nicht nur schlecht zu integrieren; sie
ganzen Bereich der Versorgungsspannung. Auch würden an dieser Stelle auch einen untragbar
8 Analoge integrierte Schaltungen 413

Abb. 8.8 Schaltbild des Standard-Operationsverstärkers 741

großen Spannungsabfall verursachen. Deshalb nem hochohmigen Arbeitswiderstand eine hohe


arbeiten die Kollektoren der Transistoren T3 und Spannungsverstärkung erreichen. Die Transisto-
T4 nicht auf ohmsche Widerstände, sondern je- ren T1 und T3 sowie T2 und T4 bilden zusammen
weils auf eine Stromquelle, die einen konstanten einen Differenzverstärker. T1 und T2 erhalten ih-
Arbeitsstrom mit einem hohen Innenwiderstand ren Kollektorstrom von T8 , der zusammen mit T9
kombiniert (Abb. 8.9a). einen Stromspiegel bildet, wodurch die Summe
Die Transistoren T1 und T3 , sowie T2 und T4 der Arbeitsströme IC1 und IC2 über einen großen
arbeiten in einer modifizierten Kaskodeschaltung. Eingangsspannungsbereich konstant bleibt.
Der Stromverstärker T1 (ˇ  150) in Kollek- Die Stromquellen aus T5 und T6 sind über T7
torschaltung steuert T3 in Basisschaltung. In der so gekoppelt, dass ein Stromspiegel entsteht. Da-
Kaskodeschaltung bestimmt T1 die Stromverstär- bei stellt der Transistor T6 eine Stromquelle mit
kung und die Grenzfrequenz, während T3 für die dem differenziellen Innenwiderstand Ri  2 M
Spannungsfestigkeit der Gesamtschaltung maß- dar.
gebend ist. Durch die positive Aussteuerung des Diffe-
pnp-Transistoren in integrierten Schaltungen renzverstärkers am nichtinvertierenden Eingang
auf p-Substrat haben eine geringe Stromverstär- steigt der Kollektorstrom IC3 ; die Basisspannung
kung (ˇ  5 bis 15) und eine niedrige Tran- und der Basisstrom von T7 steigen ebenfalls. Der
sitfrequenz (fT  5 MHz/. Beide Parameter daraus resultierende Emitterstrom IE7 teilt sich
haben hier wenig Einfluss, da T3 in Basisschal- gleichmäßig auf die Basisströme IB5 und IB6 auf,
tung betrieben wird. Mit modernen Fertigungs- und die zugehörigen Kollektorströme IC5 und IC6
verfahren können heute wesentlich bessere pnp- steigen gleich stark an.
Transistoren integriert werden. Im Differenzverstärker ist aber die Summe
Diese Schaltung zeichnet sich durch einen aus IC3 und IC4 konstant, d. h. wenn IC3 zu-
sehr hohen Innenwiderstand aus und kann mit ei- nimmt, muss IC4 abnehmen. Um den Strom im
414 K. Bressler und R. Martin

Abb. 8.9 Stromquelle als Arbeitswiderstand. a Vergleich Ohm’scher Arbeitswiderstand oder Stromquelle. b Aus-
gangskennlinien des Transistors und der Stromquelle als Arbeitswiderstand

Stromspiegel (T6 ) aufrecht zu erhalten, steigt die Die Stromquellen bestimmen die Kollektor-
Kollektor-Emitter-Spannung UCE4 am Transistor ströme. Die Differenz der Versorgungsspannun-
T4 soweit an, dass der Strom durch den Transis- gen CUB  .UB / wird um die Basis-Emitter-
tor T6 ausreichend groß bleibt. Gegenüber einer Spannungen UBE der Transistoren T11 und T12
festen Stromquelle als Arbeitswiderstand für T4 verringert und bestimmt den Strom durch R5 . Er
verdoppelt der Stromspiegel die Spannungsver- steuert die Stromspiegel aus T10 und T11 und T12
stärkung des Differenzverstärkers. Am Kollektor und T13 . Die Basis-Emitter-Spannung an T11 fällt
von T4 verlässt das Signal den Differenzverstär- an der Basis von T10 und dem Emitterwiderstand
ker und steuert den Spannungsverstärker T16 und R4 ab. Damit ist der Strom in T10 wesentlich klei-
T17 an. ner als in T11 , aber von diesem abhängig. Dieser
Gleichsinnige Änderungen der Eingangsspan- kleinere Strom versorgt über den Stromspiegel
nungen verschieben das Basis-Emitter-Potenzial T8 und T9 den Differenzverstärker am Eingang.
der Transistoren T1 bis T4 , aber nicht deren Kol- Der größere Strom versorgt über den Stromspie-
lektorpotenzial. Die dadurch verursachte, geringe gel aus T12 und T13 den Spannungsverstärker T16
ebenfalls gleichsinnige Kollektorstromänderung und T17 . Damit arbeitet er auf einen hochohmi-
IC3 und IC4 belastet beide Anschlüsse des Strom- gen differenziellen Widerstand und hat eine hohe
spiegels gleich, weshalb an den Kollektoren T4 Spannungsverstärkung, Abb. 8.9.
und T6 keine Spannungsänderung auftritt. Die Ausgangsspannung der ersten Stufe hängt
Gleichsinnige Änderungen der Eingangsspan- nur von der Eingangsdifferenzspannung UI , nicht
nung werden nicht verstärkt, sondern abge- aber vom gemeinsamen Potenzial der Eingänge
schwächt. Diese Eigenschaft bezeichnet man als gegenüber der Versorgungsspannung ab. Gleiche
Gleichtaktunterdrückung (engl.: Common Mode Änderungen beider Eingangsspannungen wirken
Rejection Ratio, CMRR) und gibt sie in dB an. sich auf den Transistor T16 als zweite Verstärker-
Sie wird durch die erste Stufe bestimmt und stufe nicht mehr aus (Abb. 8.8).
kann heute durch schaltungstechnische Maßnah- Die angegebene hohe Gleichtaktunterdrü-
men und eine gut entwickelte Technologie über ckung trifft nur für Gleichspannung und Frequen-
120 dB betragen. zen bis zu einigen hundert Hertz zu. Bei höheren
8 Analoge integrierte Schaltungen 415

Frequenzen nimmt sie wegen der parasitären Ka-


pazitäten in der Eingangsstufe um 20 dB=Dekade
ab (Abb. 3.40). Die Spannungsverstärkung der
ersten Stufe beträgt vU  400.
Das Ausgangssignal des Differenzverstärkers
am Kollektor von T4 speist den Darlingtontran-
sistor aus T16 und T17 , dessen Arbeitswiderstand
die Stromquelle aus T13 ist. Auch hier wird ein
konstanter Strom über einen großen Spannungs-
hub und ein großer differenzieller Arbeitswider-
stand benötigt. Der Transistor T18 wirkt mit den
Widerständen R7 und R8 wie eine Z-Diode (Ab-
schn. 2.5.5) mit der Spannung UZ D 2 UBE .
Dadurch fließt in beiden Endstufentransistoren
T14 und T20 ein kleiner Ruhestrom, wodurch
beim Nulldurchgang der Ausgangsspannung der
Übernahmeknick entfällt. Der Spannungshub am
Ausgang erreicht bis auf jeweils 2 V den Bereich Abb. 8.10 Eingangsstufe eines Operationsverstärkers mit
der Versorgungsspannungen USC und US . Die Super-ˇ-Transistoren
Spannungsverstärkung der zweiten Stufe beträgt
vU  300.
Der Kondensator C1 bildet zusammen mit dem tungen auch für einfache Anwendungen ersetzen.
hohen Ausgangswiderstand des Transistors T4 In manchen Schaltungen wird jedoch eine sehr
eine frequenzabhängige Gegenkopplung, welche kleine Eingangsfehlspannung (offset), ein klei-
die Verstärkung bei höheren Frequenzen verrin- ner Eingangsstrom oder eine große Bandbreite
gert und dadurch ein unerwünschtes Schwin- benötigt. Die hierfür angebotenen Operations-
gen verhindert (Abschn. 8.2.5, Stabilitätsbetrach- verstärker unterscheiden sich vor allem in der
tung). Eingangsstufe. Neu entwickelte Technologien er-
Der positive Ausgangsstrom ist auf ungefähr lauben die Realisierung mehrerer sich bisher
20 mA begrenzt. Wird er überschritten, dann fal- widersprechender Forderungen zu günstigen Her-
len an R9 mehr als 0,5 V ab, T15 beginnt zu leiten stellungskosten.
und verbraucht den für T14 vorgesehenen Basis- Präzisionsverstärker mit hohem Eingangswi-
strom. Ist der negative Ausgangsstrom zu groß, derstand und kleinem Eingangsstrom benutzen
dann fließt er über T20 , dessen Basisstrom über oft die in Abb. 8.10 schematisch dargestellte
T17 und den Widerstand R12 aufgebracht werden Schaltung. Die verwendeten Eingangstransisto-
muss. Übersteigt der Spannungsabfall an R12 die ren T1 und T2 mit sehr hoher Stromverstärkung
0,5 V-Grenze, so beginnt der Transistor T22 zu (ˇ  2000), sogenannte Super-ˇ-Transistoren,
leiten und entzieht dem Transistor T16 den Basis- erhöhen den Eingangswiderstand, die Verstär-
strom. kung und die Gleichtaktunterdrückung. Diese
Transistoren haben eine geringe Basisweite und
deshalb nur eine kleine Kollektor-Emitter-Durch-
8.2.4 Operationsverstärker für höhere bruchspannung. Sie werden vorteilhaft in der
Anforderungen Kaskodeschaltung (Abschn. 3.2.9) betrieben.
Abbildung 8.10 zeigt einen Differenzverstär-
Die Leistungsdaten des vorgestellten Universal- ker aus jeweils zwei Transistoren in Kaskode-
verstärkers 741 reichen für viele Anwendungs- schaltung. Die gemeinsame Eingangsspannung
fälle aus. Diese Verstärker sind heute so preis- bestimmt das Potenzial der Z-Diode Z1 und der
günstig, dass sie die diskret aufgebauten Schal- Transistoren T1 bis T12 (bezogen auf die Ver-
416 K. Bressler und R. Martin

sorgungsspannungen CUS und US ), während Temperaturen (> 100 ı C) kann der Eingangs-
die Kollektor-Emitter-Spannung UCE der Ein- strom, der ein Sperrstrom eines pn-Übergangs ist,
gangstransistoren T1 und T2 durch Z1 begrenzt sehr stark ansteigen.
wird. Die Kollektor-Emitter-Strecken der Tran- Für Sonderanwendungen gibt es Leistungs-
sistoren T11 und T12 nehmen die Gleichtakt-Ein- operationsverstärker, die bei hoher Spannung
gangsspannung auf. (US D ˙140 V) oder hohen Strömen (I0 D
Übersteigt die Eingangsdifferenzspannung ˙10 A) arbeiten können. Die hohe Verlustleis-
1 V, dann wird ein Eingangstransistor durch ei- tung wird über ein bei Leistungstransistoren ver-
ne zu große in Sperrrichtung anliegende Basis- wendetes Gehäuse abgeführt (Abb. 3.3).
Emitter-Spannung zerstört. Die beiden antipar-
allel geschalteten Dioden schützen den Eingang
deshalb vor Überspannung. 8.2.5 Stabilitätsbetrachtung
Diese Schaltung hat einen guten Gleichlauf
beider Eingangstransistoren und daher eine klei- Die Rückkopplung eines Operationsverstärkers
ne Eingangsfehlspannung. Die hohe Stromver- führt bei falscher Dimensionierung zur Selbster-
stärkung der Eingangstransistoren führt neben regung und damit zu unerwünschten Schwingun-
einem kleinen Eingangsstrom (IIB  0;5 nA) zu gen. Operationsverstärker werden stets mit einer
einem sehr hohen Eingangswiderstand (RE  Rückkopplung vom Ausgang auf den invertie-
40 M) und einer guten Gleichtaktunterdrü- renden Eingang betrieben. Der Signalfluss vom
ckung (CMRR > 110 dB). invertierenden Eingang zum Ausgang entspricht
Der kleine Arbeitsstrom von IC  1 A und 180ı Phasendrehung. Eine ohmsche Beschaltung
die unvermeidbaren parasitären Kapazitäten be- verursacht keine zusätzliche Phasendrehung, und
dingen schon bei niedrigen Frequenzen einen fre- es entsteht eine ideale Gegenkopplung.
quenzabhängigen Verstärkungsabfall. Verstärker Mit zunehmender Arbeitsfrequenz erzeugt der
mit dieser Eingangsstufe sind zwar genau, aber Operationsverstärker selbst eine zusätzliche Pha-
meistens langsam. Sie haben im Allgemeinen sendrehung; denn seine Verstärkerstufen beste-
einen weiteren Differenzverstärker als zusätzli- hen aus Transistoren mit endlicher Grenzfre-
che Spannungsverstärkerstufe. quenz sowie Widerständen und Kondensatoren
Soll der Operationsverstärker bei höheren Fre- im Arbeitskreis. Erreicht diese zusätzliche Pha-
quenzen arbeiten, dann muss man entweder die sendrehung 180ı , dann wirkt das zurückgekop-
Eingangsstufe mit einem höheren Strom betrei- pelte Signal nicht gegen das Eingangssignal,
ben, wobei der benötigte Eingangsstrom steigt sondern mit ihm und verstärkt seine Wirkung.
und der Eingangswiderstand sinkt, oder den Dif- Aus der Gegenkopplung ist eine Mitkopplung ge-
ferenzverstärker aus Feldeffekttransistoren auf- worden. Jede Störung, beispielsweise Rauschen,
bauen (Abschn. 3.4.5, Abb. 3.62). erscheint wieder verstärkt am Eingang und durch-
Eine Stromquelle in der gemeinsamen Source- läuft den Verstärker erneut solange, bis der Ver-
Zuleitung ermöglicht einen großen Eingangs- stärker die Aussteuergrenze erreicht, d. h. der
spannungsbereich und eine gute Gleichtaktun- Regelkreis schwingt.
terdrückung. Feldeffekttransistoren haben einen Im regelungstechnischen Sinn ist der Ope-
vernachlässigbar kleinen Gate-Strom, auch wenn rationsverstärker eine Reihenschaltung mehre-
der Drain-Strom im Ausgangskreis groß ge- rer Tiefpässe, die mit zunehmender Frequenz
wählt wird, um höhere Frequenzen im Verstärker die Verstärkung verringern und durch die Si-
zu verarbeiten. Diese Eingangsstufe ermöglicht gnallaufzeit eine Phasenverschiebung zwischen
schnelle Verstärker mit hohem Eingangswider- dem Eingangs- und dem Ausgangssignal ver-
stand. ursachen. Abbildung 8.11a zeigt die drei Ver-
Differenzverstärker mit Feldeffekttransistoren stärkerstufen als in Reihe geschaltete Tiefpäs-
haben aber eine größere und stärker tempera- se. Diese verstärkenden Tiefpässe verursachen
turabhängige Eingangsfehlspannung. Bei hohen die in Abb. 8.11b dargestellte, frequenzabhän-
8 Analoge integrierte Schaltungen 417

In Kap. 10 wird gezeigt, dass ein Regelkreis


nur dann stabil ist, wenn bei
180ı Phasendre-
hung die Verstärkung v < 1 ist. Diese Voraus-
setzung muss bei der Beschaltung immer erfüllt
sein.
Die einfachste Lösung besteht aus einem Re-
gelkreis mit möglichst wenig Verzögerungsglie-
dern, wovon eines eine niedrige Grenzfrequenz,
die übrigen eine hohe Grenzfrequenz haben.
Durch eine zusätzliche Beschaltung wird die Fre-
quenz der ersten vorhandenen Polstelle (des Tief-
passes mit der niedrigsten Grenzfrequenz) des
Operationsverstärkers soweit verringert, dass die
Verstärkung im ganzen Regelkreis auf eins abge-
sunken ist, bevor die Phasendrehung der nächsten
Polstelle weitere 90ı verursacht. Die roten Linien
in Abb. 8.11a, 8.11b und 8.11c zeigen die neue
frequenzabhängige Verstärkung und Phasendre-
hung.
Die Verstärkung im Regelkreis hängt vom
Frequenzgang des Operationsverstärkers und der
rückführenden Beschaltung ab. Ist die Verstär-
kung der ganzen Schaltung v > 1, dann wird
nur der Teil k D 1=v der Ausgangsspannung
auf den Eingang zurückgeführt, die Kreisverstär-
kung wird mit dem Rückkoppelfaktor k (k < 1)
multipliziert und der Operationsverstärker darf
bei gleicher Phasendrehung eine entsprechend
höhere Verstärkung haben, bevor er die Stabili-
tätsgrenze erreicht. Die optimale Korrektur des
Frequenzgangs berücksichtigt die Eigenschaften
des Operationsverstärkers und die Verstärkung
und Phasendrehung der Rückführung.
Abb. 8.11 Bode-Diagramm eines Operationsverstärkers
Abbildung 8.12 veranschaulicht den Signal-
ohne Beeinflussung der Stufen (a). Stufen des Operati-
onsverstärkers und ihr Frequenzgang, b Frequenzgang, weg im Regelkreis. Bei der Spannungsverstär-
c Phasengang kung vu D 1 ist die Abschwächung k D 1,
weshalb die Stabilitätsbedingung am schwierigs-
ten zu erfüllen ist. Intern kompensierte Verstärker
gige Verstärkung und die zugehörige Phasen- sind meistens für die Verstärkung 1 kompensiert
verschiebung (Abb. 8.11c). Die Gesamtverstär- (unity-gain stable). Sie arbeiten dadurch sicher,
kung entsteht aus dem Produkt der Einzel- aber langsam.
verstärkungen, deren logarithmisches Maß (in Durch einen extern zugeschalteten Konden-
dB) man leicht zur Gesamtverstärkung addie- sator oder die Kombination von Kondensator
ren kann. Die Phasenverschiebung der einzelnen und Widerstand kann man den Frequenzgang
Stufen lässt sich direkt addieren und als Ge- individuell korrigieren und an die Beschaltung
samtverschiebung darstellen. Beide Kurven er- anpassen. Die Dimensionierung und die resul-
geben das Bode-Diagramm eines Operationsver- tierende Verstärkung als Funktion der Frequenz
stärkers. sind in den Datenblättern der Hersteller angege-
418 K. Bressler und R. Martin

wenige Anschlüsse und sind einfach zu handha-


ben.
In der Praxis liegt der neue Pol eines in-
tern kompensierten bipolaren Operationsverstär-
kers zwischen 1 Hz und 10 Hz. Ein Tiefpass mit
3 Hz Grenzfrequenz besteht beispielsweise aus
einem Widerstand mit 1 M und einem Kon-
densator von 53 nF. Kondensatoren dieser Größe
lassen sich nicht in integrierten Schaltungen her-
Abb. 8.12 Signalweg im Regelkreis eines rückgekoppel-
ten Operationsverstärkers stellen, weshalb der Tiefpass auf einem anderen
Weg zu verwirklichen ist.
Die erste Polstelle wird vom Tiefpass aus
dem differenziellen Innenwiderstand des Dif-
ferenzverstärkers (Ausgangswiderstand des Dif-
ferenzverstärkers in Abb. 8.3) und der dyna-
mischen Eingangskapazität der nachfolgenden
Spannungsverstärkerstufe (Abb. 8.3) bestimmt
(Miller-Integrator, Abschn. 3.2.1.5). Die zusam-
men mit anderen parasitären Kapazitäten und
dem Innenwiderstand des Differenzverstärkers
Ri  2 M erzeugte Grenzfrequenz liegt bei ei-
nem bipolaren Verstärker ungefähr bei 10 kHz.
Wird zu dieser Kapazität eine weitere hinzuge-
schaltet, dann lässt sich die Grenzfrequenz um
mehrere Zehnerpotenzen senken. Dabei erscheint
der Kondensator C  30 pF um die Span-
nungsverstärkung vu  400 vergrößert. Durch
diesen Kunstgriff reichen Kondensatoren zwi-
Abb. 8.13 Verstärkung und Bandbreite eines extern kom- schen 30 pF und 100 pF aus, die sich innerhalb
pensierten Operationsverstärkers
der Schaltung herstellen lassen. Abbildung 8.3
zeigt beispielsweise den Kondensator C zwi-
schen Ausgang und Eingang der zweiten Span-
ben. Die Verstärker bezeichnet man als nicht fre- nungsverstärkerstufe.
quenzkompensiert (engl.: noncompensated). Sie Die Verstärkung der zweiten Spannungsver-
arbeiten ohne externe Kompensation nicht sta- stärkerstufe hat bei 200 kHz den nächsten Pol, der
bil oder nur bei hoher Verstärkung, d. h. bei von einem Tiefpass aus dem Arbeitswiderstand
starker Abschwächung durch das Rückführnetz- der zweiten Stufe und der parasitären Lastka-
werk. Bei einer externen Kompensation wird die pazität verursacht wird. Der Kompensationskon-
Bandbreite nicht mehr als unbedingt notwendig densator C bildet bei höheren Frequenzen ei-
eingeschränkt; der Verstärker arbeitet schneller ne Spannungsgegenkopplung (Abschn. 3.2.1.4),
als ein intern universell kompensierter Verstärker die den Ausgangswiderstand der Stufe verrin-
(Abb. 8.13). gert und dadurch den Einfluss des Lastkon-
Der größte Teil der heute angebotenen inte- densators verkleinert. Der zweite Pol wird von
grierten Operationsverstärker ist intern kompen- 200 kHz nach  10 MHz verschoben. Der Vor-
siert. Bei ihnen liegt die erste Grenzfrequenz gang heißt Pol-Splitting. Abbildung 8.14 zeigt
so niedrig, dass der Verstärker mit der Verstär- den dadurch entstehenden Frequenzgang eines
kung v D 1, d. h. ohne abschwächende Rück- kompensierten Verstärkers (rot) im Gegensatz zu
kopplung, stabil arbeitet. Diese Verstärker haben einem unkompensierten (schwarz). Dabei fällt
8 Analoge integrierte Schaltungen 419

Abb. 8.15 Einschwingen eines Operationsverstärkers bei


unterschiedlicher Verstärkung des Regelkreises

weitere Phasendrehung bewirkt und den gegen-


gekoppelten Verstärker instabil machen kann.
Die zuvor genannte Stabilitätsbedingung
(v < 1 bei 180ı Phasendrehung) ist eine Grenz-
bedingung, die nur die Selbsterregung verhindert.
In der Praxis reicht diese Dimensionierung nicht
aus, da jede Störung eine Schwingung mit der
Eigenfrequenz auslöst, die nur allmählich ab-
klingt. Das zurückgeführte Signal durchläuft
den Verstärker und die Rückführung und er-
scheint wieder als gleichphasiges und nahezu
gleich großes Signal am Eingang, weshalb die
Schwingung entsprechend langsam abklingt.
Eine sprunghafte Störung am Eingang des Ver-
stärkers erzeugt die Ausgangsspannung nach
Abb. 8.15, Kurve 2 (Kurve 1 entspricht dem
schwach gedämpften Verlauf).
Gute praktische Ergebnisse liefert ein Re-
Abb. 8.14 Bode-Diagramm eines Operationsverstärkers
mit gegenseitiger Beeinflussung der Stufen (a). Stufen des gelkreis, der bei der Verstärkung v D 1 nicht
Operationsverstärkers und ihr Frequenzgang, b Frequenz- mehr als 120ı Phasendrehung verursacht und da-
gang, c Phasengang mit noch 60ı Phasenreserve bis zur kritischen
Rückkopplung aufweist. Dieser Regelkreis hat
bei 180ı Phasendrehung nur noch die Verstär-
auf, dass bei Frequenzen oberhalb 50 kHz die kung v D 0;3. Nach einem Spannungssprung am
Phasendrehung des kompensierten Verstärkers Eingang schwingt der Ausgang nach der Kur-
geringer ist, als die des unkompensierten Verstär- ve 3 in Abb. 8.15 ein. Wird die Verstärkung
kers. weiter vermindert, so verschwindet das Über-
Operationsverstärker sollen am Ausgang nicht schwingen. Bei v D 1 und 90ı Phasenreserve ent-
kapazitiv belastet werden. Der Kondensator bil- steht der aperiodische Grenzfall und der Ausgang
det mit dem ohmschen Innenwiderstand des Ver- schwingt nach Kurve 4 ein (Kap. 10: Elektrische
stärkers einen zusätzlichen Tiefpass, der eine Regler).
420 K. Bressler und R. Martin

terdrückt sein. Die Schaltung funktioniert nur,


solange die Signalfrequenz kleiner als die Fre-
quenz des Umschalters ist.
Die Halbleiterhersteller haben viele neue
Schaltungen entwickelt, die weniger Nachteile
haben. Als Beispiel wird das Blockschaltbild des
Chopper-Verstärkers ADA4051 von Analog De-
Mit
Signal
vices erläutert (Abb. 8.17). Auch hier wird das
kleine Eingangssignal vor dem Verstärker umge-
schaltet (zerhackt) und danach wieder synchron
gleichgerichtet und ausgegeben. Die Offsetspan-
Abb. 8.16 Wirkungsweise eines Chopperverstärkers
nung des Eingangsverstärkers wird hier durch
eine Regelung korrigiert.
Der Umschalter CHOP1 wandelt die kleine
8.2.6 Verstärker mit sehr kleiner Eingangsgleichspannung in eine rechteckförmi-
Offsetspannung (Zero Drift) ge Wechselspannung um, die im Verstärker Gm1
verstärkt wird. Der nachfolgende Umschalter
Es gibt Signale, die immer sehr klein sind, CHOP2 setzt die aufeinander folgenden Halb-
aber hochpräzise verstärkt werden müssen. Dazu wellen unterschiedlicher Polarität wieder zu einer
gehört beispielsweise das Ausgangssignal eines Gleichspannung mit der ursprünglichen Polari-
Dehnungsmessstreifens, der in fast allen moder- tät zusammen. Diese Gleichspannung enthält ne-
nen Waagen verwendet wird oder das Ausgangs- ben dem erwünschten verstärkten Eingangssignal
signal eines Thermoelements. Hier darf die Ein- auch die verstärkte Offsetspannung des Verstär-
gangsfehlspannung des nachgeschalteten Ope- kers Gm1. Da sie erst nach dem Umschalter
rationsverstärkers höchstens wenige V betra- CHOP1 dazukam, erscheint die verstärkte Off-
gen. Diese Genauigkeit ist mit einer verbesserten setspannung als überlagerte rechteckige Wech-
Technologie allein nicht erreichbar. Beim ältes- selspannung. Diese Spannung wird mit Gm4
ten und einfachsten Verfahren wird die Polarität weiter verstärkt und mit CHOP3 in Wechselspan-
des Eingangssignals vor dem Verstärker regelmä- nung gewandelt. Mit dem Notchfilter NF lässt
ßig mit einem Wechselrichter (chopper) umge- sich der vom Offset verursachte unsymmetri-
schaltet, sodass eine rechteckförmige Wechsel- sche Spannungsanteil ausfiltern und gleichrich-
spannung entsteht. Diese wird verstärkt und da- ten.
nach von einem zweiten synchron angesteuerten Solange die Ausgänge des Verstärkers Gm5
Umschalter phasenrichtig wieder gleichgerichtet. nicht an die Knoten zwischen Gm1 und CHOP2
Der große Gleichspannungsanteil entspricht dem angeschlossen sind, entsteht am Ausgang von
verstärkten Eingangssignal (Abb. 8.16). Die Off- Gm5 ein dem Offset proportionales Korrektursi-
setspannung des Verstärkers, die erst nach dem gnal. Schließt man den Regelkreis, dann wird die-
Umschalter dazukommt, ist jetzt als Wechsel- ses Korrektursignal zwischen Gm1 und CHOP2
spannung überlagert. Durch eine nachfolgende eingespeist, das den Offsetfehler hinter CHOP2
Mittelwertbildung bleibt der Gleichanteil erhal- auf nahezu null reduziert. Es ist eine Proportio-
ten und die unerwünschte Wechselspannung kann nalregelung entstanden.
mit einem Tiefpass unterdrückt werden (Chop- Alle Umschalter und das geschaltete Kon-
perverstärker). densator-Notch-Filter werden synchron von ei-
Das Verfahren sieht einfach aus, hat aber nem 40 kHz Takt gesteuert. Die Transkonduk-
seine Tücken. Bei diesen kleinen Signalen stö- tanz-Verstärker sind spannungsgesteuert, geben
ren Thermospannungen zwischen verschiedenen aber einen proportionalen Strom aus. Deshalb
Werkstoffen. Das Tastverhältnis muss genau 1 W 1 lassen sich die Ausgangssignale leicht auf einem
sein und mögliche Umschaltspitzen müssen un- Knoten addieren. Der Verstärker Gm6 korrigiert
8 Analoge integrierte Schaltungen 421

Abb. 8.17 Operationsverstärker ADA4051-1 mit sehr kleiner Offsetspannung. Werkbild: Analog Devices

mögliche Phasenfehler, die im Offsetkorrektur-


netzwerk bei der Schaltfrequenz entstehen.
Wenn ein Verstärker ausgesucht wird, müs-
sen seine typische und maximale Offsetspan- T3 T1 T2 T4
nung, seine Frequenzgrenze, der Versorgungs-
spannungsbereich sowie weitere Daten berück-
sichtigt werden.

RC1 RC2

8.2.7 Verstärker für eine


Versorgungsspannung
Abb. 8.18 Eingangsstufe eines Single-Supply-Verstäkers
Häufig steht für die Operationsverstärker nicht
die große positive und negative Versorgungsspan-
nung zur Verfügung. Da immer mehr Geräte Man hat Operationsverstärker für nur eine Ver-
aus Batterien gespeist werden, soll nicht nur der sorgungsspannung entwickelt, deren Eingang bis
Versorgungsstrom sondern auch die erforderliche zum negativen Ende der Versorgungsspannung
Versorgungsspannung klein sein und möglichst ohne Einschränkung arbeitet (single supply am-
nur eine Polarität haben. Eine Weiterentwick- plifier). Hier wird der ganze Verstärker für eine
lung ermöglicht Ein- und Ausgangssignale über kleine Betriebsspannung von 3 V bis 5 V di-
den ganzen Bereich der Versorgungsspannung. mensioniert. Bei den Darlington-Transistoren des
Diese Verstärker werden als Rail to Rail Verstär- Differenzverstärkers im Eingang beträgt 2UBE ca.
ker bezeichnet. Viele interessante Schaltungen 1 V (Abb. 8.18). Diese Spannung reicht aus, dass
wurden entwickelt. Nur wenige werden in die- der Transistor T1 mit seinem Arbeitswiderstand
sem Werk vorgestellt. Über die Internet-Seiten RC1 einwandfrei funktioniert. Die Eingangsspan-
der Hersteller, Übersichtslisten nach Stichworten, nung darf kleine negative Werte annehmen, das
findet man zahlreiche Datenblätter und Applika- Ausgangssignal am Kollektor von T2 liegt nor-
tionsberichte, die neben allen wichtigen Daten malerweise im mV-Bereich. Die Arbeitswider-
auch Hinweise zur Funktion und für die Anwen- stände RC1 und RC2 werden meistens durch einen
dung liefern. Stromspiegel ersetzt.
422 K. Bressler und R. Martin

Abb. 8.19 Schaltbild des Rail-to-Rail-Verstärkers LT1800. Werkbild: Linear Technology

Das obere Ende des Eingangsspannungsbe- npn-Transistoren kann den oberen Bereich der
reichs wird durch den Spannungsabfall an der Eingangsspannung verarbeiten.
Stromquelle im Emitterkreis und an den zwei Das kann am Beispiel des LT1800 von Li-
Basis-Emitter-Strecken der Eingangstransistoren near Technology erläutert werden (Abb. 8.19,
bestimmt, es liegt 1,5 V bis 2 V unter der positi- vereinfachtes Schaltbild des LT1800). Im Ein-
ven Betriebsspannung. Das reicht oft, aber nicht gangsspannungsbereich von 0 bis 1,2 V unter
immer aus. Die Ausgangsstufe muss auch bis zur der positiven Betriebsspannung arbeiten Q1 und
Ausgangsspannung 0 V arbeiten, das wird unten Q2. Sie speisen ihr Ausgangssignal am Emitter
bei den Rail to Rail Verstärkern beschrieben. Q8 und Q9 ein. In dieser Phase ist die Span-
Verstärker für eine Versorgungsspannung eig- nung an den Emittern von Q1 und Q2 kleiner
nen sich gut für AD-Wandler in Batterie be- als die Basisspannung VBIAS von Q5, deshalb ist
triebenen Geräten, zur Strommessung mit einem Q5 stromlos. Über den Stromspiegel Q6 und Q7
niederohmigen Widerstand in der Masseleitung ist auch der Differenzverstärker Q3, Q4 strom-
und für viele weitere Anwendungen. los und hochohmig. Steigt die Emitterspannung
von Q1 und Q2 mehr als 0,5 V über VBIAS an
Q5, wird er leitend und lenkt den Strom I1 in
8.2.8 Rail to Rail Verstärker den Stromspiegel Q6. Jetzt arbeiten Q3 und Q4,
der Differenzverstärker aus Q1 und Q2 ist jetzt
Bei diesen Verstärkern darf sich die Eingangs- stromlos und hochohmig. Das Signal wird beim
spannung im ganzen Betriebsspannungsbereich Emitter Q11 und Q12 eingespeist. Die aktiven
bewegen. Auch die Ausgangsspannung soll die- Transistoren Q11 und Q12 arbeiten jetzt auf den
sen bis auf wenige mV überstreichen. Am Ein- Stromspiegel aus Q8, Q9 und Q10.
gang müssen die letzten 2 V bis zur positiven Be- Der Übergang vom einen Differenzverstärker
triebsspannung verarbeitet werden können. Der auf den anderen geht nicht linear und kontinuier-
häufigste Weg sind zwei parallel geschaltete lich. Der Knick oder Haken in der Übertragungs-
komplementäre Differenzverstärker im Eingang. kennlinie kann stören. Ein anderes Verfahren
Die Stufe mit den pnp-Transistoren verarbeitet vermeidet diesen Nachteil. Der Differenzverstär-
den unteren Bereich der Betriebsspannung. Ein ker mit den pnp-Transistoren wird aus einer 2 V
sehr ähnlich aufgebauter Differenzverstärker aus bis 3 V höheren Spannung gespeist, der Ein-
8 Analoge integrierte Schaltungen 423

a b

Abb. 8.20 a Ladephase des Transferkondensator C1 , b Entladephase des Transferkondensator bei aufgesetzter Span-
nung von C1

gangsspannungsbereich überstreicht problemlos 8.2.8.1 Ausgangsstufe


und ohne weitere Maßnahmen den ganzen Be- Die klassische Ausgangsstufe des Standardver-
reich der Versorgungsspannung. Ein Anschluss stärkers nach Abb. 8.8 kommt nur auf 1,5 V
mit einer höheren Hilfsspannung wird von den bis an die Versorgungsspannung heran. Legt
Anwendern normalerweise nicht akzeptiert. Da man die Emitter der Ausgangstransistoren an
der Eingangsverstärker nur einen kleinen Strom die Betriebsspannung und verbindet die Kollek-
braucht, einige 100 A, kann die zu addierende toren, dann ist die Ausgangsspannung nur um
Hilfsspannung mit einer Ladungspumpe erzeugt die Kollektor-Emitter-Sättigungsspannung klei-
werden, die im Operationsverstärker enthalten ner als die Versorgungsspannung. Bei kleinem
ist. Ausgangsstrom fehlen nur einige 10 mV. Schwie-
Abbildung 8.20 zeigt die Ladungspumpe riger wird die Einstellung des Ruhestroms im
(charge pump). Sind beide Schalter in der Stel- Ausgang, in Abb. 8.19 der Transistoren Q14 und
lung 1, wird C1 mit der Versorgungsspannung Q15. Die Schaltung im Kasten „Buffer and Out-
oder einem Teil davon aufgeladen. In der Stel- put Bias“ muss den richtigen Versatz zwischen
lung 2 fließt ein Teil der Ladung von C1 in C2 Eingang und den Basisanschlüssen der Aus-
und lädt ihn auf, danach wird C1 wieder an der gangstransistoren sicherstellen. Hier muss auch
Versorgungsspannung aufgeladen. In der Praxis der Ausgangsstrom begrenzt werden.
verwendet man CMOS-Schalter mit einem intern Viele Operationsverstärker sind nicht bipolar,
erzeugten Takt. Bei sehr hohen Taktfrequenzen sondern in der ebenso gut geeigneten CMOS-
im MHz-Bereich reichen kleine interne Konden- Technik aufgebaut. Feldeffekttransistoren eignen
satoren auf der integrierten Schaltung aus, sonst sich gut als Ausgangsstufe, da sie bis auf einen
können die Speicherkondensatoren extern zuge- kleinen EIN-Widerstand durchgesteuert werden
schaltet werden. können.
Ladungspumpen gibt es auch als eigenstän-
dige integrierte Schaltungen um eine Hilfsspan- 8.2.9 Sehr schnelle
nung, auch mit anderer Polarität, zu erzeu- Operationsverstärker
gen. Die Spannungsquelle mit dem diskontinu-
ierlichen Strom aus kleinen Kondensatoren hat Nicht immer reicht die Schnelligkeit der bis-
einen verhältnismäßig hohen Innenwiderstand her beschriebenen Operationsverstärker aus.
und kann nur wenige mA liefern. Die eigenstän- Standardverstärker haben ein mittleres Verstär-
dige Schaltung ist klein, beispielsweise im SO8 kungs-Bandbreite-Produkt zwischen 0,1 MHz
Gehäuse und sie hat nur zwei externe Kondensa- und 5 MHz sowie eine Anstiegsgeschwindigkeit
toren mit kleiner Spannung, meist als OMB Teile. < 1 V=s.
Damit lässt sich eine Hilfsspannung mit gerin- Verstärker mit FET-Eingang und höheren Ar-
gem Aufwand erzeugen. beitsströmen können deutlich schneller sein als
424 K. Bressler und R. Martin

Abb. 8.21 Vereinfachtes Schaltbild des sehr schnellen Operationsverstärkers LT1226. Werkbild: Linear Technology

Universalverstärker. Neben dem Verstärkungs- widerstand des Transistors T6. Nur an seinem
Bandbreite-Produkt ist auch die Anstiegsge- Kollektor bildet sich die Signalspannung aus, die
schwindigkeit der Ausgangsspannung wesentlich über die folgenden Stromverstärker die Endstufe
größer. Typisch sind Werte zwischen 10 V=s ansteuert. Das Verstärkungs-Bandbreite-Produkt
und 100 V=s sowie ein Verstärkungs-Bandbrei- beträgt 1000 MHz, die Anstiegsgeschwindigkeit
te-Produkt oberhalb 20 MHz. Diese Verstärker 400 V=s. Dieser Verstärker arbeitet noch bei
können noch mit ˙15 V betrieben und nach den den üblichen ˙15 V Betriebsspannung mit wenig
Regeln für Standardverstärker beschaltet werden. Ruhestrom, 7 mA, und erreicht ˙12 V Ausgangs-
Die höhere Verlustleistung erwärmt den Verstär- spannung.
ker schon deutlich. Noch schnellere Operationsverstärker benö-
Schnellere Verstärker haben zwischen dem tigen höhere interne Ströme, sie haben auch
Differenzverstärker im Eingang und der Endstu- deutlich kleinere Ausgangs- und Versorgungs-
fe zur Stromverstärkung nur noch eine wirksame spannungen. Je höher die Wechselspannung und
Stufe zur Spannungsverstärkung. Damit hält man die Frequenz sind, desto mehr Strom wird
die Phasenverschiebung durch interne Verzöge- zum Umladen der Kondensatoren gebraucht.
rungsglieder deutlich unter 180ı . Diese Verstärker arbeiten oft nur zwischen
Ein typisches Beispiel ist der LT1226 von 2,7 V und 5 V. Man nutzt sie zum Verstärken
Linear Technology. Abbildung 8.21 zeigt ein ver- von Videosignalen oder für Leitungstreiber und
einfachtes Schaltbild. Der Differenzverstärker im -empfänger. Oberhalb von 10 MHz müssen die
Eingang arbeitet auf Stromquellen mit T3 und T4 Ein- und Ausgänge allmählich nach Hochfre-
als Arbeitswiderstände. Diese Stromquellen ver- quenzregeln beschaltet werden. Leitungen müs-
sorgen die Transistoren T1, T2, T5 und T6 mit sen mit ihrem Wellenwiderstand abgeschlossen
Strom. Dieser Strom kann groß sein, um inter- sein und möglichst auch damit eingespeist wer-
ne Kapazitäten schnell umzuladen und um die den.
Transistoren in einem Arbeitsbereich mit hoher Diese Verstärker können, wie alle Opera-
Transitfrequenz zu halten. Das Signal wird über tionsverstärker, die Eingangsspannungsdifferenz
die Emitter der Transistoren T5 und T6 in die von Gleichspannung bis zur oberen Grenzfre-
nächste Stufe eingespeist. Ein doppelter Strom- quenz breitbandig verstärken. Gleichtaktspan-
spiegel bildet den sehr hochohmigen Arbeits- nungen werden unterdrückt.
8 Analoge integrierte Schaltungen 425

Tab. 8.3 Vergleich der Eigenschaften von Operationsverstärkern


Universalverst Kleine Offset- 0-Offset, 0-Drift Rauscharm, Sehr schnell
LM1458, A741 spg. AD8624 ADA4051 schnell LT6231 LT1226
Versorg.-Spg. ˙3 V bis ˙18 V ˙2 V bis ˙18 V 1,8 V bis 5,5 V 3 V bis 12,6 V ˙3 V bis ˙18 V
Offset-Spg. 1 mV 10 V 2 V 75 V 0,3 mV
Offsets. Drift 6 V=K 0,5 V=K 20 nV=K 0,5 V=K 6 V=K
IEingang 80 nA 45 pA 10 pA 5 A 4 A
REingang 1 M 1 G 8 M 7,5 k 15 k
Offene Verst. 160 V=mV 7000 V=mV 3000 V=mV 50 V=mV 150 V=mV
Gleichtaktunt. 90 dB 120 dB 125 dB 115 dB 103 dB
p p p p p
Rauschspg. Dichte 20 nV= Hz 11 nV= Hz 95 nV= Hz 1,1 nV= Hz 2,6 nV= Hz
Slew-rate 0,6 V=s 0,48 V=s 0,04 V=s 60 V=s 400 V=s
Kleinsignal Bandbreite 300 kHz 560 kHz 125 kHz 6 MHz >10 MHz
Stromverbrau. 3 mA 0,25 mA 15 A 3,5 mA 7 mA
Spg. UB  UA 1V Rail to Rail Rail to Rail IO Rail to Rail O 1V
Spg. UB  UA , Spannungsdifferenz zwischen Versorgungs- und Ausgangsspannung

Wenn Gleichspannungen nicht verarbeitet


8.3 Operationsverstärker
werden müssen, können die am Ende des Kap. 4
mit statischer Beschaltung
vorgestellten HF-Verstärker eingesetzt werden.
Sie sind breitbandig und verstärken oft bis 5 GHz.
Die Beschaltung ist einfach. Wegen der intern
Dieser Abschnitt beschreibt nur die statischen
am Eingang und Ausgang anstehenden Gleich-
Schaltungen. Das sind Schaltungen zur Verstär-
spannung müssen die Signale über Kondensato-
kung zeitlich gleichbleibender oder niederfre-
ren von den übrigen Schaltungsteilen getrennt
quenter Signale, bei denen das vollständige Ein-
werden. Bei diesen hohen Frequenzen müssen
gangssignal unverfälscht verstärkt wird, d. h. alle
Leitungen und Abschlüsse sehr sorgfältig nach
Frequenzen werden mit der gleichen Verstärkung
den Regeln der Hochfrequenztechnik dimensio-
und der gleichen Laufzeit verarbeitet. Die Be-
niert werden.
rechnung berücksichtigt deshalb keine zeit- und
frequenzabhängigen Zusammenhänge.
In Abb. 8.22 sind die einzelnen Beschaltun-
8.2.10 Verschiedene gen zusammengestellt, ihre Besonderheiten er-
Operationsverstärker mit wähnt, der Eingangswiderstand angegeben so-
besonderen Eigenschaften wie die Übertragungsfunktionen aufgestellt und
grafisch veranschaulicht. Ausgehend vom Schalt-
Alle Hersteller bieten zusammen weit über 1000 bild des Operationsverstärkers werden für alle
verschiedene Operationsverstärker an. Aus den Schaltungen die Knoten- und Maschengleichun-
Übersichtslisten findet man eine Vorauswahl, gen aufgestellt, vereinfacht und gelöst. Daraus
über die Datenblätter wird der ausgesuchte Typ lässt sich die Übertragungsfunktion Ua D f .Ue /
bestätigt oder verworfen. Tabelle 8.3 zeigt aus errechnen, aus der sich die speziellen Anwendun-
den wichtigsten Bereichen jeweils einen cha- gen ergeben. Bei der Berechnung der Schaltung
rakteristischen Vertreter. Die großen Hersteller sei von einem idealen Operationsverstärker aus-
bieten in allen Segmenten eine große Auswahl. gegangen. Deshalb sind von den in Tab. 8.1
Unnötig hohe Anforderungen treiben den Preis, (Abschn. 8.2.1) dargestellten Eigenschaften, ins-
gängige Verstärker werden meistens von mehre- besondere folgende, gültig:
ren Herstellern angeboten, das kann die Beschaf-  die Eingangsströme Ie des Verstärkers sind
fung erleichtern. null.
426 K. Bressler und R. Martin

Abb. 8.22 Zusammenstellung statisch beschalteter Operationsverstärker


8 Analoge integrierte Schaltungen 427

Abb. 8.22 (Fortsetzung)


428 K. Bressler und R. Martin

.Ua  U / .Ua  Ua = 0 /
I2 D D
R2 R2
I1 C I2 D 0
Ue Ua Ua Ua
 C  D0
R1 . 0 R1 / R2 . 0 R2 /
Die Eingangsspannung Ue beträgt:
Ua Ua R1 Ua R1
Ue D C  :
0 0 R2 R2
Bei realen Operationsverstärkern liegt die offene
Abb. 8.23 Invertierender Spannungsverstärker Verstärkung v0 zwischen 104 und 106 , die er-
forderliche Eingangsspannung U1 D Ua =v0 ist
gegenüber den übrigen Größen vernachlässigbar
 wegen der sehr großen Verstärkung (v D 1)
klein und kann bei der Berechnung entfallen. Die
ist die Spannung UI zwischen den Eingängen
Näherung U D 0 wird bei der Berechnung aller
des Verstärkers null.
folgenden Schaltungen verwendet. Nach Ua auf-
gelöst ergibt sich für die Übertragungsfunktion
8.3.1 Invertierender R2
Spannungsverstärker Ua D  Ue : (8.1)
R1

Abbildung 8.23 zeigt die Schaltung des inver- Die Verstärkung v ist demnach
tierenden Spannungsverstärkers. Zur Verdeutli- Ua R2
chung sind die Versorgungsspannungen CUS und vD D : (8.2)
Ue R1
US eingezeichnet, aus denen die Schaltung ge-
speist wird. In den folgenden Schaltungen sei der Man erkennt, dass die Eingangsspannung Ue
Übersicht wegen darauf verzichtet. im Verhältnis der Widerstände R2 =R1 vergrö-
Die offene Verstärkung v0 des Operationsver- ßert und mit invertiertem Vorzeichen am Ausgang
stärkers sei groß, aber nicht 1. Deshalb gilt: erscheint. Der Wert v ist unabhängig von der of-
fenen Verstärkung v0 des Operationsverstärkers,
Ua D U v0 ; solange diese sehr groß gegenüber R2 =R1 ist. Der
Ue D U C I1 R1 und Eingangswiderstand Re der Schaltung ist Re D
Ue =I1 D R1 . Der Verstärker regelt die Aus-
I2 D .Ua  U /=R2 :
gangsspannung so, dass die Eingangsspannung
Nach der Knotenregel ist die Summe der Ströme U stets null ist. Solange die Schaltung linear ar-
im Knoten null: I1 C I2  I D 0. Gegenüber beitet, hat der Knoten am invertierenden Eingang
den Strömen durch die Widerstände R1 und R2 immer das Potenzial des nicht invertierenden Ein-
ist der Eingangsstrom I des Operationsverstär- gangs. Liegt der nicht invertierende Eingang auf
kers sehr klein. Man kann ihn in der Berechnung Nullpotenzial, dann stellt der Knoten einen virtu-
vernachlässigen, so dass mit guter Näherung gilt ellen Nullpunkt dar. Der Eingangswiderstand Re
I1 C I2 D 0. ist in diesem häufig vorkommenden Fall gleich
Die Näherung II D 0 sei bei der Berechnung dem Widerstand R1 zwischen der Eingangsspan-
aller folgenden Schaltungen zugrunde gelegt. Für nung und dem virtuellen Nullpunkt:
die Ströme gilt:
Re D R1 : (8.3)
.Ue  U / .Ue  Ua = 0 / I Hinweis für die Praxis: Solange der Operati-
I1 D D
R1 R1 onsverstärker im linearen Bereich arbeitet, ist
8 Analoge integrierte Schaltungen 429

Abb. 8.24 Berechnung des Innenwiderstandes Ri


Abb. 8.25 Nicht invertierender Spannungsverstärker

die Spannung zwischen den beiden Eingän-


gen immer 0 mV. Eine Messung ist zwecklos. reich arbeitet und die Ausgangsstrombegren-
zung noch nicht wirkt. Die Näherung Ri D
Beispiel 8.3-1 0 wird bei der Berechnung aller folgenden
Eine Spannungsquelle mit dem Innenwider- Schaltungen verwendet.
stand Ri D 500  liefert 200 mV Leerlauf- Den invertierenden Spannungsverstärker
spannung. Sie soll mit einem invertierenden kann man auch aus einer Stromquelle speisen.
Spannungsverstärker nach Abb. 8.23 auf 10 V Die Ausgangsspannung beträgt dann Ua D
verstärkt werden. Ie  R2 . Der Widerstand R1 geht in die Ver-
stärkung nicht ein und kann entfallen.
Lösung
Die erforderliche Verstärkung beträgt: v D
10 V=0;2 V D 50. Um Einflüsse parasitär-
er Kapazitäten in Grenzen zu halten, soll 8.3.2 Nicht invertierender
der Rückführwiderstand R2 nicht größer als Spannungsverstärker
100 k sein. Nach Gl. 8.1 gilt v D R2 =R1 ,
R1 D R2 =v; R2 D 2 k. Dieser Wider- Beim nicht invertierenden Spannungsverstärker
stand besteht aus dem Vorwiderstand R10 und (Abb. 8.25) wird die Ausgangsspannung Ua über
dem Innenwiderstand Ri der Spannungsquel- den Spannungsteiler aus R2 und R1 auf den inver-
le. Der noch benötigte Widerstand beträgt tierenden Eingang zurückgekoppelt. Die geteilte
R10 D 2 k  500  D 1;5 k. Spannung Un an R1 ist gleich groß wie die Ein-
Der Innenwiderstand des unbeschalteten gangsspannung Ue , so dass gilt
Operationsverstärkers sei Ri . Er liegt, wie R1
Abb. 8.24 zeigt, innerhalb des gegengekoppel- Ue D Un D Ua :
R1 C R2
ten Verstärkers. Sein Einfluss wird durch die
Beschaltung weitgehend ausgeregelt. Wird die Gleichung für den Spannungsteiler aus
Maßgebend ist die offene Verstärkung v0 R1 und R2 nach Ua aufgelöst, so entsteht die
und die durch die Beschaltung bedingte Ver- Übertragungsfunktion
stärkung v D R2 =R1 . Es lässt sich zeigen,  
dass der Innenwiderstand Ri des beschalte- R1 C R2
Ua D Ue D Ue .1 C R2 =R1 / (8.4)
ten Verstärkers näherungsweise Ri D Ri v=v0 R1
beträgt. Mit Ri D 200 , v0 D 105 und
oder
v D 100 wird der wirksame dynamische In-
v D R2 =R1 C 1 : (8.5)
nenwiderstand Ri D 0;2 . Diesen Wert kann
man in den meisten Fällen vernachlässigen. Er Man erkennt, dass die Ein- und die Ausgangs-
gilt, solange der Verstärker im linearen Be- spannung in Phase sind. Für R2 D 0 sind die
430 K. Bressler und R. Martin

Ausgangsspannung und die Eingangsspannung


gleich groß (Ua D Ue ), d. h. die Verstärkung v
ist 1. Eine kleinere Spannungsverstärkung als
v D 1 ist mit dieser Schaltung nicht möglich.

Beispiel 8.3-2
Die Aufgabe besteht darin, die Spannung aus
dem Beispiel 8.3-1 mit einem nicht invertie-
renden Verstärker nach Abb. 8.25 zu verstär- Abb. 8.26 Schaltung des Subtrahierverstärkers
ken.

Lösung Für die Spannung UR4 am Widerstand R4 gilt:


Da diese Schaltung einen sehr hohen Ein-
gangswiderstand hat, braucht man den Innen- Ua  Ue2
widerstand Ri der Quelle nicht zu berücksich- UR4 D I4 R4 D R4 : (8.8)
R2 C R4
tigen. R2 wird wegen parasitärer Kapazitäten
auf 100 k festgelegt. Mit v D R2 =R1 C 1 Wird Gl. 8.7 und Gl. 8.8 in Gl. 8.6 eingesetzt, so
(Gl. 8.5) gilt R1 D R2 =.v  1/, R1 D ergibt sich
100 k=49 D 2;04 k.
Ist R2 D 0, so wird auch R1 überflüs- Ua  Ue2 R3
sig, und man erhält eine Schaltung, welche die Ua D R4 C Ue1 :
R2 C R4 R1 C R3
Bezeichnung Impedanzwandler oder Elektro-
meterverstärker hat. Der Eingangswiderstand Nach Ua aufgelöst ergibt sich die Übertragungs-
Re dieser Schaltung ist sehr groß, da die funktion zu
Signalquelle nur den sehr kleinen Eingangs-
strom des Operationsverstärkers aufbringen R3 .R2 C R4 / R4
Ua D Ue1  Ue2
muss. Die Spannung am Verstärkereingang ist R2 .R1 C R3 / R2
aber durch die Gegenkopplung viel kleiner als (8.9)
R3 .R2 =R4 C 1/ R4 R4
die Signalspannung. Der Eingangswiderstand D Ue1  Ue2 :
R2 .R1 =R3 C 1/ R3 R2
dieser Schaltung ist Re D Re0 v. Dabei ist
Re0 der Eingangswiderstand des unbeschalte- Für den Fall, dass die Widerstandsverhältnisse
ten Operationsverstärkers. Der Ausgangswi- R1 =R3 D R2 =R4 sind, lautet die Übertragungs-
derstand Ri ist entsprechend der Überlegung gleichung
in Abschn. 8.3.1 sehr klein.
R4 R3
Ua D .Ue1  Ue2 / D .Ue1  Ue2 / : (8.10)
8.3.3 Subtrahierverstärker R2 R1

Abbildung 8.26 zeigt eine Subtraktionsschaltung Aus Gl. 8.10 ist ersichtlich, dass nur die Differenz
für zwei Eingangsspannungen Ue1 und Ue2 . Die der Eingangsspannungen Ue1  Ue2 gemessen
Schaltung besteht aus einem invertierenden Ope- wird, wenn das Verhältnis der Widerstände am
rationsverstärker, dem eine zweite Eingangsspan- invertierenden und nicht invertierenden Eingang
nung Ue1 über einen Spannungsteiler an den nicht gleich ist.
invertierenden Eingang zugeführt wird. Die Subtraktionsschaltung findet in der Praxis
Es gelten folgende zwei Maschengleichungen: oft als Brückenverstärker, für Strom-Spannungs-
Wandler Verwendung. Dabei wird nur die Diffe-
Ua D UR4 C Un D UR4 C Up ; (8.6) renz zweier Spannungen verstärkt, nicht aber eine
R3 gemeinsame unerwünschte Spannung, die beiden
Up D Ue1 : (8.7)
R1 C R3 überlagert ist.
8 Analoge integrierte Schaltungen 431

Abb. 8.27 Instrumentenverstärker

8.3.3.1 Instrumentenverstärker
Die in Abb. 8.27 gezeigte Schaltung eines Mess-
verstärkers ist dann zu wählen, wenn eine einfa-
che Subtraktionsschaltung hinsichtlich des hohen
Eingangswiderstandes, der hohen Gleichtaktun-
terdrückung und der geringen Drift nicht genügt.
Es gilt folgende Maschengleichung:

Ua1  Ua2 D I .2 R1 C R2 / : (8.11a)


Abb. 8.28 a Brückenverstärker, b Schaltung eines
Weil der Operationsverstärker als ideal betrach- Brückenverstärkers bei gleichen Widerständen für Brücke
tet wird, gilt für die Beziehung zwischen dem und Operationsverstärker
Strom I und den Eingangsspannungen

Ue1  Ue2
I D : (8.11b) nur drei Operationsverstärker, deren Temperatur-
R2
und Eingangsspannungsfehler (offset) sich weit-
Der rechte Teil der Abb. 8.27 ist die Subtrakti- gehend kompensieren, sondern auch hochgenaue
onsschaltung nach Abb. 8.26, so dass man für Widerstände in der Beschaltung. Der Eingangs-
Gl. 8.10 schreiben kann widerstand, die Gleichtaktunterdrückung und die
R3 Genauigkeit der Verstärkung sind meistens bes-
Ua D .Ua1  Ua2 / D Ua1  Ua2 : ser, als dies bei nachträglicher Beschaltung zu
R3
erreichen ist, und der Eingangswiderstand ist
Unter Berücksichtigung der Gl. (a) und (b) ergibt in jedem Fall höher als in der Schaltung nach
sich die Übertragungsgleichung Abb. 8.28.
  Mit der Subtraktionsschaltung lässt sich, wie
2 R1 C R2 Abb. 8.28a zeigt, die Diagonalspannung in ei-
Ua D .Ue1  Ue2 /
R2 ner Wheatstoneschen Brücke (Abschn. 1.3.3.4)
  (8.12) verstärken. Die Brückenwiderstände können aus
2 R1
D 1C .Ue1  Ue2 / : ohmschen Widerständen, aber auch aus tempe-
R2
raturabhängigen (NTC, PTC), aus lichtempfindli-
Instrumentenverstärker gibt es fertig in einem chen Bauelementen wie Fotodioden und Fotowi-
Gehäuse eingebaut. Die Schaltung enthält nicht derständen oder aus magnetfeldabhängigen Wi-
432 K. Bressler und R. Martin

derständen wie Feldplatten bestehen (Abb. 2.23).


Die Schaltung eignet sich auch für eine Wech-
selspannung mit Kondensatoren C oder Indukti-
vitäten L in der Brücke, sofern die Verstärkung
bei der verwendeten Frequenz f ausreichend
hoch ist. Dadurch ergeben sich vielfältige Ein-
satzgebiete in der Mess-, Regel- und Steuertech-
nik.
Die Brückenspannung UB ist frei wählbar.
So kann es eine fremde Spannung sein, oder
aber auch die positive, negative oder die gesamte
Spannung des Operationsverstärkers. Verwendet
man für die Brücke und den Operationsverstär-
ker dieselben Widerstände, dann gelangt man zur
Schaltung nach Abb. 8.28b.
Setzt man in Gl. 8.9 die Beziehungen R1 D
R3 , R4 D R2 .1 C ˛/ und Ue1 D Ue2 D UB ein,
so ergibt sich für die Übertragungsgleichung

R3 .R2 C R2 .1 C ˛// R2 .1 C ˛/
Ua D UB 
R2 .R3 C R3 / R2
˛
D UB : (8.13)
2 Abb. 8.29 Schmitt-Trigger, nicht invertierend. a Schal-
tung, b Eingangsspannung Ue und Ausgangsspannung Ua
beim Schmitt-Trigger
8.3.4 Schmitt-Trigger
positive oder negative Sättigungsspannung des
Wird ein Vorgang zu einem genau bestimm-
Operationsverstärkers abgeben. Demnach gilt für
ten Zeitpunkt durch ein Steuersignal (z. B. einen
die Differenzspannung: UD D Up  Un .
Impuls) ausgelöst, dann spricht man von einer
Für den Operationsverstärker gilt Ua D vUD .
Triggerung (trigger: engl.: Auslöser). Eine Trig-
Ist die Spannungsverstärkung v größer als die
gerschaltung erzeugt eine Ausgangsspannung mit
Abschwächung ˛ durch die Rückkopplung, mit
steilen Flanken, sobald die Eingangsspannung
a D R2 =R1 , dann ist die Ausgangsspannung
einen bestimmten Pegel erreicht hat. Die Ein-
die positive oder negative Sättigungsspannung
gangsspannung kann sich dabei beliebig langsam
(UCsätt , Usätt ) des Operationsverstärkers. Stabi-
verändern.
le Zwischenwerte gibt es nicht. Abbildung 8.29b
Diese Flanke wird meistens in digitalen Schal-
zeigt die Verhältnisse.
tungen weiterverarbeitet. Das Steuersignal kann
Bei einer großen positiven Eingangsspannung
einmalig, periodisch oder regellos kommen.
Ue > Ue1 wird Ua D UCsätt . Am nicht inver-
Abbildung 8.29a zeigt einen nicht invertieren-
tierenden Eingang des Verstärkers liegt dann die
den Schmitt-Trigger, der sich gut als Schwell-
Spannung
wertschalter eignet.
Im Gegensatz zu den meisten Schaltungen mit Ue R2 C UCsätt R1
Operationsverstärkern wird das Ausgangssignal Up D > 0:
R1 C R2
auf den nicht invertierenden Eingang zurückge-
führt. Statt der üblichen Gegenkopplung entsteht Wird Ue verkleinert, dann ändert sich Ua zunächst
eine Mitkopplung. Die Schaltung hat keine stabi- gar nicht, weil der Verstärker dank seiner großen
le analoge Ausgangsspannung; sie kann nur die Verstärkung in der Sättigung bleibt. Erreicht Ue
8 Analoge integrierte Schaltungen 433

den negativen Wert Ue2 , so ist UD D 0. Wird Störungen bis zu 20 mVss v überlagert sind.
UD D Up  Un geringfügig negativ, so springt der Störungen, die kleiner als 24 mV sind, dür-
Verstärker von UCsätt auf Usätt . Von Usätt nach fen in der Nähe des Umschaltpunktes keine
UCsätt ändert sich der Ausgang erst wieder bei Ue1 Schaltvorgänge auslösen. Der Schmitt-Trigger
mit Up > 0. Der Verstärker besitzt eine Hystere- nach Abb. 8.29a gibt am Ausgang ˙12 V ab.
se Ueh . Bei einer sinusförmigen Spannung Ue D
Ue sin.! t/ ergibt sich ein Verlauf von Ua nach Lösung
Abb. 8.29b (rot eingezeichnet). Nach Gl. 8.15 ist die Hysterese Ueh am Ein-
Für die Umschaltpunkte gilt gang: Ueh D 2 R1 Usätt =.R1 C R2 /. Mit R2 
R1 R1 gilt näherungsweise:
Ue1 D  Usätt Ue1 > 0 I (8.14)
R2
Ueh D Usätt 2 R1 =R2 ;
R1
Ue2 D  UCsätt Ue2 < 0 : R2 D 2 R1  Usätt =Ueh :
R2
Bei symmetrischen Operationsverstärkern ist Um die Quelle möglichst wenig zu beeinflus-
UCsätt D Usätt D Usätt . Für die Schalthysterese sen, wird R1 auf 2,2 k festgelegt.
gilt dann
R2 D 2  2;2 k  12 V=24 mV
Ueh D Ue1  Ue2
D 2;2 M:
R1 R1
D Usätt  UCsätt
R2 R2 Die Bedingung R2  R1 ist somit erfüllt.
R1
D .Usätt  UCsätt / ;
R2
R1
Ueh D 2 Usätt : (8.15) 8.3.5 Nichtlinearer Verstärker
R2
Schließt man den invertierenden Eingang nicht Mitunter wird in einem System eine nichtlinea-
an 0 V, sondern an eine Referenzspannung an re Übertragungskennlinie benötigt. Der lineare
(Abb. 8.30a), dann lässt sich der Nullpunkt Verstärker erhält dann eine definierte nichtlinea-
der Hystereseschleife entlang der Eingangsspan- re Rückführung, die die gesamte Übertragungs-
nung Ue um den Wert von URef verschieben kennlinie ändert, Abb. 8.31.
(Abb. 8.30b). Gilt für den Rückführwiderstand (VDR, Vol-
Wenn man den Schmitt-Trigger mit einem tage dependent resistor) die Kennlinie nach
normalen Operationsverstärker aufbaut, dann Abb. 8.31b (schwarz), dann gilt für den gan-
schaltet der Ausgang nicht sofort beim Erreichenzen Verstärker die an der 45ı -Linie gespiegel-
der Umschaltbedingung (Gl. 8.15) um. Das große te Kennlinie (rot). Das Diagramm zeigt direkt
Eingangssignal übersteuert die einzelnen Stufen den Strom I in den Sternpunkt und im Wider-
und der Verstärker benötigt einige Mikrosekun- stand R1 . Da die Summe aller Ströme im Stern-
den Erholungszeit, um seine analoge Funktions- punkt und der Eingangsstrom des Operationsver-
weise zu erhalten. Wesentlich schneller arbeitetstärkers null ist, ist der Strom in R1 genauso
ein Komparator, der aber meistens nur mit einer groß wie der Rückstrom I . Der genau passende
positiven Spannung versorgt wird und dann am Rückführwiderstand wird selten verfügbar sein.
Eingang auch keine negative Eingangsspannung Eine Kombination aus einem spannungsabhän-
verarbeiten kann. gigen Widerstand oder einer oder mehrerer Z-
Dioden mit linearen Widerständen führt meistens
Beispiel 8.3-3 zu einem brauchbaren Ergebnis. Abbildung 8.32
Ein Schmitt-Trigger erhält eine sinusförmige zeigt die Kennlinie des Widerstands-Z-Dioden-
Spannung mit 100 mVss , der höherfrequente Netzwerks.
434 K. Bressler und R. Martin

Abb. 8.30 Schmitt-Trigger mit einstellbarer Schwelle. a Schaltung, b Spannungsverhältnis

Abb. 8.31 Nichtlinearer Verstärker mit VDR. a Schaltung, b Übertragungskennlinie

Abb. 8.32 Nichtlinearer Verstärker mit zusammengesetztem Rückführnetzwerk. a Schaltung, b Kennlinie


8 Analoge integrierte Schaltungen 435

Die Dimensionierung geschieht folgenderma- stärken. Hierzu eignet sich die Schaltung nach
ßen: Die benötigte, berechnete oder gemessene, Abb. 8.32a.
Übertragungsfunktion f1 D Ua =Ue (rot gestri-
chelt) wird an der ersten Winkelhalbierenden zur Lösung
Funktion g1 (rot) gespiegelt und durch einen Festlegung: Bei ua D 5 V soll im Leitwert G2
Polygonzug (schwarz) angenähert. Das erste Ge- nicht mehr als 0,5 mA Strom fließen. G2 sei
radenstück gibt den Leitwert G2 D I =U an. deshalb auf 100 S festgelegt. v D G1 =G2 ,
Beim ersten Knickpunkt wird die Diode Z3 lei- G1 D jv1 j G2 , G1 D 1 mS. Steigt die Aus-
tend; die Steigung entspricht jetzt dem Leitwert gangsspannung über ue  v1 D 0;5  10 D 5 V
G2 C G3 . Bei der Spannung UZ4 beginnt die an, dann soll die Verstärkung nur noch v2 D 7
zweite Z-Diode Z4 zu leiten. Die Summe der sein. Dazu muss die Z-Diode Z3 die Span-
Leitwerte G2 C G3 C G4 bestimmt die Steigung nung UZ D 5 V haben. Der nächste Normwert
des dritten Abschnitts. Auf diese Art und Weise ist: UZ D 5;1 V. Der neue Leitwert wird:
wird die ganze Kurve angenähert. In der Pra- G2 C G3 D G1 =v2 , G3 D G1 =v2  G2 ,
xis sollte die Näherungskurve aus nicht mehr als G3 D 41 S. Im Ergebnis werden die Wider-
drei bis vier Segmenten bestehen, sonst können standswerte angegeben. R2 wurde zu 10 k
die Toleranzen der Z-Dioden einen größeren Feh- festgelegt, R1 D 1 k, R3 D 25 k, UZ3 D
ler verursachen, als die Differenz zwischen der 5;1 V.
Funktion G1 und dem Polygonzug.
Z-Dioden mit kleiner Spannung haben beim
Übergang vom Sperrbereich in den Durchbruch- 8.3.6 Addierender Verstärker,
bereich keinen scharfen Knick; die Knickpunk- invertierend
te der Näherungsfunktion werden abgerundet,
und die Annäherung wird besser. Die Exem- Abbildung 8.33 zeigt einen addierenden Verstär-
plarstreuung der Dioden und ihre Temperatur- ker mit invertierender Beschaltung.
abhängigkeit beeinflussen die Lage der Knick- Nach der Knotenregel gilt:
punkte. Deshalb sollte man die Grenzkurven mit
Ue1 Ue2 Uen Ua
den größten und kleinsten Einzelspannungswer- C C:::C D :
R1 R2 Rn R0
ten berechnen. Liegen die Knickpunkte sehr dicht
beieinander, so finden auch normale Schaltdi- Wird nach Ua aufgelöst, so erhält man für die
oden Verwendung, deren kleine Spannung sich Übertragungsgleichung
jedoch mit der Sperrschichttemperatur stark än-  
dert. Besser sind hier als Shunt einsetzbare Ue1 Ue2 Uen
Ua D R0 C C:::C :
Bandgap-Referenzelemente, deren kleine Span- R1 R2 Rn
nungen temperaturstabil sind. (8.16)
Wird R1 mit dem VDR oder dem Netzwerk Sind die Widerstände gleich, d. h. R1 D R2 D
vertauscht, dann entsteht die an der Winkel- : : : D Rn , so ergibt sich
halbierenden im ersten Quadranten gespiegelte R0
Kurve (Abb. 8.31b). Nichtlineare Verstärker, die Ua D  .Ue1 C Ue2 C : : : C Uen / : (8.17)
R1
linear sein sollen, werden häufig besser mit zu-
sätzlicher Verstärkung und einer Gegenkopplung Wie diese Gleichung zeigt, werden die Ein-
korrigiert. gangsspannungen zuerst addiert, dann verstärkt
und anschließend invertiert.
Diese Schaltung findet häufig Verwendung.
Beispiel 8.3-4 Sie gestattet die Addition unterschiedlicher Span-
Ein nichtlinearer Verstärker soll Eingangs- nungen mit gleichem oder verschiedenem Ska-
spannungen ue < 0;5 V um den Faktor v1 D lenfaktor nach Gl. 8.16. Die Eingangsspannun-
10, ue > 0;5 V um den Faktor v2 D 7 ver- gen wirken über die Widerstände R1 bis Rn
436 K. Bressler und R. Martin

Abb. 8.34 Konstantstromquelle mit geerdetem Eingang


Abb. 8.33 Addierender Verstärker mit invertierender Be- und geerdetem Ausgang
schaltung

den Knoten am Eingang des Operationsverstär-


auf den Knoten am invertierenden Eingang. Im kers bezogen und deshalb nur bedingt brauchbar.
linearen Bereich des Operationsverstärkers ist In Abb. 8.34 ist eine Schaltung dargestellt, in
seine Eingangsspannung null, vom Eingang her der die Spannungsquelle und der Verbraucher auf
scheint der Knoten am invertierenden Eingang die gemeinsame Masse bezogen werden können.
mit Masse verbunden zu sein. Deshalb beeinflus- Der Operationsverstärker liefert den erforder-
sen sich die verschiedenen Eingangsspannungen lichen Strom über den Widerstand R5 an den
nicht, und die Eingänge sind rückwirkungsfrei. Verbraucher. Man misst den Spannungsabfall an
Der Eingangswiderstand ist der jeweilige Wider- R5 mit der Subtrahierschaltung nach Abb. 8.26.
stand zwischen Eingang und Knoten. Hierzu werden jeweils die Spannungen vor und
Mehrere Eingangsspannungen können auch nach dem Widerstand R5 geteilt und im Opera-
auf den nicht invertierenden Eingang eines OPV tionsverstärker verglichen. Aus Symmetriegrün-
addiert werden. Von Nachteil ist dabei die gegen- den gilt: R1 =R3 D R2 =R4 .
seitige Beeinflussung der Eingangsspannungen. Ist die Eingangsspannung Ue D 0, dann ist
Bei den heute sehr geringen Kosten der Stan- die Brücke abgeglichen, wenn die Spannung an
dardverstärker invertiert ein zweiter OPV, oft im R5 null ist, so dass kein Strom fließt. Eine positi-
selben Gehäuse, das Signal und man hat klare, ve Eingangsspannung an Ue erhöht die Spannung
rückwirkungsfreie Verhältnisse. Up , der Operationsverstärker regelt Ua so, dass
Up D Un ist. An R5 fällt jetzt die im Ver-
hältnis R1 =R3 geteilte Eingangsspannung Ue ab.
Daraus lässt sich der Zusammenhang zwischen
8.3.7 Konstantstromquellen
Eingangsspannung Ue und dem Ausgangsstrom
leicht berechnen:
Besonders in der Messtechnik, aber auch
bei elektronischen Schaltungen sind konstan- R4
te Stromquellen erforderlich, deren Ausgangs- Ia D Ue : (8.18)
R2 R5
strom – in bestimmten Grenzen – unabhängig
vom Lastwiderstand ist. Der Ausgangsstrom Ia Durch den Widerstand R5 fließt außer dem zu
hängt nicht von der abgegebenen Spannung der messenden Ausgangsstrom auch der Strom in den
Quelle ab. Im einfachsten Fall fließt der konstante Spannungsteiler aus R3 und R1 . Dieser Strom ist
Strom im Rückführwiderstand eines invertieren- der abgegebenen Spannung Ua proportional und
den oder nicht invertierenden Operationsverstär- er verringert den Innenwiderstand der Stromquel-
kers. Er regelt den Strom in der Rückführung le auf den Wert Ri D R1 C R3 . Soll ein sehr
stets so, dass sich die Eingangs- und die Rück- kleiner oder ein genauer Strom aus der Quel-
führströme zu null ergänzen. Dieser Strom ist auf le fließen, dann kann der Innenwiderstand nicht
8 Analoge integrierte Schaltungen 437

Abb. 8.35 Idealer Einweg-Gleichrichter. a Schaltung, b wichtige Spannungen

mehr vernachlässigt werden. Der Teiler aus R1 überschreiten. Ia D 10 A, Imeß D 1 A.
und R3 muss hochohmig sein, und es ist ein Der Spannungsabfall an R1 und R3 beträgt
Operationsverstärker mit entsprechend kleinem 1;5 V  1 V D 0;5 V, die Summe der Wi-
Eingangsstrom (input bias current) zu verwen- derstände wird: R1 C R3 D 0;5 V=1 A D
den. 500 k. Außerdem gilt R1 D 8 R3 , R1 CR3 D
9 R3 D 500 k. Es wird R3 D R4 D 55;6 k
Beispiel 8.3-5 und R1 D R2 D 444;4 k.
Für die Pin-Diode in einem analogen Hoch-
frequenzabschwächer ist ein Steuerstrom zwi-
schen 10 A und 10 mA erforderlich, der 8.3.8 Idealer Einweggleichrichter
durch eine Spannung zwischen 0 V und 1 V
gesteuert wird. Die Pin-Diode benötigt ein- Bei der Gleichrichtung kleiner Spannungen stört
schließlich der Entkopplungselemente höchs- die Durchlassspannung der Dioden D1 und
tens 1,5 V. D2 sowie deren Temperaturabhängigkeit. Diese
Einflüsse kann man mit einer Schaltung nach
Lösung Abb. 8.35 ausschalten.
Festlegungen: Es wird die Stromquelle nach Ist die Eingangsspannung ue negativ (ue < 0),
Abb. 8.34 benutzt, damit für den Differenz- so leitet die Diode D1 und die Diode D2 ist ge-
verstärker eine große Eingangsspannung be- sperrt (Schaltung wie ein invertierender Verstär-
reitsteht, wenn an R5 bei 10 mA Strom die ker). Da die Ausgangsspannung ua am Knoten
Spannung 8 V abfallen sollte. von R2 und D1 abgenommen wird, ist nur der
R5 hat deshalb 800 . Aus Gl. 8.18 lässt Spannungsabfall an R2 maßgebend, die Durch-
sich das Verhältnis R2 =R4 ermitteln, wenn lassspannung der Diode D1 spielt keine Rolle.
man den größten Strom und die größte Span- Für ue < 0 gilt daher
nung einsetzt.
R2
ua D  ue : (8.19)
Ia D .Ue =R5 /  .R2 =R4 / ; R1
R2 =R4 D R1 =R3 D Ia R5 =Ue ;
Wird die Eingangsspannung ue positiv (ue > 0),
R2 =R4 D 10 mA  800 =1 V D 8 : dann leitet die Diode D2 , der Gegenkopplungs-
R1 D 8 R3 : strom fließt durch D2 direkt in den Knoten am
invertierenden Eingang, die Diode D1 leitet da-
Der Messstrom Imess , der durch R3 und R1 gegen nicht. Deshalb kann auch kein Strom durch
fließt, verursacht in R5 einen Spannungsabfall, den Widerstand R2 fließen, so dass für diesen Fall
der wie ein Nutzstrom Ia gemessen wird; er die Ausgangsspannung ua gleich null ist (Gleich-
soll 10% des kleinsten Ausgangsstroms nicht richtung).
438 K. Bressler und R. Martin

Abb. 8.36 Zweiweg-Gleichrichter ohne gemeinsames Potenzial. a Schaltung, b Spannungen und Ströme

8.3.9 Zweiweggleichrichter ohne der Eingangsspannung Ue D 1 V erreicht wer-


gemeinsames Potenzial den soll.

In Abb. 8.36 wird der Rückführwiderstand der Lösung


Schaltung des invertierenden Spannungsverstär- Es wird der Zweiweg-Präzisionsgleichrichter
kers durch einen Verbraucher, beispielsweise ein nach Abb. 8.36 benutzt. Der Strom im Mess-
Messinstrument, mit vorgeschaltetem Brücken- werk ist gleich dem Betrag des Stroms jI1 j in
gleichrichter ersetzt. Der Gegenkopplungsstrom R1 . Bei sinusförmiger Wechselspannung be-
fließt über den Gleichrichter durch den Verbrau- trägt der arithmetische Mittelwertp
des Stroms
cher. Da der Operationsverstärker den Strom in I1 D .û=R1 /  =4, mit û D Ueff  2 wird:
R2 unabhängig von der benötigten Spannung
regelt, wird der Spannungsabfall der Dioden eli- R1 D 1;11  Ueff =Imeß ;
miniert. Der Strom in der Rückführung wird R1 D 1;11  1 V=0;1 mA D 11;1 k :
nur durch die Eingangsspannung Ue und den
Widerstand R1 bestimmt: i1 D Ue =R1 . Der
Ausgangsstrom ia ist genauso groß wie der Be- 8.3.10 Zweiweggleichrichter
trag des Eingangsstroms i1 , weshalb gilt ia D mit gemeinsamem Potenzial
ji1 j.
Diese Schaltung setzt man ein, um den arith- Der Zweiweggleichrichter liefert bei gleicher Si-
metischen Mittelwert einer Wechselspannung zu gnalgröße eine doppelt so hohe Ausgangsspan-
messen. Sie funktioniert nur bei einem potenzial- nung wie der Einweggleichrichter. Liegt eine un-
freien Verbraucher. symmetrische Wechselspannung vor, bei der die
positive und die negative Halbwelle verschieden
Beispiel 8.3-6 sind, wird das Signal verfälscht, wenn man nur ei-
Ein Vielfachinstrument mit Drehspulmess- ne Halbwelle auswertet. Häufig ist eine Schaltung
werk soll die gleiche linear geteilte Skala gefordert, die beide Halbwellen auswertet und
für Gleich- und Wechselspannung haben. Das deren Ausgangssignal auf Massepotenzial bezo-
Messwerk hat 100 A Vollausschlag, der bei gen ist. Die in Abb. 8.37 abgebildete Schaltung
8 Analoge integrierte Schaltungen 439

Abb. 8.38 Spitzenwert-Gleichrichter. a Schaltung,


b Spannungen

Wenn die Eingangsspannung ue ansteigt, wird


der Kondensator C aufgeladen. Der Ladevorgang
endet, wenn die Spannung nicht weiter ansteigt.
Der Kondensator hält jetzt den Spitzenwert sei-
ner Spannung, weil die Diode in Sperrrichtung
geschaltet ist und eine Entladung über den Ope-
Abb. 8.37 Zweiweg-Gleichrichter mit gemeinsamem rationsverstärker verhindert. Die im Kondensator
Eingangs- und Ausgangspotenzial. a Schaltung, b Span- gespeicherte Ladung erzeugt eine Ausgangsspan-
nungen in der Schaltung
nung ua , die dem Spitzenwert der Eingangsspan-
nung ue entspricht.
Mit dieser Schaltung kann man auch eine
besteht aus einer Einweggleichrichterschaltung
schnelle Zunahme des Spitzenwerts verfolgen.
nach Abb. 8.35 und einer Additionsschaltung
Der Kondensator wird über den Eingangswider-
nach Abb. 8.33, die das Ausgangssignal des Ein-
stand der nachfolgenden Schaltung entladen; die
weggleichrichters mit dem ganzen und das Wech-
Zeitkonstante  D RC muss man im Einzelfall
selspannungssignal mit dem halben Skalenfaktor
beachten und nachprüfen. Sie muss so klein sein,
addiert (Abb. 8.37b).
dass der Kondensator bis zum nächsten kleineren
Das Verhältnis R2 =R1 bestimmt die Verstär-
Spitzenwert, der erfasst werden soll, weitgehend
kung der Schaltung. Es gilt für die Ausgangs-
entladen ist. Findet ein Operationsverstärker Ver-
spannung
R2 wendung, dessen Eingänge einen kleinen Strom
ua D jue j : (8.20) abgeben, dann muss dieser vom Lastwiderstand
2 R1
aufgenommen werden, da er sonst den Konden-
sator auf den Maximalwert auflädt.

8.3.11 Spitzenwertgleichrichter
8.3.12 Logarithmierschaltung
Zur Auswertung von periodischen oder nicht pe-
riodischen Wechselspannungen ist neben dem Viele Gesetze in der Physik werden durch lo-
arithmetischen Mittelwert oft auch der Spitzen- garithmische Zusammenhänge beschrieben. Aus
wert von Interesse. Mit der Schaltung nach diesem Grunde sind Schaltungen erforderlich,
Abb. 8.38 kann man ihn messen und speichern. deren Ausgangsspannung Ua proportional zum
440 K. Bressler und R. Martin

Dabei ist I20 der Strom, der im Widerstand fließt,


wenn die Spannung U20 angelegt wird. Als Kno-
tengleichung gilt:

I1  I2 D 0 oder
Ue
 I20 eU2 =U20 D 0 :
R1

Da U2 D Ua ist, ergibt sich

Ue
D eUa =U20 :
I20 R1

Durch Logarithmieren erhält man die Übertra-


gungsgleichung
Ue
Ua D U20 ln : (8.21)
I20 R1

Diode und Transistor


Wird statt des Stroms I2 der Diodenstrom ID bzw.
der Kollektorstrom eines Transistors betrachtet,
so ergeben sich ähnliche Gleichungen. Für den
Diodenstrom ID gilt: ID D IS .eUD =UT  1/, und
für den Kollektorstrom IC D ˇI0 .eUBE =UT  1/.
Dabei ist UT die Temperaturspannung, die
sich aus UT D .kT /=e berechnet (k: Boltzmann-
konstante D 1;38  1023 Ws=K; T : absolute
Temperatur in K; e: Elementarladung D 1;6 
1019 A s). Bei Zimmertemperatur (T D 293 K)
wird die Temperaturspannung UT  26 mV. Die
Transistorgrößen sind die Stromverstärkung ˇ
Abb. 8.39 Logarithmierer. a Schaltung, b logarithmische und ein dem Transistor eigener Kollektor-Basis-
Bauteile
Reststrom I0 (Abschn. 3.1.3).
Bei Betrieb in Durchlassrichtung gilt UD 
Logarithmus der Eingangsspannung Ue (bzw. des U T bzw. UBE  UT . Damit ergeben sich für den
Eingangsstromes Ie ) ist. Auch zum Darstellen Diodenstrom ID bzw. den Kollektorstrom IC nä-
eines großen Dynamikbereichs und für analoge herungsweise folgende Gleichungen:
Rechenschaltungen werden Logarithmierer ein-
ID  IS eUD =UT
gesetzt. Abbildung 8.39a zeigt das Prinzip einer
solchen Schaltung. In Abb. 8.39b sind logarithmi- und
sche Bauelemente aufgeführt (im Wesentlichen IC  ˇ I0 eUBE =UT : (8.22)
nichtlineare Widerstände, Dioden und Transisto-
ren). Für eine Schaltung mit Transistoren gilt:
 
I1
8.3.12.1 Nichtlinearer Widerstand Ua D UT ln : (8.23)
ˇ I0
Für einen nichtlinearen Widerstand gilt die Be-
ziehung Während die Beziehung für den Diodenstrom ID
I2 D I20 eU2 =U20 : oft nur über zwei Dekaden mit hinreichender Ge-
8 Analoge integrierte Schaltungen 441

gilt:
   

R4 Ue UR
Ua D UT ln  ln ;
R3 R1 ˇ I0 R2 ˇ I0
 
R4 Ue R2
Ua D ln : (8.25)
R3 UR R1

Die Gl. 8.25 der Schaltung nach Abb. 8.40


zeigt, dass der Einfluss der Kennwerte ˇ I0 der
Transistoren verschwunden ist. Abbildung 8.41
zeigt den Zusammenhang grafisch.
Der Aufbau einer Logarithmierschaltung aus
Abb. 8.40 Logarithmierschaltung mit gepaarten Transis- Operationsverstärkern und diskreten Bauelemen-
toren ten setzt gute Kenntnisse der Halbleiterschal-
tungstechnik voraus. Der Einsatz eines integrier-
ten Logarithmierers ist wesentlich einfacher.
nauigkeit gilt, stehen bei den Transistoren bis zu
neun Dekaden zur Verfügung. Bei integrierten
Logarithmierschaltungen verwendet man aus die- 8.3.13 Delogarithmierschaltung
sem Grunde ausschließlich Transistoren. (Exponentialverstärker)
Da die Kennwerte der Transistoren nicht kon-
stant sind (Abschn. 3.1.1), sondern von Transistor Vertauscht man in den Schaltungen in Abb. 8.39
zu Transistor streuen, benutzt man zwei Loga- und Abb. 8.40 den Widerstand und den Tran-
rithmierschaltungen, die mit einem Signal und sistor, d. h., liegt am Eingang des Operations-
mit einer Referenzgröße gespeist werden. Für verstärkers der Transistor und im Rückführkreis
beide Logarithmierer verwendet man einen Dop- der Widerstand, dann werden die Spannungen
peltransistor, der zwei Transistoren mit gleichen exponentiell verstärkt. In Rechenschaltungen lo-
Eigenschaften und der gleichen Temperatur ent- garithmiert man oft zum einfacheren Rechnen
hält. (beim Multiplizierer wird logarithmisch addiert).
Die Ausgangssignale dieser Schaltungen wer- Anschließend delogarithmiert man das Ergebnis
den in einem nachfolgenden Differenzverstärker wieder durch Anwendung der Exponentialfunkti-
subtrahiert, wobei sich die Störgrößen aufheben on. Dazu dient die Schaltung nach Abb. 8.42.
und der Quotient aus Signal- und Referenzgröße Nach der Knotenregel gilt: IC C IR1 D 0.
übrig bleibt. Der Exemplar abhängige Transis- Es ist IC D ˇ I0 eUBE =UT mit UBE D Ue . Dann
torkennwert ˇ I0 fällt dabei heraus, wenn er bei ergibt sich für die Knotengleichung:
beiden Transistoren gleich ist. Abbildung 8.40
zeigt die zugehörige Schaltung. Für den oberen ˇ I0 eUe =UT C Ua =R1 D 0:
Teil der Schaltung gilt:
  Für die Übertragungsgleichung erhält man
Ue
Ue D UT ln (8.24a)
R1 ˇ I0
Ua D R1 ˇI0 eUe =UT : (8.26)
und für den unteren Teil
  Diese Gleichung gilt nur für Ue < 0. Weil die
UR
UR D UT ln (8.24b) Transistorkennwerte ˇ und I0 nicht stabil sind,
R2 ˇI0
baut man zwei gleichartige Schaltungen auf.
Als Ausgangsspannung Ua liegt die um das Wie bereits im vorhergehenden Abschnitt aus-
Widerstandsverhältnis R4 =R3 vergrößerte Diffe- geführt, verwendet man Doppeltransistoren, wie
renz der beiden Spannungen U1  UR an. Somit dies Abb. 8.43 zeigt. Dabei erzeugt der Verstärker
442 K. Bressler und R. Martin

Abb. 8.41 Übertragungsfunktion der Logarithmierschaltung. a lineare Darstellung, b einfach logarithmische Darstel-
lung

V2 zusammen mit dem Transistor T2 jene Basis-


spannung an T1 , die eine temperaturunabhängige
Übertragungsfunktion erlaubt. Die Berechnun-
gen erfolgen analog Abschn. 8.3.12.
Als Ergebnis erhält man folgenden Zusam-
menhang (Abb. 8.44):

R1
Ua D UR eU1 =UT : (8.27)
Abb. 8.42 Delogarithmierschaltung (Exponentialverstär-
R2 .1 C 1=ˇ/
ker)
Wie aus der Schaltung (Abb. 8.43) hervorgeht,
ist für den oberen Transistor der Kollektorstrom
IC und für den unteren der Emitterstrom von Be-
deutung. Deshalb ergibt sich, wie Gl. 8.27 zeigt,
doch eine Fehlerquelle in Höhe von 1=ˇ. Sie
ist für große Stromverstärkungen ˇ unerheblich.
Auch die Temperaturabhängigkeit der Widerstän-
de und Spannungen können zu Fehlern führen,
die allerdings durch entsprechende Schaltungen
korrigiert werden können.

8.4 Operationsverstärker
mit dynamischer Beschaltung

Operationsverstärker-Schaltungen mit statischer


Abb. 8.43 Delogarithmierschaltung mit gepaartem Dop- Rückkopplung erzeugen zu jeder Eingangsspan-
peltransistor nung eine fest zugeordnete Ausgangsspannung.
8 Analoge integrierte Schaltungen 443

Abb. 8.44 Übertragungsfunktion der Delogarithmierschaltung (Exponentialverstärker). a lineare Darstellung, b ein-


fach logarithmische Darstellung

Die Rückkopplung besteht aus Bauteilen (z. B. gelten die angegebenen Übertragungsfunktionen
aus Widerständen, Dioden oder Transistoren), bei nicht oder nur näherungsweise.
denen der Strom der angelegten Spannung ohne Beim Integrierer und Differenzierer wird der
Verzögerung folgt. zeitliche Verlauf des Eingangssignals durch In-
Operationsverstärkerschaltungen mit dynami- tegration bzw. Differenziation in einen anderen
scher Rückkopplung erzeugen Ausgangssignale, zeitlichen Verlauf der Ausgangsspannung um-
die nicht nur vom Augenblickswert der Eingangs- geformt, während Hoch-, Tief- und Bandpässe
spannung, sondern auch von deren bisherigen verschiedene Frequenzen trennen und damit den
Verlauf abhängen. Die Beschaltung enthält Bau- Frequenzbereich betrachten. Abbildung 8.45 gibt
teile (z. B. Kondensatoren), bei denen der Strom eine Übersicht über die wichtigsten dynamischen
und die Spannung zeitlich gegeneinander versetzt Schaltungen und ihre Eigenschaften.
verlaufen. Die im Prinzip ebenfalls verwendbaren
Induktivitäten sind praktisch nicht in Gebrauch,
8.4.1 Integrator
da sie schlechtere elektrische Eigenschaften, ei-
ne wesentlich geringere Güte als Kondensato- Der Integrator kann Funktionsverläufe elektri-
ren aufweisen und teurer sind. Stattdessen baut scher Größen über der Zeit integrieren. Er findet
man alle passiven Filterschaltungen aus Kon- beispielsweise zur Funktionserzeugung, für steu-
densatoren und Induktivitäten heute als aktive erbare Zeitglieder oder in der Regelungstechnik
Filterschaltungen, bestehend aus Operationsver- Verwendung.
stärkern, Widerständen und Kondensatoren. Bei Die Ausgangsspannung ua des Integrators ist
beiden Schaltungstypen ist, wie bei allen Schal- die Summe der Produkte aus anliegender Ein-
tungen mit Operationsverstärkern, die Summe gangsspannung ue mal dem jeweiligen Zeitab-
des Eingangsstroms und des zurückgekoppelten schnitt dt. Die Ausgangsspannung ua ist deshalb
Stroms gleich null, und der Eingangsstrom des der Eingangs-Spannungs-Zeit-Fläche ue dt pro-
Operationsverstärkers wird stets vernachlässigt. portional. Diese Funktion ist wichtig und wird
Der Verstärker muss dabei den Signalen oh- häufig benutzt. Abbildung 8.46a zeigt die Schal-
ne spürbare Verzögerung folgen können; sonst tung eines Integrators.
444 K. Bressler und R. Martin

Abb. 8.45 Zusammenstellung dynamisch beschalteter Operationsverstärker

Die Schaltung ist dem invertierenden Span- ie C iC D 0 oder


nungsverstärker (Abb. 8.23) ähnlich; lediglich
der Rückführwiderstand R2 ist durch den Kon- ue dua
CC D 0:
densator C ersetzt. Die Übertragungsfunktion R dt
wird wie folgt berechnet: Es gilt die Knotenregel
8 Analoge integrierte Schaltungen 445

Abb. 8.45 (Fortsetzung)

Abb. 8.46 Integrator. a Schaltung, b Ein- und Ausgangsspannung

Die Ausgangsspannung ist somit konstante Eingangsspannung ist die Ausgangs-


spannung
Z
1
ua D  ue dt : (8.28) 1
RC ua D   u e t C UC : (8.29)
RC
Der Faktor RC im Nenner ist die Zeitkonstante; Abbildung 8.46b zeigt die Integration über
sie gibt die Integrationszeit an. jeweils einen rechteckförmigen Eingangsimpuls
Ein unbestimmtes Integral ist bis auf einen mit verschiedener Form und Polarität. Die Aus-
Anfangswert Ua0 bestimmt. Für die obige Schal- gangsspannung, d. h. die integrierte Kurve, ist rot
tung ist die Integrationskonstante die Spannung eingezeichnet.
am Kondensator UC , die durch eine Aufladung Nicht immer soll die Ausgangsgröße des Inte-
erzeugt wird. Ist Ua D 0, dann hat vor dem grators bei null beginnen. Dazu kann der Integra-
Integrieren keine Aufladung stattgefunden. Für tionskondensator auf den gewünschten Anfangs-
446 K. Bressler und R. Martin

Abb. 8.48 Integration mit Addition und Subtraktion

Abb. 8.47 Integrator mit Gleichstrompfad stromverstärkung auf den Wert v D R =R be-
grenzt. Diesen proportionalen Anteil kann man
nicht immer tolerieren.
Der Rückführwiderstand kann entfallen, wenn
wert aufgeladen und mit dem Beginn der Inte- der Integrator in einem geschlossenen Regelkreis
grationszeit weiter verändert werden. Da der Vor- ist, in dem eine Abweichung der Ausgangsspan-
gang linear ist, kann man den Anfangswert auch nung ua die Eingangsspannung ue korrigiert und
am Ausgang des Integrators mit einer Addier- damit den Eingangsstrom des Operationsverstär-
schaltung hinzufügen. Es gibt auch Integrations- kers sicherstellt.
schaltungen, deren Anfangswert einstellbar ist.
Der Integrator aus Abb. 8.46 hat keine Gleich-
Beispiel 8.4-1
stromrückführung. Der zwar kleine, aber doch
Ein Funktionsgenerator soll eine Dreieckspan-
von null verschiedene Eingangsstrom des Ope-
nung mit linearem Anstieg bei 1 Hz erzeugen.
rationsverstärkers muss über den Widerstand R
Es steht eine Rechteckspannung mit dieser
zugeführt werden. Fehlt dieser Strom, beispiels-
Frequenz und ˙2;5 V Hub zur Verfügung. Der
weise bei offenem Eingang oder einer sehr hoch-
Ausgangsspannungshub soll ˙5 V betragen.
ohmigen Quelle, dann wird der Eingangsstrom
des Operationsverstärkers von seinem Ausgang
über den Kondensator C aufgebracht, wobei die Lösung
Ausgangsspannung langsam bis an eine Aussteu- Hierzu eignet sich der Integrator nach
ergrenze driftet. Abb. 8.47. Der Kondensator C in der Rück-
In diesem Zustand arbeitet die Schaltung nicht führung hat 4,7 F. Sein Strom ist iC D C 
mehr. Selbst wenn der Eingangsstrom null ist, duC =dt; iC D 4;7  106 F  5 V=0;5 s D 47 A.
wirkt die invertierte Offsetspannung wie eine Bei 2,5 V Eingangsspannung muss über R der
Eingangsspannung ue und erzeugt über dem Wi- Strom iC D 47 A fließen, R D 53;2 k.
derstand R einen Eingangsstrom, der integriert Über R soll nur ungefähr 1% des Stroms
wird. In der Praxis muss man deshalb stets für im Kondensator fließen, das sind 0,47 A.
einen ausreichenden Eingangsstrom sorgen. Das R  10 M.
kann im einfachsten Fall über einen dem Kon-
densator C parallel geschalteten Rückführwider- Häufig muss nicht nur eine Einzelgröße son-
stand R geschehen. Der Eingangsstrom wird dern die Summe oder Differenz mehrerer Größen
dann aus der Ausgangsspannung über den Wider- integriert werden. Hierzu können die entspre-
stand R (im M-Bereich, meistens > 10 M) chenden Ströme direkt auf die Knoten 1 und 2
gespeist (Abb. 8.47). Die Ausgangsspannung hat in Abb. 8.48 wirken. Integriert wird die vorzei-
dann einen kleinen, der Eingangsspannung ue chenrichtige Summe aller Eingangsgrößen. Die-
proportionalen, Anteil. Dadurch wird die Gleich- se Schaltung spart einen getrennten Addierer,
8 Analoge integrierte Schaltungen 447

führt aber leicht zu unübersichtlichen Verhältnis-


sen. Da heute die Operationsverstärker klein und
preiswert sind, lassen sich die Funktionen leicht
voneinander trennen.
Die Kapazität des Integrationskondensators C
darf sich mit der Zeit und mit der Umgebungs-
temperatur nicht ändern. Günstig sind Folienkon-
densatoren, während sich Elektrolytkondensato-
ren durch den hohen und stark schwankenden
Reststrom und durch ihre unipolare Betriebs-
spannung nicht eignen.
Oftmals erfordern die messtechnischen Auf-
gaben im Zusammenhang mit der Integration eine
Addition bzw. eine Subtraktion. Dazu sieht man
vor der Integrierschaltung eine Additionsschal-
tung vor (Abb. 8.48).
Abb. 8.49 Einfacher Differenzierer. a Schaltung, b Ein-
Integration mit gleichzeitiger Addition Nach und Ausgangsspannung
Abb. 8.48 gilt die Knotengleichung:
ue1 ue2 uen dua
C C:::C CC D 0: gen der Eingangsspannung ue zu einer Ausgangs-
R1 R2 Rn dt
größe ua . Vertauscht man den Kondensator und
Die Ausgangsspannung Ua ist dann den Widerstand in Abb. 8.46, so ergibt sich die
Z   Schaltung eines Differenzierers nach Abb. 8.49a.
1 ue1 ue2 uen
ua D  C C:::C dt C UC : Durch den Kondensator C im Eingang fließt nur
C R1 R2 Rn dann Strom, wenn sich die Eingangsspannung
(8.30)
ändert. Die Ausgangsspannung ua ist der Ände-
Für den Fall, dass alle Widerstände gleich sind, rungsgeschwindigkeit der Eingangsspannung ue
ergibt sich proportional.
Z In der Praxis hat der Differenzierer enge Funk-
1 tionsgrenzen. Ein Sprung der Eingangsspannung
ua D  .ue1 C ue2 C : : : C uen / dt C UC :
RC mit kurzer Anstiegszeit müsste einen kurzen aber
(8.31) sehr hohen Impuls am Ausgang erzeugen. Der
Operationsverstärker kann aber selten mehr als
Mitunter müssen weitere Größen integriert und 15 V abgeben. Der Impuls bleibt klein, wird aber
vom Ergebnis subtrahiert werden. Diese werden länger. Die mathematische Realisierung ist weit
zweckmäßig in einer eigenen Schaltung integriert weg. Trotzdem eignet sich der Differenzierer, um
und das Ergebnis vom ersten mit einer Schaltung schnelle Änderungen der Eingangsspannung zu
nach Abb. 8.26 subtrahiert. Diese Lösung kos- erkennen.
tet zwar zwei weitere, meist sehr preisgünstige Den Zusammenhang zwischen Eingangs- und
OPV, schafft dafür aber innerhalb der Schaltung Ausgangsspannung berechnet man über den
gut überschaubare Verhältnisse. Strom im Knoten am invertierenden Eingang.
Abbildung 8.49b zeigt die entsprechenden Zeit-
funktionen.
8.4.2 Differenzierer Die Knotenregel lautet:

Der Differenzierer ist das mathematische Gegen-


stück zum Integrator, er verarbeitet nur Änderun- iC C iR D 0
448 K. Bressler und R. Martin

oder
ua due
CC D 0:
R dt
Nach Ua aufgelöst erhält man

due
ua D R C : (8.32)
dt

Diese Schaltung nach Abb. 8.49a ist in der Praxis


unbrauchbar, weil sie folgende schwerwiegende
Mängel aufweist:
 Sinkender Eingangswiderstand bei steigender Abb. 8.50 Verbesserte Schaltung eines Differenzierers
Frequenz: Mit steigender Frequenz sinkt der
Eingangswiderstand jZein j D 1=! C . Die
Quellspannung Ue muss daher einen vernach- der Verstärkung erst bei !g . Der zum Differen-
lässigbar kleinen Innenwiderstand haben. zieren ausnutzbare Bereich wird in diesem Fall
 Verstärkung hochfrequenter Störsignale: Bei durch C2 nicht weiter eingeschränkt, als dies be-
sinusförmiger Eingangsspannung reits durch R1 der Fall ist. Der Zusammenhang
zwischen Ein- und Ausgangsspannung entspricht
ue D Ue sin.!t/ Abb. 8.49b. Bei sehr schnellen Änderungen der
Eingangsspannung wird die Ausgangsspannung
wird nach Gl. 8.32 durch die Tiefpass-Beschaltung mit R1 und C2
begrenzt.
ua D !RC Ue cos.!t/ :

Damit wird das Amplitudenverhältnis


8.4.3 Filterschaltungen
Ua =Ue D ! R C :
Vorwiegend in der Nachrichtentechnik ist es häu-
Das bedeutet: Sind im Eingangssignal hoch- fig erforderlich, aus einem breitbandigen Fre-
frequente Störsignale vorhanden, so treten die- quenzgemisch einen Teil zu entnehmen oder zu
se im Ausgangssignal verstärkt hervor. Da sperren. Filter sind Schaltungen, die bestimm-
die Gegenkopplung mit höherer Frequenz ab- te Frequenzbereiche durchlassen, andere sperren.
nimmt, wird das Rauschen der Eingangsstufe Der Übergang vom Durchlassbereich zum Sperr-
des Verstärkers sehr hoch verstärkt. bereich erfolgt gleitend. Durch mehrere in Reihe
 Instabilität wegen Schwingneigung: Das RC- geschaltete Einzelfilter entstehen Filter höherer
Glied kann bis zu 90ı Phasenverschiebung Ordnung, und der Übergang erfolgt schneller.
verursachen. Hierdurch wird die Schwingnei- Das Filter hat eine höhere Flankensteilheit, die
gung des Verstärkers gefördert. man meist in dB=Dekade oder dB=Oktave an-
Die Schaltung nach Abb. 8.50 vermeidet diese gibt. Filter wurden früher aus Kondensatoren
Nachteile. und Spulen hergestellt. Spulen sind groß, teuer
Zunächst schaltet man den Widerstand R1 in und für niedrige Frequenzen nur mit einer ge-
Reihe zu C1 . Die Schaltung wirkt als Differen- ringen Güte herstellbar. Deshalb haben sich mit
zierer nur für Frequenzen. !  !g D 1=.R1 C1 /. den Operationsverstärkern auch die aktiven Fil-
Baut man zusätzlich den Kondensator C2 paral- ter durchgesetzt, die auf Spulen verzichten und
lel zum Rückführungswiderstand R2 ein, dann alle Filtertypen aus einem Operationsverstärker
wird die Verstärkung bei hohen Frequenzen abge- mit einer Widerstands- und Kondensatorbeschal-
senkt. Ist R2 C2  R1 C1 , dann beginnt der Abfall tung in ausreichender Güte verwirklichen.
8 Analoge integrierte Schaltungen 449

Filter entfernen Teile aus dem Frequenzge-


misch eines Signals und verformen damit das
Signal im Zeitbereich. Unter diesem Gesichts-
punkt kann man auch den Integrierer und den
Differenzierer als Filter ansehen. Bei allen akti-
ven Filtern finden RC-Glieder Verwendung. Fil-
ter erster Ordnung besitzen ein RC-Glied und
Abb. 8.51 Passiver Tiefpass 1. Ordnung
sind mathematisch durch relativ einfache Diffe-
renzialgleichungen zu beschreiben. Filter höherer
Ordnung bestehen aus der entsprechenden An- 8.4.3.1 Tiefpass 1. Ordnung
zahl von RC-Gliedern. In der Praxis spielen im Abbildung 8.51 zeigt einen passiven Tiefpass
wesentlichen Filter erster und zweiter Ordnung 1. Ordnung.
eine Rolle, weshalb sie hier beschrieben wer- Wird keine Verstärkung benötigt, dann genügt
den. oft ein einfacher passiver Tiefpass. Er lässt sich
Heute werden zunehmend digitale Filter ein- mit einem aktiven Tiefpass kombinieren und er-
gesetzt. Sie zeichnen sich durch eine höhere Güte höht dessen Ordnung um eins.
und Flankensteilheit aus, lassen aber im Sperrbe- Abbildung 8.52 zeigt einen aktiven Tiefpass
reich einzelne Frequenzen passieren. Sie werden 1. Ordnung. Die Schaltung gleicht dem Integrator
deshalb meistens mit analogen Filtern ergänzt mit Gleichstrompfad (Abb. 8.47). Die statische
(Kap. 9: DA-, AD-Wandler und digitale Filter, Verstärkung v D R2 =R1 ist jedoch meistens we-
Abschn. 9.3 Digitale Filter). sentlich kleiner als beim Integrator.
In Abb. 8.45 sind die unterschiedlichen Fil- Vergleicht man Abb. 8.52a mit Abb. 8.23, so
terarten mit ihrer Bezeichnung, ihrer Schal- kann man die Widerstände R1 und R2 durch die
tung, der Darstellung ihrer Sprungantwort (Ver- Scheinwiderstände Ze und Za ersetzen, so dass
lauf von ua , wenn ue eine Sprungfunktion für die Ausgangsspannung ua gilt:
ist), der frequenzabhängigen Übertragungsfunk-
tion Ua D f .Ue / und des Amplitudengan- Za
Ua D Ue : (8.35)
ges zusammengestellt. Als Bezugsfrequenz dient Ze
üblicherweise die Grenzfrequenz !g bzw. fg . Die komplexen Widerstände Za und Ze betragen
Bei dieser Frequenz sind die Blindwiderstände
des Filters gerade so groß wie die Wirkwider- 1 R2
Za D D I
stände, bzw. das Amplituden-Übertragungsmaß 1=R2 C j ! C2 1 C j ! C2 R2
ist um 3 dB zurückgegangen. Der Bandpass und Ze D R1 :
die Bandsperre bestehen aus zwei RC-Gliedern
mit zwei unterschiedlichen Grenzfrequenzen fg1 Eingesetzt in obige Gleichung ergibt sich für die
bzw. fg2 . In diesem Fall wird der geometrische komplexe Übertragungsfunktion
p fm beider Frequenzen berücksichtigt:
Mittelwert
R2 1
fm D fg1 fg2 . Ua D Ue : (8.36)
Die einzelnen Filtertypen werden im Folgen- R1 1 C j ! C2 R2
den ausführlich besprochen. Die verwendeten
Man kann sie zur besseren Übersicht in Betrag
Gleichungen enthalten stets die Kreisfrequenz !
und Phase aufteilen und grafisch darstellen. Hier-
und häufig die Grenz- oder Resonanzfrequenz !0 .
zu wird in Gl. 8.34 der Nenner reell gemacht, und
Für alle angegebenen Gleichungen gelten die Zu-
es gilt:
sammenhänge:
v0
jvj D p ; (8.37)
! D 2f ; (8.33) Re C Im2
2

Im
˝ D !=!0 : (8.34) tan ' D : (8.38)
Re
450 K. Bressler und R. Martin

Abb. 8.53 Passiver Tiefpass 2. Ordnung

der Grenzfrequenz doppelt so schnell ab wie bei


einem Tiefpass 1. Ordnung. Die Berechnung setzt
eine niederohmige Quelle und am Ausgang ei-
ne hochohmige Last voraus. Das kann man nur
mit einem zusätzlichen Verstärker erreichen. Die
Übertragungsfunktion unter obigen Bedingungen
errechnet sich zu

Ua 1
D : (8.39)
Ue 1 C j ! C R  ! 2 LC
p p
Mit !0 D 1= LC , Z D L=C und
˝ D !=!0 ergibt sich

Ua 1
D : (8.40)
Ue 1 C j ˝ R=Z  ˝ 2

Die Größe R=Z bestimmt die Dämpfung in


der Nähe der Grenzfrequenz. Da ohnehin ein Ver-
stärker erforderlich ist, kann dieser direkt durch
eine geeignete Beschaltung die Eigenschaften ei-
nes Tiefpasses 2. Ordnung erhalten. Hierbei gibt
es mehrere Möglichkeiten.
Der am leichtesten zu verstehende Tief-
pass ist der invertierende Spannungsverstärker
(Abb. 8.23), in dem R1 durch ein passives Tief-
pass-T-Glied und der Rückführwiderstand R2
Abb. 8.52 Aktiver Tiefpass 1. Ordnung. a Schaltung, durch ein mit C1 überbrücktes passives Tiefpass-
b Verstärkung (Amplitudengang), c Phasendrehung
T-Glied ersetzt wird (Abb. 8.54). Beide Tiefpässe
haben die gleiche Grenzfrequenz !g . Weit un-
terhalb der Grenzfrequenz !g D 1=RC sind
Den entsprechenden Verlauf der Verstärkung die Kondensatoren praktisch stromlos, und die
v.!/ zeigt Abb. 8.52b; der Phasengang '.!/ ist Verstärkung der ganzen Schaltung beträgt v D
in Abb. 8.52c dargestellt. Oberhalb der Grenz- 2 R=2 R D 1. Mit zunehmender Frequenz
frequenz fg bzw. !g sinkt die Verstärkung mit dämpft der Tiefpass im Eingang den Wechsel-
6 dB=Oktave oder mit 20 dB=Dekade. strom, der in dem Knoten fließt, während der
allmählich aktiv werdende Kondensator C1 in
8.4.3.2 Tiefpass 2. Ordnung der Rückführung zusätzlich die Verstärkung bei
Abbildung 8.53 zeigt einen passiven Tiefpass höheren Frequenzen verringert. Beide Wirkun-
2. Ordnung. Hierbei fällt die Amplitude oberhalb gen überlagern sich und führen zu einem Tief-
8 Analoge integrierte Schaltungen 451

˝ ˛
tan ' D : (8.43)
1  ˝2
Es gibt verschiedene Schaltungen mit Operati-
onsverstärkern, die alle zur gleichen Tiefpass-
funktion führen. Deshalb haben sich in der Praxis
Schaltungen durchgesetzt, die mit weniger Teilen
oder über eine einfachere Berechnung zu ver-
wirklichen sind.
Die Schaltung nach Abb. 8.55a hat im Ein-
gang das schon bekannte Tiefpass-T-Filter. Das
Ausgangssignal wird über den Widerstand R3 auf
Abb. 8.54 Tiefpass mit T-Gliedern den Knoten des Eingangsnetzwerks und über den
Kondensator C2 auf den invertierenden Eingang
zurückgeführt. Die Schaltung stellt einen aktiven
pass 2. Ordnung mit der Grenzfrequenz !g D
p Tiefpass mit Mehrfachgegenkopplung dar.
1= R2 C1 C2 . Der aktive Tiefpass mit Mehrfachgegenkopp-
Die Bauteilwerte der Beschaltung sind im lung wird bevorzugt genutzt, weil er wenig
Prinzip frei wählbar, was jedoch zu einer sehr Bauteile benötigt, und die wichtigen Parame-
aufwändigen Berechnung führt. Setzt man für al- ter Grenzfrequenz !g , Verstärkung v0 und die
le Widerstände und die Kondensatoren C2 gleiche Dämpfung ˛ frei wählbar sind. Die Übertra-
Werte ein, dann wird die Verstärkung v D 1, gungsfunktion wird durch Gl. 8.39 beschrieben.
die Grenzfrequenz !g und die Dämpfung ˛ bei Außer den oben genannten Parametern, die durch
der Grenzfrequenz sind frei wählbar. Es gelten die Funktion bestimmt sind, muss ein Wert, meis-
folgende Beziehungen: tens R1 und R2 willkürlich festgelegt werden.
Ein günstiger Ansatz ist 1 k R1
Ua v0 100 k. Wird R1 zu klein gewählt, dann ist
D ;
Ue 1 C j ˝ ˛  ˝2 der Eingangswiderstand klein und der Ausgangs-
(8.41) strom groß. Eine niedrige Grenzfrequenz bedingt
!g2 D 1=R2 C1 C2 ; v0 D 1;
auch hohe Kapazitätswerte. Wird R1 zu groß,
C1 D ˛=2 R !g ; C2 D 2=˛ R !g : dann wirken sich der Eingangsstrom und die
-kapazität des Verstärkers sowie die sonstigen
Damit lassen sich alle Teile leicht berechnen. parasitären Kapazitäten stärker aus. Liegt die
Der Dämpfungsfaktor R=Z des passiven Filters Grenzfrequenz hoch, dann wird besser nieder-
ist beim aktiven durch ˛ ersetzt. Die Übertra- ohmig dimensioniert, damit die Kondensatoren
gungsfunktion ist allgemein in Gl. 8.39 ange- nicht zu klein werden. Die Einzelwerte berech-
geben. Zur besseren Übersicht kann man sie in nen sich zu
Betrag und Phase aufteilen und grafisch darstel-
len. Hierzu wird in Gl. 8.38 der Nenner reell R1 D R2 D R ;
gemacht und vom Zähler der Betrag gebildet. Ab- v0 D R3 =R1 ;
bildung 8.54b und c zeigen diese Funktionen für C1 D 3=˛ R !g ;
die Verstärkung v D 10. Zur Darstellung eines C2 D ˛=3 R !g v0 :
größeren Bereichs ist die Amplitude im logarith-
mischen Maß dB angegeben. Für den Betrag der Abbildung 8.55b und 8.55c zeigen die Übertra-
Verstärkung jvj und den Phasenwinkel ' gelten gungsfunktion nach Betrag und Phase bei der
folgende Zusammenhänge: Verstärkung v0 D 10 für verschiedene Dämp-
fungswerte ˛.
v0 Bei allen Filterschaltungen sind Widerstände
jvj D p ; (8.42)
.1  ˝/2 C .˝ ˛/2 und Kondensatoren mit den üblichen Toleranzen
452 K. Bressler und R. Martin

Abb. 8.56 Passiver Hochpass 1. Ordnung

sendrehung bei der Grenzfrequenz, sollte man im


Einzelfall nachrechnen.

Beispiel 8.4-2
In einem Funkempfänger, der nur der Sprach-
übertragung dient, sollen alle Tonfrequenzen
bis 3,3 kHz um den Faktor v0 D 10 ver-
stärkt werden; bei 10 kHz muss die Verstär-
kung auf 1 abgefallen sein.

Lösung
Die Flankensteilheit, 40 dB=Oktave, erfordert
ein Tiefpassfilter 2. Ordnung. Man verwendet
die Schaltung nach Abb. 8.55, der Dämp-
fungsfaktor ˛ soll 1 sein. Für den Kondensator
C1 werden 10 nF festgesetzt. Aus den angege-
benen Beziehungen können die Werte berech-
net werden.
C1 D 3=˛ R !g ;
R D 3=˛ C1 !g ;
R D 3=1  10 nF  2  3300  1=s ;
R D 14;46 k ;
C2 D 1;11 nF ;
R3 D v0  R1 :

8.4.3.3 Hochpass 1. Ordnung


Werden Kondensatoren und Widerstände oder
Kondensatoren und Spulen vertauscht, dann ent-
steht aus dem Tiefpass ein Hochpass. Abbil-
Abb. 8.55 Aktiver Tiefpass mit Mehrfachgegenkopp- dung 8.56 zeigt einen passiven Hochpass 1. Ord-
lung. a Schaltung, b Verstärkung (Frequenzgang), c Pha- nung. Wird keine Verstärkung benötigt, dann ge-
sendrehung nügt oft ein einfacher passiver Hochpass.
Abbildung 8.57 zeigt einen aktiven Hochpass
zu ungenau. Berechnete und tatsächliche Werte 1. Ordnung. Die Schaltung entspricht dem ver-
sollten nicht mehr als 1% voneinander abwei- besserten Differenzierer in Abb. 8.50. Die Ver-
chen. Die Auswirkungen, vor allem auf die Pha- stärkung bei Gleichspannung ist v D 0.
8 Analoge integrierte Schaltungen 453

Abb. 8.58 Passiver Hochpass 2. Ordnung

Die komplexe Übertragungsfunktion berech-


net man genau wie beim Tiefpass:

R2 j ! C1 R1
Ua D Ue  : (8.44)
R1 1 C j ! C1 R1

Sie lässt sich zur besseren Übersicht in Betrag


und Phase aufteilen und grafisch darstellen. Ab-
bildung 8.57b und 8.57c gibt den Amplituden-
und Phasengang des Hochpasses 1. Ordnung wie-
der.

Beispiel 8.4-3
Ein Hochpass soll Frequenzen oberhalb 1 kHz
um den Faktor v0 D 10 verstärken und Fre-
quenzen unterhalb 20 Hz nicht verstärken.

Lösung
Hierzu eignet sich ein Hochpass 1. Ordnung.
Die Grenzfrequenz fg wird auf 500 Hz fest-
gelegt, damit Frequenzen f
1 kHz nicht
geschwächt werden. Man wählt den aktiven
Hochpass nach Abb. 8.57. Der Kondensa-
tor C1 wird mit 10 nF festgelegt. Damit gilt

!g D 1=R1 C1 ;
R1 D 1=!g C1 ;
Abb. 8.57 Aktiver Hochpass 1. Ordnung. a Schaltung, R1 D 1=1000 .1=s/108 F D 31;4 k :
b Verstärkung, c Phasendrehung
v0 D 10 ; R2 =R1 D v0 ; R2 D 314 k :

C2 R2 bestimmt die obere Grenzfrequenz, die


Im Durchlassbereich gelten folgende Werte: bei 100 kHz liegen soll. C2 D 1=! R2 , C2 D
50 pF.
v1 D R2 =R1 und !g D 1=R1 C1 :
8.4.3.4 Hochpass 2. Ordnung
Der Kondensator C2 soll die Verstärkung erst Abbildung 8.58 zeigt einen passiven Hochpass
oberhalb des Arbeitsbereiches der Schaltung ver- 2. Ordnung. Die Amplitude steigt bis zur Grenz-
ringern. Deshalb gilt: R2 C2  R1 C1 . frequenz doppelt so schnell an wie bei einem
454 K. Bressler und R. Martin

Abb. 8.59 Aktiver Hochpass mit Mehrfachgegenkopp-


lung

Hochpass 1. Ordnung. Die Berechnung setzt eine


niederohmige Quelle und am Ausgang eine hoch-
ohmige Last voraus. Das kann man nur mit einem
zusätzlichen Verstärker erreichen. Die Übertra-
gungsfunktion unter obigen Bedingungen errech-
net sich zu

Ua ! 2 LC
D : (8.45) Abb. 8.60 Bandpass aus Hochpass und Tiefpass 1. Ord-
Ue 1 C j ! C R  ! 2 LC nung. a Schaltung, b Frequenzgang
p p
Mit !0 D 1= LC , Z D L=C und ˝ D
!=!0 ergibt sich: Der Amplitudengang kann aus der Abb. 8.55 ent-
nommen werden, wenn man die roten Kurven an
Ua ˝ 2
D : (8.46) der normierten Frequenz ˝ D 1 spiegelt. Der
Ue 1 C j ˝ R=Z  ˝ 2 Phasengang beginnt bei niedrigen Frequenzen bei
C180 ı und wird bei hohen Frequenzen 0 ı .
Die Größe R=Z bestimmt die Dämpfung in der
Nähe der Grenzfrequenz. Da auch beim passiven
8.4.3.5 Bandpass (selektives Filter)
Hochpass in der Praxis ein Verstärker erforder-
Schaltet man einen Tiefpass und einen Hochpass
lich ist, kann dieser direkt durch eine geeignete
in Reihe, so erhält man eine obere Grenzfrequenz
Beschaltung die Eigenschaften eines Hochpas-
!1 (Hochpass) und eine niedrigere !2 (Tief-
ses 2. Ordnung erhalten. Hierzu kann man jeden
pass). Dadurch wird es möglich, zwischen diesen
Tiefpasstyp in einen Hochpass umrechnen. Dazu
Grenzfrequenzen ein Frequenzband zu übertra-
gilt im Allgemeinen:
gen. Dieses Filter hat deshalb die Bezeichnung
RHP D 1=!g CTP und Bandpass. Die Mittenfrequenz !m ist der geo-
metrische Mittelwert beider Grenzfrequenzen:
CHP D 1=!g RTP : p
!m D !1 !2 . Die Bandbreite ist die Differenz
zwischen der oberen und der unteren Grenz-
Abbildung 8.59 zeigt den Hochpass, der aus dem
aktiven Tiefpass nach Abb. 8.55 entstanden ist.
frequenz, bei der die Spannungsverstärkung p vu
bezogen auf die Bandmitte auf den Teil 1= 2 der
Die Bauteile werden folgendermaßen dimensio-
Spannungsverstärkung v0 abgefallen ist. Abbil-
niert:
C1 D C2 D C dung 8.60 zeigt die Schaltung und den Frequenz-
gang.
V0 D C3 =C1
Diese Schaltung ist immer dann notwendig,
R1 D 3=˛C !g wenn die Bandbreite b nicht klein gegen die Mit-
R2 D ˛=3C !g tenfrequenz f0 ist, d. h. wenn b > 0;1f0 wird. Die
8 Analoge integrierte Schaltungen 455

Grenze ist fließend. Die erreichbare Bandbrei- Den Frequenzbereich, in dem diep Verstärkung
te ist umso kleiner, je höher die Flankensteilheit um nicht mehr als um den Faktor 2 gegenüber
beim Übergang vom Durchlass zum Sperrbereich dem Maximum bei der Mittenfrequenz abgefal-
sein soll. Hoch- und Tiefpassfilter können zusam- len ist, bezeichnet man als Durchlassbereich und
mengesetzt sein und eine höhere Ordnung haben. seine Breite als Bandbreite. Die Grenzfrequen-
Oft muss aus einem Frequenzgemisch nur zen bei verschiedener Güte sind in Abb. 8.61b
eine Frequenz oder ein verhältnismäßig schma- schwarz eingezeichnet. Zwischen der Bandbrei-
les Frequenzband verwertet werden. Bei höheren te b und der Güte Q besteht der Zusammenhang
Frequenzen eignet sich ein Parallelschwingkreis
vorzüglich für diese Aufgabe. Er muss jedoch !0 f0
QD D : (8.48)
aus einer hochohmigen Quelle angeregt und mit !go  !gu fgo  fgu
einem hochohmigen Verbraucher abgeschlossen
werden, so dass je Schwingkreis mindestens ein Abbildung 8.61a zeigt einen Bandpass mit Mehr-
Verstärker erforderlich ist. Bei niedrigen Fre- fachgegenkopplung. Zur Berechnung sei ein Bau-
quenzen erlaubt die geringe Güte Q der verwen- teil frei gewählt und die anderen nach den vorge-
deten Spulen (Q D L=R) nur kleine Filtergü- gebenen Größen berechnet. Man erhält
ten, was zu einer geringen Flankensteilheit und
s
oft unzureichender Unterdrückung unerwünsch-
1 R1 C R2
ter Frequenzen führt. !0 D ;
C R1 R2 R3
Aktive Filter arbeiten nur mit Widerständen
und Kondensatoren, die mit hoher Güte verfüg- vr D R3 =2 R1 ;
bar sind. Werden zudem Operationsverstärker be- Q D !0 C R3 =2 :
nutzt, deren Verstärkung bei der Arbeitsfrequenz
ausreichend hoch ist, dann sind auch bei sehr Zusätzlich ist zu beachten:  2Q2
niedrigen Arbeitsfrequenzen Filter mit hoher Gü- Die Schaltung arbeitet nur dann richtig, wenn
te herzustellen. die Leerlaufverstärkung v des unbeschalteten
Wie bei den Tief- und Hochpässen, kann Operationsverstärkers bei der Resonanzfrequenz
man auch Bandpässe mit Einfachgegenkopp- groß ist. Diese Bedingung übersieht man leicht;
lung, beispielsweise mit dem Doppel-T-Filter, man sollte sie deshalb stets nachprüfen. Die
sowie Bandpässe mit Mehrfachgegenkopplung Schaltung arbeitet auch bei hoher Güte stabil.
oder Einfachmitkopplung aufbauen. Die Übertra- Abbildung 8.61b und c zeigen die frequenzab-
gungsfunktion eines Bandpasses 2. Ordnung ist hängige Verstärkung und die Phasendrehung für
unabhängig von der Realisierung und lautet verschiedene Güten.
Ua j ˝=Q
D v D v0 (8.47) Beispiel 8.4-4
Ue 1  ˝ 2 C j ˝=Q
Der 19 kHz-Pilotton des Stereosignals soll
durch einen Bandpass aus dem Gesamtsignal
mit der Resonanzfrequenz !0 , der normierten
regeneriert werden. Die Verstärkung bei der
Frequenz ˝ D !=!0 , der Verstärkung bei Re-
Resonanzfrequenz soll vr D 10 betragen.
sonanzfrequenz v0 und der Güte Q. Die Gü-
te Q ist ein Maß, das zum Ausdruck bringt,
wie schnell die Ausgangsamplitude abfällt, wenn Lösung
die Arbeitsfrequenz ! von der Resonanzfrequenz Es wird der Bandpass mit Mehrfachgegen-
!0 abweicht. Bei den Grenzfrequenzen !g D kopplung nach Abb. 8.61 mit der Güte Q D
!0 .1 ˙ 1=2Q/
p ist die Verstärkung v auf den Wert 10 vorgeschlagen. Der Kondensator C wird
vg D v0 = 2 abgesunken und die Ausgangsphase mit 1000 pF festgelegt. Aus der Güte Q und
gegenüber der Eingangsphase um ˙45 ı verscho- der Mittenfrequenz !0 berechnet man den
ben. Widerstand R2 . Q D !0 C R3 =2, R3 D
456 K. Bressler und R. Martin

Abb. 8.62 Doppel-T-Filter

2 Q=!0 C; R3 D 167;5 k. Mit der Verstär-


kung vr lässt sich R1 berechnen:
p
!0 D .1=C / .R1 C R2 /=R1 R2 R3 ;
R2 D R1 =.!02 C 2 R1 R3  1/ ;
R2 D 443  :

8.4.3.6 Bandsperre
Eine Bandsperre sperrt einen schmalen Frequenz-
bereich innerhalb eines breiteren Frequenzban-
des. Man kann sie als aktives Filter verwirk-
lichen, wenn der Eingangswiderstand R1 des
invertierenden Operationsverstärkers durch ein
Doppel-T-Filter ersetzt wird.
Das Doppel-T-Filter in Abb. 8.62 besteht aus
zwei T-Gliedern. Das R-2C -R-Glied erzeugt zu
einer Eingangswechselspannung einen nachei-
lenden Strom, das zweite C -R=2-C -Glied einen
voreilenden. Die Eingänge beider Glieder werden
gemeinsam gespeist, die Ausgangsströme werden
addiert. Bei der Resonanzfrequenz !0 D 1=R C
sind die Ausgangsströme beider T-Glieder gleich
groß, aber gegenphasig und heben sich dadurch
auf.
Die Durchlasskurve dieses Doppel-T-Filters
als Funktion der Frequenz zeigt Abb. 8.63b als
Teilkurve für ˛ D 0. Sie erklärt die eben-
falls übliche Bezeichnung Notch-Filter (engl.:
notch: Kerbe, Einschnitt). Die Güte dieses Fil-
Abb. 8.61 Bandpass mit Mehrfachgegenkopplung. ters ist verhältnismäßig gering. Abbildung 8.63a
a Schaltung, b Verstärkung (Frequenzgang), c Phasendre- stellt eine geänderte Schaltung mit einstellbarer
hung Güte Q dar. Dabei wird die niederohmige Aus-
8 Analoge integrierte Schaltungen 457

gangsspannung des Filters auf den Fußpunkt des


Doppel-T-Netzwerks teilweise zurückgekoppelt
und sein dämpfender Einfluss vermindert, solan-
ge der Ausgangsstrom des Netzwerks nicht null
ist. Die Verstärkung ist eins, wenn die Arbeits-
frequenz von der Resonanzfrequenz weit entfernt
ist. Bei der Resonanzfrequenz ist sie idealerweise
null. (Durch Bauteiltoleranzen bleibt leicht eine
Restverstärkung v D 0;01). Abbildung 8.63b und
c zeigen den Amplituden- und Phasengang der
Bandsperre mit dem Notch-Filter.
Diese Bandsperre mit einstellbarer Güte hat
die Übertragungsfunktion

Ua 1  ˝2
DvD : (8.49)
Ue 1  ˝ 2 C .1  a/ 4j ˝

Mit den Größen: !0 D 1=R C , ˝ D !=!0 und


dem Teilerverhältnis ˛.0 a < 1/. Der Verstär-
ker V2 dient als Impedanzwandler, um die hoch-
ohmig abgegriffene Teilspannung am Fußpunkt
des Doppel-T-Filters niederohmig einzuspeisen.
Setzt man das Doppel-T-Filter statt des Rück-
führwiderstandes R2 ein, dann entsteht ein Band-
pass. Bei der Resonanzfrequenz beträgt der zu-
rückgeführte Strom zwischen 0 % und 1 % des
Eingangswertes. Die Gegenkopplung wird unbe-
stimmt. Das Rückführnetzwerk kann man mit ei-
nem hochohmigen Widerstand überbrücken, der
die Verstärkung begrenzt und die Güte verringert.
Dadurch lassen sich stabile und reproduzierbare
Verhältnisse erzielen.

8.4.3.7 Filter höherer Ordnung


Durch Reihenschaltung mehrerer Filter 1. und
2. Ordnung lassen sich deren Eigenschaften ver-
bessern, insbesondere die Flankensteilheit. Dabei
werden aber die Verstärkung und die Phase im
Durchlass- und im Sperrbereich in der Nähe der
Grenzfrequenz erheblich beeinflusst. Deshalb ist
es im Allgemeinen nicht zweckmäßig, gleich-
artige Teilfilter zusammenzuschalten. Vielmehr
sollen die Grenzfrequenz und die Güte der Teilfil-
ter unter Berücksichtigung der gesamten Anfor-
Abb. 8.63 Bandsperre mit Doppel-T-Filter und einstell-
derung an Grenzfrequenz, Flankensteilheit, Wel-
barer Güte. a Schaltung, b Dämpfung (Frequenzgang), ligkeit und Phasendrehung im Durchlassbereich
c Phasengang aufeinander abgestimmt werden. Um die ver-
458 K. Bressler und R. Martin

schiedenen Anforderungen erfüllen zu können, zugspotenzial hat der Komparator meistens auch
werden entsprechende Filtertypen eingesetzt. einen Masseanschluss.
Der Komparator reagiert auch dann schnell auf
eine Änderung der Eingangsspannung, wenn er
vorher in hohem Maß übersteuert wurde, wäh-
8.5 Weitere wichtige integrierte
rend dieser Betrieb bei Operationsverstärkern zu
Analogschaltungen
interner Sättigung und entsprechend langen und
unberechenbaren Verzögerungszeiten führt.
Neben der großen Gruppe der Operationsverstär-
Viele Komparatoren haben einen Austastan-
ker gibt es weitere standardmäßig genutzte in-
schluss (Strobe), mit dem der Komparator un-
tegrierte Analogschaltungen. Hierzu gehören die
wirksam gemacht wird. Er gibt dann, unabhängig
den Operationsverstärkern sehr ähnlichen Kom-
vom Eingangssignal, entweder eine „0“ oder den
paratoren, integrierte Spannungsregler, Span-
vorhergehenden logischen Zustand aus (Schalt-
nungsstabilisatoren, die nicht nach dem Zener-
zeichen s. Abbildung 8.64a).
oder Avalancheeffekt arbeiten. Diese Bandab-
Komparatoren haben oft eine andere An-
stands-Referenzelemente und zahlreiche andere
schlussbelegung als die ähnlichen Operationsver-
Analogschaltungen gibt es preisgünstig für viele
stärker. Damit wird ein elektrisch fragwürdiger
besondere Anwendungsfälle. Die letzte Gruppe
Tausch durch die Anschlussbelegung verhindert.
ist so vielfältig, dass die entsprechenden Schal-
Das Verhältnis von Ein- und Ausgangssi-
tungen zweckmäßigerweise den Übersichtslisten
gnalen gleicht denen des Schmitt-Triggers ohne
analoger integrierter Schaltungen im Internet zu
Hysterese (Abb. 8.64b). Beim Schaltungsauf-
entnehmen sind.
bau ist auf eine gute Entkopplung des analogen
Eingangs- und des digitalen Ausgangskreises zu
achten. Bei ungünstiger Leitungsführung kann
8.5.1 Komparatoren die steile Flanke der Ausgangsspannung über
einen gemeinsamen ohmschen Pfad oder induk-
Ein Komparator (Vergleicher) ist im Prinzip tiv auf den Eingang zurückkoppeln, wodurch die
ein Operationsverstärker, der an der Schnittstel- Schaltung bei kleiner Eingangsspannungsdiffe-
le zwischen analogen und digitalen Schaltun- renz schwingen kann. Man kann den Komparator
gen Verwendung findet (z. B. in Analog-Digital- durch eine Schmitt-Trigger-Beschaltung mit klei-
Wandlern). Beide haben zwei Eingänge, einen ner Hysterese gegen diese Störungen unempfind-
Differenzverstärker, eine Spannungsverstärker- lich machen (Abb. 8.64c).
stufe und eine Endstufe. Während der Operati- Komparatoren werden in großer Vielfalt an-
onsverstärker normalerweise durch eine Gegen- geboten. Neben den schnellen Komparatoren,
kopplung im analogen Bereich gehalten wird, deren Verzögerungszeit mittelschnellen Digital-
ist der Komparator stets übersteuert, seine Aus- schaltungen entspricht, gibt es langsame Kom-
gangsspannung hat den größten oder den kleins- paratoren, die zur Spannungsüberwachung einge-
ten möglichen Wert. setzt werden. Die meisten können mit nur einer
Die Ausgangsspannung u0 hängt nur von der Spannung betrieben werden, der Eingang arbei-
Polarität der Differenz der Eingangsspannungen tet bis zum Potenzial des negativen Spannungs-
ab. An beiden Eingängen gleichsinnig auftre- anschlusses analog und einwandfrei. Allgemein
tende Steuerspannungen führen nicht zu einem gilt: je schneller der Komparator reagiert, desto
Ausgangssignal; denn der Komparator hat ei- mehr Strom verbraucht er.
ne gute Gleichtaktunterdrückung. Der Ausgangs- Schaltungen zur Batterieüberwachung, oft mit
spannungsbereich ist kleiner als beim Operati- eingebauter Referenz, können mit einigen A
onsverstärker, da nur die beiden logischen Pegel betrieben werden. Teilweise haben diese Schal-
der Folgeschaltung erreicht werden müssen, 0 V tungen einen kleinen Leistungs-FET über den
für „0“ und 2,5 bis 5 V für „1“. Als digitales Be- der Verbraucher aus der Batterie gespeist wird.
8 Analoge integrierte Schaltungen 459

Abb. 8.64 Komparator. a Komparator mit Anschlüssen, b Ein- und Ausgangsspannung eines Komparators, c Kompa-
rator mit Hysterese und einstellbarer Schwelle

Erreicht die Batteriespannung einen unteren kri- ten Spannungsregler. Wenn die Leistungsdiffe-
tischen Wert, dann trennt die Schaltung den Ver- renz zwischen Eingang und Ausgang in Wärme
braucher von der Batterie und verhindert eine umgesetzt wird, spricht man von einem Verlust-
schädigende Tiefentladung. regler.
Das Stellglied ist durch den n-Kanal-
MOSFET-Transistor und der Fehlerverstärker
8.5.2 Spannungsregler durch einen Operationsverstärker dargestellt.
Im ausgeregelten Zustand ist die auf die Masse
bezogene Spannung an beiden Eingängen des
Ein Spannungsregler formt eine in ihrem Wert
Fehlerverstärkers gleich groß, so dass die Diffe-
schwankende Eingangsspannung UE in eine klei-
renzspannung null ist.
nere, aber konstante Ausgangsspannung UA um.
Weichen Ausgangs- und Referenzspannung
Eingang und Ausgang besitzen ein gemeinsames
voneinander ab, dann wird die größere Spannung
Bezugspotenzial (Masse), so dass keine Poten-
geteilt und auf dem Niveau der niedrigeren ver-
zialtrennung zwischen dem Eingang und dem
glichen. Es gilt für UA > URef
Ausgang stattfindet.
Der Regler besteht mindestens aus einer Re- UA D Uref .1 C R1 =R2 / : (8.50)
ferenzspannung, einem Fehlerverstärker und ei-
nem Stellglied. Die Referenzspannung stellt den Die Gleichung für UA < URef lautet
konstanten Sollwert dar. In der Praxis ist der UA D URef R2 =.R1 C R2 / : (8.51)
Fehlerverstärker als Operationsverstärker und das
Stellglied als Leistungstransistor ausgeführt. Nähere Erläuterungen sind in Abschn. 17.1.5 (li-
Von einem Längsregler spricht man, wenn das neare Regler) enthalten. Die vollständigen Reg-
Stellglied zwischen dem Eingang und dem Aus- ler gibt es für alle üblichen positiven und ne-
gang des Spannungsreglers angeordnet ist, von gativen Spannungen, integriert in einem Ge-
einem Shuntregler, wenn das Stellglied parallel häuse mit mindestens drei Anschlüssen. Abbil-
zum Ausgang, also zur Last RL liegt. Abbil- dung 8.65b zeigt einen Spannungsregler im TO-
dung 8.65a zeigt einen als Längsregler aufgebau- 220-Gehäuse.
460 K. Bressler und R. Martin

Abb. 8.65 Spannungs-


regler für UR
URef .
a Schaltung, b TO 220-
Gehäuse

Die Entwicklung geht zu Reglern mit kleinem Halbleitern auch die Energiespeicher, Drossel
Spannungsabfall zwischen Eingangs- und Aus- und keramische Kondensatoren, in einem kleinen
gangsspannung, LDO, Low Drop Out Regulator. Gehäuse enthalten. Die Funktion der getakteten
Bei Strömen bis 500 mA können 300 mV aus- Regler ist in Abschn. 17.2 beschrieben.
reichen, denn ein FET als Stellglied kann mit Die mitunter störende Restwelligkeit des ge-
einer Gatespannung unterhalb der Betriebsspan- takteten Reglers kann durch einen nachgeschalte-
nung angesteuert werden. Bei großen Strömen, 5 ten Linearregler verringert werden. Beide Regler
bis 10 A, sind 1,0 bis 1,5 V Differenz normal. können in einem Gehäuse sein.
Regler für kleine Leistungen sind oft vollstän-
dig in einem SO8 Gehäuse untergebracht. Die 8.5.2.2 Ladungspumpe (Charge Pump)
entstehende Verlustwärme wird über eine Lötflä- Manche Schaltungen brauchen kleine Ströme aus
che auf die Leiterplatte gebracht. Das Problem, Hilfsspannungen, die außerhalb der Spannungen
die Wärme über eine große Kupferfläche abzu- der normalen Stromversorgung liegen. Kommt
leiten, bleibt dem Anwender. der Strom aus einer Batterie, will man keinen auf-
wändigen und überdimensionierten Spannungs-
8.5.2.1 Getaktete Regler wandler haben. Die Ladungspumpe nutzt einen
Getaktete Regler entnehmen der Spannungsquel- Kondensator als Energiespeicher. Je höher die
le nur die wieder abgegebene Leistung und ih- Schaltfrequenz ist, desto weniger Energie muss
ren Eigenverbrauch. Die Verluste sind wesentlich mit einem Schaltvorgang übertragen werden. Die
geringer als beim Linearregler. In der Einschalt- Schaltfrequenz kann 1 MHz oder mehr betragen.
phase speichert eine Drossel die überschüssige Abbildung 8.66 veranschaulicht die Funktion.
Arbeit und gibt sie in der Ausschaltphase wie- In der Schalterstellung 1 wird der Transferkon-
der ab. Schnelle FET und schnelle Freilaufdioden densator C1 (engl.:
ermöglichen Taktfrequenzen im MHz-Bereich. flying capacitor) über die beiden Wechsel-
Drosseln und Kondensatoren werden sehr klein. schalter auf die Betriebsspannung aufgeladen. In
Der Schalttransistor verursacht nur wenig Ver- der Schalterstellung 2 wird der Minuspol von
luste. Wenn Strom fließt, fällt wenig Spannung C1 an die positive Betriebsspannung geschaltet.
ab, der stromlose FET hat keine Verluste. Die Seine Spannung wird jetzt zur Betriebsspannung
Freilaufdiode kann durch einen gesteuerten FET addiert. Durch Verluste und die Entladung wird
ersetzt werden, der weniger Spannungsabfall hat. die Ausgangsspannung nur knapp verdoppelt.
Die Auswahl über verschiedene Leistungsberei- Beim invertierenden Wandler schaltet man den
che ist groß. Üblicherweise enthält der integrierte Pluspol des Transferkondensators in der Entlade-
Schaltregler, die Referenz, den Fehlerverstärker, phase 2 an den Minuspol der Speisespannung. Es
den Leistungstransistor und die Freilaufdiode. entsteht eine negative Spannung, die etwas klei-
Lediglich die Energiespeicher, Drossel und Kon- ner als die Speisespannung ist.
densator am Ausgang, müssen extern beschaltet Vorteile der Ladungspumpe sind eine sehr
werden. Die Halbleiterhersteller bieten inzwi- kleine Baugröße der integrierten Schaltung, bei-
schen vollständige Regler an, die neben allen spielsweise ein Gehäuse SOT, 3  3 mm, zwei bis
8 Analoge integrierte Schaltungen 461

Abb. 8.66 Funktionsweise einer Ladungspumpe

vier kleine, meist keramische Kondensatoren, ein sorgt für eine gleichmäßige Helligkeit bei gutem
oft guter Wirkungsgrad und eine sehr einfache Wirkungsgrad.
Anwendung ohne Entwicklungsarbeit.
Nachteile: Die aufgesetzte Spannung kann bis
zum 0,9-fachen der Betriebsspannung betragen, 8.5.3 Bandabstands-Referenzelement
ohmsche Verluste und Spannungsabfälle an den (Bandgap Voltage Reference)
Speicherkondensatoren sind unvermeidbar. Die
Eingangsspannung ist selten größer als 5 V. Der Referenzspannungen sind stabile Spannungen,
erreichbare Ausgangsstrom liegt je nach Typ zwi- die man als Bezugsgröße verwendet. Aus ihnen
schen 10 mA und 600 mA. Viele Ladungspum- lassen sich Spannungen ableiten, die beispiels-
pen haben eine geregelte Ausgangsspannung. Die weise in Stromversorgungen, Digital-Analog- so-
Restwelligkeit am Ausgang muss normalerweise wie Analog-Digital-Wandlern und anderen elek-
mit Kondensatoren verringert werden. tronischen Schaltungen benötigt werden. Sie ha-
Genutzt werden Ladungspumpen dort, wo die ben sich als hochwertige und preisgünstige Span-
zusätzliche Spannungsquelle nur wenig Strom nungsreferenz weitgehend durchgesetzt.
liefern muss, weshalb sich eine übliche Stromver- Referenzspannungen erzeugt man auf zwei
sorgung nicht lohnt oder in Batterie betriebenen verschiedene Arten. Beim Zener- oder Avalan-
Geräten, deren Spannung nicht immer für alle che-Durchbruch einer in Sperrrichtung betriebe-
Verbraucher ausreicht. Ein Beispiel ist die LED- nen Diode steigt der Strom oberhalb der Durch-
Beleuchtung mobiler Kleingeräte, die aus einer bruchspannung stark an. Z-Dioden sind unter
Lithium-Batterie gespeist werden. Bei der auf- Abschn. 2.5.5 beschrieben. Sie haben zwischen
geladenen Batterie können weiße LEDs nur mit 5 V und 7 V den geringsten Temperaturkoeffizi-
einem Vorwiderstand zur Strombegrenzung be- ent (TK) und einen kleinen differenziellen Wider-
trieben werden. Die fast entladene Batterie reicht stand. Zusammen mit der Strombegrenzung sind
auch ohne Vorwiderstand nicht mehr zum Betrieb 7 V bis 10 V Betriebsspannung erforderlich. Die-
aus. Eine Batterie mit zwei Zellen wäre teurer se Spannung steht oft nicht zur Verfügung. Band-
und man hätte hohe Verluste. Die Ladungspumpe abstands Referenzelemente arbeiten meistens ab
462 K. Bressler und R. Martin

a b
UB UB

R1 R1 R1 R1

+ IC1 IC2 +
IC1 IC2
- -

1 1
URef UBE1
UBE1
ΔUBE 2 ΔUBE R2
2
R2 UBE2 URef
UBE2

U3 R3 UPTAT

Abb. 8.67 Bandabstands-Referenz. a Erzeugung einer Spannung mit positivem TK, b Referenzelement nach A.P. Bro-
kaw

2,5 V; dazu reichen zwei übliche Alkali-Mangan- nachlässigt, dann gilt für die beiden Kollektor-
Zellen oder eine Lithiumzelle, selbst wenn sie ströme IC1  IS1 eUBE1 =UT D nIS2 eUBE1 =UT und
nahe an der Entladungsgrenze sind (Abb. 8.67). IC2  IS2 eUBE2 =UT . Die beiden Kennlinien sind in
Bei Z-Dioden mit Uz D 5 V muss wegen Abb. 8.68a dargestellt.
der Exemplarstreuung mit mindestens 0,5 mV=K Für die Basis-Emitter-Spannungen gilt nach
für den TK gerechnet werden, das sind Gl. 1.144
100 ppm=K. Eine 6,2 V Z-Diode, die mit einer IC1 IC1
Diode kompensiert ist, kommt bestenfalls auf UBE1 D UT ln D UT ln
IS1 n IS2
0,2 mV=K, das sind 30 ppm=K. Bandabstands-
Referenzelemente dagegen werden mit einem und
IC2
Temperaturkoeffizienten bis herab zu 1 ppm=K UBE2 D UT ln :
angeboten. IS2
Bandabstands-Referenzelemente sind einfa- Über dem Gegenkopplungswiderstand R2 fällt
che integrierte Schaltungen mit genau dimen- die Spannung UBE D IC1 R2 ab, so dass für die
sionierten und häufig abgeglichenen Elementen. Spannungen folgt: UBE1 C UBE D UBE2 oder
Sie finden in diskreten und in integrierten Schal-
IC1 IC2
tungen Verwendung. Ein diskreter Aufbau ergibt UT ln C IC1 R2 D UT ln : (8.52)
n IS2 IS2
wegen der immer ungleichen Transistoren nur
schlechte Werte. Der Zusammenhang zwischen den Kollektorströ-
Das Prinzip dieser Elemente beruht darauf, men und der Spannung UBE2 ist in Abb. 8.68b
den negativen Temperaturkoeffizienten der Basis- dargestellt und zeigt, dass der Kollektorstrom von
Emitterspannung eines Transistors durch eine T1 zwar früher einsetzt als der von T2 , wegen der
Spannung mit positivem TK zu kompensieren. Gegenkopplung aber langsamer ansteigt.
Eine solche Spannung erhält man beispielsweise, Nun wird in der Schaltung von Abb. 8.67a die
wenn zwei Transistoren mit ungleichen Emit- Potenzialdifferenz an den beiden Widerständen
terflächen gemäß der Schaltung in Abb. 8.67a R1 mit einem Operationsverstärker verglichen,
betrieben werden. Ist das Flächenverhältnis n D der die beiden Transistoren so ansteuert, dass
A1 =A2 , so stehen die Sperrsättigungsströme IC1 D IC2 D IC ist. Dieser Arbeitspunkt ent-
der Basis-Emitter-Dioden im selben Verhältnis: spricht dem Schnittpunkt der beiden Kennlinien
IS1 =IS2 D n. Wird der kleine Basisstrom ver- in Abb. 8.68b.
8 Analoge integrierte Schaltungen 463

a 1 b 1,0

0,8 0,8
Strom I C / mA

Strom I C / mA
0,6 0,6
T1 T2 T1 T2
0,4 0,4

0,2 0,2

0 0,0
0,4 0,5 0,6 0,7 0,4 0,5 0,6 0,7
Spannung U BE / V Spannung U BE2 / V

Abb. 8.68 I  U -Kennlinien zweier verschieden großer Transistoren mit n D IS1 =IS2 D 10, Sperrsättigungsstrom
IS2 D 1015 A, a unabhängige Kennlinien, b Kennlinien bei Gegenkopplung gemäß Schaltung in Abb. 8.67a

Für die an R2 abfallende Spannung gilt ergibt sich


R3
UBE D IC R2 D UBE2  UBE1 URef D UBE2 C 2UBE
R2
IC IC (8.55)
D UT ln  UT ln R3
IS2 n IS2 D UBE2 C 2 UT ln n :
R2

oder Gegenüber der vorigen Schaltung wird also der


Beitrag von UBE mit dem positiven TK um den
UBE D UT ln n : (8.53) Faktor 2R3 =R2 vergrößert. Der Temperaturkoef-
fizient der Referenzspannung wird jetzt
Diese Spannung ist proportional zur absoluten
Temperatur (PTAT, Proportional To Absolute dURef dUBE2 R3 d.UBE /
D C2
Temperature) und hat den positiven Temperatur- dT dT R2 dT
koeffizienten dUBE2 R3 UT
D C2 ln n :
dT R2 T
d.UBE / UT
D ln n : (8.54) Soll nun der TK gleich null sein, dann wird dies
dT T erreicht für folgendes Widerstandsverhältnis:
Für T D 300 K und n D 10 ergibt sich R3 dUBE2 T
D  : (8.56)
d.UBE /=dT D C0;2 mV=K. Die Spannung R2 dT 2 UT ln n
UBE2 D UBE1 C UBE , die man jetzt als Re-
Geht man von einem typischen TK der
ferenzspannung verwenden könnte, besteht so-
Basis-Emitter-Diode von 1;7 mV=K (Ab-
mit aus zwei Anteilen mit entgegengesetztem
schn. 1.8.7.3) aus, so ergibt sich bei T D 300 K
TK. Leider überwiegt der negative Beitrag von
und n D 10 das Widerstandsverhältnis R3 =R2 D
d.UBE1 /=dT  1;7 mV=K nach Gl. 1.145 bei
4;28. Die Referenzspannung beträgt somit
weitem den positiven Beitrag von C0;2 mV=K.
Der Anteil mit positivem TK lässt sich auf ein- URef D UBE2 C 2UT ln n  4;28 D 1;2 V :
fache Weise verstärken, wenn nach Abb. 8.67b
ein gemeinsamer Emitterwiderstand R3 einge- Eine allgemeine Rechnung mithilfe von Gl. 1.145
baut wird. In dieser von A. P. B ROKAW vor- ergibt mit Gl. 8.55 und 8.56 die Referenzspan-
geschlagenen Schaltung wird die Referenzspan- nung
nung URef D UBE2 C 2IC R3 : Mit IC D UBE =R2 URef D Ug C 3 UT : (8.57)
464 K. Bressler und R. Martin

Durch die definierte Verstärkung des OPs kann


R1 R1 die Referenzspannung auf in der Praxis benötigte
+U B
Spannungen von 2,5 V, 5 V und 10 V angehoben
IC1 IC2 + werden. Dabei speist die Referenzspannung die
- beiden Transistoren T1 und T2 über R1 und R2 .
R4 Dadurch wird der Einfluss der Versorgungsspan-
nung auf die Referenz unterdrückt. Der Strom für
URef
1 beide Transistoren und den Verbraucher der Re-
UBE1 R5 ferenzspannung kommt aus dem Operationsver-
ΔUBE R2
2 stärker. Er wird aus der unstabilisierten Betriebs-
UBE2 spannung UB versorgt. Zwei- und mehrpolige
Ausführungen sind im Gebrauch. Zweipole kann
man bei Berücksichtigung ihrer dynamischen Ei-
U3 R3 UPTAT
genschaften wie Zenerdioden einsetzen. Mehr-
polige Referenzelemente lassen sich sowohl als
Stromquelle als auch als Stromsenke beschalten,
Abb. 8.69 Bandabstandsreferenz mit höherer Ausgangs-
wenn der integrierte Verstärker eine Gegentakt-
spannung
Ausgangsstufe besitzt.

Für T D 300 K ergibt sich damit eine theore- Beispiel 8.5.1


tische Referenzspannung von 1,2 V. Diese liegt Ein Bandgap-Referenzelement nach Brokaw
nahe an der Bandgap-Spannung Ug D 1;11 V von (Abb. 8.67b) besteht aus zwei Transistoren mit
Si, woher die Methode ihren Namen hat. dem Flächenverhältnis n D A1 =A2 D 12
Da die Spannung über R3 direkt proportional und einem Sperrsättigungsstrom des kleineren
ist zur absoluten Temperatur (PTAT), eignet sie Transistors von IS2 D 5  1015 A.
sich besonders gut zur Temperaturmessung. Bei a) Welcher gemeinsame Kollektorstrom IC
manchen Referenzspannungsquellen ist sie außen stellt sich ein?
abgreifbar. Sie beträgt b) Für welchen Widerstand R3 ist die Tem-
peraturstabilität am besten, wenn der Ge-
R3 R3 genkopplungswiderstand R2 D 100  be-
UPTAT D U3 D 2 UBE D 2 UT ln n
R2 R2 trägt?
(8.58) c) Wie groß wird die stabilisierte Referenz-
und hat den Temperaturkoeffizienten spannung?
d) Welchen Temperaturkoeffizienten hat die
dUPTAT =dT D 2.R3 =R2 / ln n .UT =T / : zur absoluten Temperatur proportionale
Spannung UPTAT?
Mit dem oben berechneten Widerstandsverhältnis
R3 =R2 D 4;28 ergibt sich bei Raumtemperatur
(300 K) dUPTAT =dT D 1;7 mV=K. Lösung
Höhere stabilisierte Spannungen als 1,2 V las- a) Für IC1 D IC2 D IC ergibt sich aus
sen sich nach Abb. 8.69 erreichen, indem man Gl. 8.52:
über den Spannungsteiler R4 =R5 nur einen Teil IC IC
der Ausgangsspannung des Operationsverstär- UT ln C IC R2 D UT ln :
n IS2 IS2
kers auf die Basisanschlüsse der beiden Transis-
toren rückkoppelt. In diesem Fall ist die Refe- Daraus folgt der Kollektorstrom
renzspannung
UT
URef  Ug .1 C R4 =R5 / : (8.59) IC D ln n D 0;643 mA
R2
8 Analoge integrierte Schaltungen 465

Tab. 8.4 Einige Bandabstands-Referenzelemente


Hersteller Typ Ref-Spg=V Toleranz % Temp.-Koeffiz. ppm=ı C Bemerkung
Shuntregler, zweipolig angeschlossen, wie eine Z-Diode
Texas Instr. LM4040 2,048–10 0,1 100 Kleiner Stromverbrauch
Linear Tech. LTC1440 1,182 1 55 Sehr kleine Referenzspg.
Linear Tech. LT1004 1,235–2,5 0,2–0,8 15–35 Kleiner Stromverbrauch
Analog Dev. AD5040 2,048–5 0,1 10 Preisgünstig
Serienregler, dreipolig, Speisung aus höherer unstabilisierter Spannung
Maxim MAX610X 1,8–5 0,4 75 Preisgünstig
Linear Tech LT6656 1,25–5 0,1 5–12 Kleiner Stromverbrauch
Linear Tech LT1790 1,25–5 0,05–0,1 5–12 Kleiner Spannungsabfall
Analog Dev ADR34XX 1,2–3,3 0,1 8 Kleiner Spannungsabfall
Maxim MAX6126 2,048–5 0,02–0,1 3–7 Genau, rauscharm
Maxim MAX617X 2,5–10 0,06 3 Mit Temperatursensor
Analog Dev ADR45XX 2,048–5 0,02 2 Genau, rauscharm
Linear Tech LTC6655 2,048–5 0,025– 0,05 1–2,5 Genau, hoher Strom
Analog Dev ADR440 2,5–5 0,04 1 Kleiner Spannungsabfall

und die Basis-Emitter-Spannung I Hinweis für die Praxis: Wenn man integrierte
Referenzspannungen zur Überwachung von
IC Versorgungsspannungen verwendet, dann
UBE2 D UT ln D 0;663 V :
IS2 sollte man, um eine Fehlermeldung zu ver-
meiden, solche Typen wählen, deren Aus-
b) Der TK wird nach Gl. 8.56 null für gangsspannung beim Hochlaufen ihrer
Versorgungsspannungen proportional zu
R3 dUBE2 T
D  D 3;96 : dieser ansteigen. Es sollte also ein linearer
R2 dT 2 UT ln n
Zusammenhang zwischen der Versorgungs-
Also ist R3 D 396 . spannung und der Ausgangsspannung des
c) Die Referenzspannung beträgt nach Referenzelements bestehen.
Gl. 8.55

R3 8.6 Zur Übung


URef D UBE2 C 2 UT ln n D 1;17 mV :
R2
Bei allen Aufgaben ist die Schaltung und die Di-
d) Die zur Temperatur proportionalen Span- mensionierung vorzuschlagen.
nung hat den TK
Ü 8.5-1 Eine Tonfrequenzspannung aus einer
dUPTAT R3 UT mV
D2 ln n D 1;7 : hochohmigen Quelle soll um v D 20 verstärkt
dT R2 T K
werden.
Die Tab. 8.4 zeigt einige Bandabstands-
Referenzelemente aus dem sehr großen An- Ü 8.5-2 Drei Spannungen sollen linear addiert
gebot. Zweckmäßig ist eine Vorauswahl nach und mit verschiedenen Skalenfaktoren verstärkt
einer Übersichtsliste der Hersteller, mit nach- werden. Die Verstärkung betrage jeweils v1 D 5,
folgender genauer Kontrolle des Datenblattes. v2 D 10 und v3 D 20.
Datenblätter und Applikationsberichte sind im
Internet verfügbar. Dabei ist zu beachten, je Ü 8.5-3 Innerhalb eines Vielfachmessgerätes mit
genauer die Spannung toleriert ist und je klei- Verstärker muss Wechselspannung gleichgerich-
ner der TK ist, desto teurer ist die Schaltung. tet werden. Ein- und Ausgang sind auf Masse
466 K. Bressler und R. Martin

bezogen. Die Schaltung soll den Effektivwert  Reinhold, W.: (2010) Elektronische Schal-
der sinusförmigen Eingangsspannung als Gleich- tungstechnik Grundlagen der Analogelektro-
spannungsmittelwert mit gleichem Zahlenwert nik. Hanser Verlag.
ausgeben. Für den Gleichspannungsmittelwert
p  Seifarth, M.: (2003) Analoge Schaltungen.
gilt UG D 1;11 Ueff D 1;11 û= 2. 6. Auflage, Verlag Technik Berlin.
 Tenten, W.: (2012) Analoge Schaltungstechni-
Ü 8.5-4 Es soll ein aktiver Tiefpass 1. Ordnung ken der Elektronik Oldenbourg Verlag.
mit der Verstärkung v D 20 und der Grenzfre-  Tietze, Schenk, C.: (2010) Halbleiter-Schal-
quenz fg D 1 kHz dimensioniert werden. tungstechnik. 13. Auflage, Springer Verlag.
Die technischen Publikationen der Halbleiterher-
steller sind heute eine wichtige Informationsquel-
Ü 8.5-5 Einem Tonfrequenzsignal ist ein kleiner le. Auf den Webseiten der Hersteller findet man
Betrag der Netzfrequenz mit 50 Hz überlagert. u. a. unter den Stichworten Application Note oder
Eine 50-Hz-Bandsperre soll diese Frequenz un- Technical Documentation viele, zum Teil tief ge-
terdrücken. Die Schaltung ist zu bestimmen und hende Veröffentlichungen. Diese Dokumentation
zu dimensionieren. ist ausschließlich Englisch. Folgende Internet-
Adressen sind hilfreich:
 analog.com
8.7 Weiterführende Literatur  infineon.com
 linear.com
 Heinemann, R.: (2011) PSPICE Einführung in  maximintegrated.com
die Elektroniksimulation. 7. Auflage, Hanser  nxp.com
Verlag.  ti.com.
Digital-Analog (DA)-, Analog-Digital
(AD)-Wandler und digitale Filter 9

Klaus Bressler und Julian Endres

In vielen Prozessen fallen die Daten in elektri- der Geschwindigkeit und eine geringere Leis-
scher Form an oder werden zuerst in ein elektri- tungsaufnahme. Das Signal läuft fast immer zu-
sches Analogsignal umgesetzt. Dieses Analogsi- erst durch den Analog-Digital(AD)- und erst
gnal steht für die weitere Verarbeitung zur Ver- gegen Ende der Verarbeitung durch den Digital-
fügung. Beispielsweise in einer Regelung, beim Analog(DA)-Wandler. Der DA-Wandler sei zu-
Telefon, Funk oder Fernsehen überträgt man es erst beschrieben, da er einfacher aufgebaut ist und
über große Entfernungen oder speichert es für das Verständnis für einige AD-Wandler erleich-
eine spätere Nutzung, wie bei der Schallplatte tert.
oder dem Tonband. Bei dieser analogen Verar-
beitung entstehen durch geringe Abweichungen
der Übertragungselemente vom idealen Verhal-
ten Fehler, die sich mit zunehmender Anzahl der 9.1 Digital-Analog-Wandler
beteiligten Elemente summieren. Diese zusätzli- (DA-Wandler)
chen Fehler lassen sich mit hohem Aufwand in
Analogsystemen nur verringern, in Digitalsyste- DA-Wandler (engl.: Digital to Analog Conver-
men bei richtiger Auslegung jedoch verhindern ter; DAC) erhalten am Eingang meistens eine
oder korrigieren. binär kodierte Zahl (Digitalwort): in Ausnahme-
Die Verarbeitung in Digitalrechnern, bei- fällen lässt sich mit einem entsprechend dimen-
spielsweise in Mikroprozessoren, benutzt digita- sionierten Wandler auch eine BCD-Zahl umset-
le Signale am Ein- und Ausgang. Hierzu setzt zen (Abschn. 11.1.3). Abbildung 9.1 zeigt den
man die anfallenden analogen Daten in digita- einfachsten DA-Wandler. Eine konstante Refe-
le um und wandelt sie nach der Verarbeitung renzspannung URef speist über digital gesteuerte
oder der Übertragung wieder in die benötig- Schalter S1 bis S8 und binär gestufte Widerstände
te analoge Form zurück. Die heute angebotene Strom in den Knoten eines addierenden Opera-
große Vielfalt an Wandlern deckt ein großes Leis- tionsverstärkers ein. Der vom Netzwerk in den
tungsspektrum ab, das mit verschiedenen Wand- Knoten fließende Strom ist dem Produkt aus der
lungssystemen und Herstellungstechnologien zu Referenzspannung und der angelegten Digital-
erreichen ist. Das Ziel der intensiven Entwick- zahl proportional. Der Operationsverstärker stellt
lung ist die weitere Steigerung der Genauigkeit, die Ausgangsspannung so ein, dass der Strom
durch den Rückführwiderstand R=2 den Strom
K. Bressler () aus dem Netzwerk genau kompensiert. Die Aus-
E-Mail: Klaus.Bressler@web.de gangsspannung ist dem angelegten Digitalwort
J. Endres, proportional; ihre Polarität ist der Referenzspan-
E-Mail: julian.endres@fhws.de nung entgegengesetzt.
© Springer-Verlag GmbH Deutschland 2017 467
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_9
468 K. Bressler und J. Endres

Abb. 9.1 DA-Wandler mit binär gestuften Widerständen

Abb. 9.2 R-2R-Leiternetzwerk mit Strömen. a Reihenschaltung gleichartiger Leiterelemente. b Widerstandsverhält-


nisse an einem Element des Netzwerks

9.1.1 R-2R-Leiternetzwerk nungsteiler ist mit dem nächsten Glied aus R


und 2R belastet. Damit besteht der erste Tei-
Bei den heute üblichen Wandlern speisen n binär ler aus dem Längswiderstand R10 D R und
gestufte Referenzspannungen über digital gesteu- dem Querwiderstand R11 D 2R, der mit dem
erte Schalter und gleiche Widerstände Strom in Eingangswiderstand 2R des nächsten Elements,
den summierenden Knoten eines Operationsver- R20 und R21 , belastet ist. Der Spannungsteiler
stärkers. Die Ströme erzeugt man aus der Refe- aus R10 D R und den beiden parallel geschalte-
renzspannung mit Hilfe eines R-2R-Netzwerks, ten Widerständen R11 D 2R und dem Eingangs-
das für einen n-Bit-Wandler (2n C 1) Widerstän- widerstand 2 R der folgenden Stufe halbiert die
de mit nur zwei verschiedenen Werten enthält, Referenzspannung URef zu U1 (Abb. 9.2b). Die-
nämlich R und 2R. Das R-2R-Netzwerk lässt sich ser Vorgang wiederholt sich bei jedem weiteren
leichter mit großer Genauigkeit herstellen als bi- zugeschalteten Spannungsteiler. Damit halbiert
när gestufte Widerstände mit dem erforderlichen sich auch der Strom im jeweils nächsten Ele-
großen Werteverhältnis. ment dieses Leiternetzwerks. Bedingung für diese
Abbildung 9.2a zeigt die Widerstands- und Stromaufteilung ist ein gleiches Bezugspotenzial
Stromverhältnisse in einem R-2R-Netzwerk. Es für die Referenzspannung und die Fußpunkte der
besteht aus n gleichen Spannungsteilern, jeweils Querwiderstände. Das letzte Element schließt mit
aus einem Längswiderstand Ri0 D R und ei- dem Widerstand 2R ab, weshalb die Referenz-
nem Querwiderstand, Ri1 D 2R, mit i D 1 spannung URef stets mit dem Lastwiderstand 2R
bis n und ist mit 2R abgeschlossen. Jeder Span- belastet wird, und zwar unabhängig von der An-
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 469

Abb. 9.3 Multiplizierender DA-Wandler

zahl n der Elemente und der Stellung der später Multiplikation einer Analogspannung mit einem
hinzukommenden Schalter. Der Eingangswider- digital eingegebenen Faktor. Die Analogspan-
stand des Leiternetzwerks für die Referenzspan- nung ist in weiten Grenzen frei; es kann eine
nung beträgt immer Re D 2R. Gleichspannung oder eine periodische oder nicht-
periodische Wechselspannung sein (z. B. eine
Tonfrequenz). In diesem Zusammenhang nennt
9.1.2 Multiplizierender DA-Wandler man den multiplizierenden DA-Wandler auch
elektronisches Potentiometer.
Abbildung 9.3 zeigt einen 8-Bit-DA-Wandler mit Der Wandler ist so genau wie die Teilströme
einem Leiternetzwerk. In den Querwiderstän- in den einzelnen Querwiderständen. Fehler im
den 2R fließen von links nach rechts abneh- Widerstand des MSB verursachen einen entspre-
mende, binär gestufte Ströme. Abhängig von der chenden Gesamtfehler, während Wertetoleranzen
jeweiligen Schalterstellung fließen diese Ströme der niederwertigen Bits entsprechend verringert
in den gemeinsamen Massepunkt (Schalterstel- eingehen. Nach Gl. 9.1 beeinflussen nicht die
lung 0) oder in den fiktiven Massepunkt am Absolutwerte der Widerstände im Netzwerk die
summierenden Knoten des nachfolgenden Ope- Genauigkeit, wohl aber deren Verhältnis. Hierbei
rationsverstärkers (Schalterstellung 1). ist das R-2R-Leiternetzwerk vorteilhaft, weil es
Die Ausgangsspannung des Operationsver- fast nur gleichartige Widerstände enthält, die sich
stärkers stellt sich so ein, dass der Eingangs- gut und mit geringen Toleranzen herstellen las-
strom Ie durch den über RR zurückgeführten sen. Der bei allen Widerständen gleiche Tempera-
Strom kompensiert wird. Ist die Verstärkung des turgang beeinflusst die Widerstands-Verhältnisse
DA-Wandlers gleich eins, d. h., ist der Rück- auch bei sich stark ändernder Umgebungstempe-
führwiderstand RR D 2R, dann gilt für die ratur nicht; ferner wird der einzige maßgebende
Ausgangsspannung Ua Widerstand außerhalb des Leiternetzwerks, der
Rückführwiderstand RR , meistens zusammen mit
X URef
Ua D  : (9.1) dem Netzwerk auf einem Substrat hergestellt.
2n Das Leiternetzwerk baut man häufig aus Wider-
Dabei ist X der Wert der angelegten Binärzahl, n ständen mit 10 k und 20 k oder 25 k und
die Bit-Breite des DA-Wandlers und URef die 50 k auf.
angelegte Referenzspannung. Da die Ausgangs- Eine weitere Fehlerquelle ist der ohmsche Wi-
spannung dem Produkt aus der Binärzahl X und derstand des Schalters im EIN-Zustand. Er ist
der Referenzspannung URef proportional ist, be- voll zum jeweiligen Widerstandswert zu addie-
zeichnet man diesen Wandler als multiplizieren- ren. Der Widerstand des Schalters stört beim
den DA-Wandler. Die Schaltung eignet sich zur MSB am meisten. Deshalb nutzt man zur Korrek-
470 K. Bressler und J. Endres

OUT 1 oder durch T2 in den Ausgang OUT 2.


Leckströme über das Gate oder über die Drain-
Source-Strecke des gesperrten Transistors sind
vernachlässigbar klein, weshalb lediglich der
Restwiderstand und der Temperaturgang des
durchgeschalteten Transistors die Genauigkeit
des Wandlers spürbar stören können. Die Schalt-
transistoren der hochwertigen Bits haben hier oft
eine dem Strom proportionale Arbeitsfläche und
einen entsprechend kleinen Widerstand.

9.1.3 Vier-Quadranten
Abb. 9.4 MOSFET-Umschalter im DA-Wandler
multiplizierender DA-Wandler

tur bei den hochwertigen Stellen mitunter größere Der Schalter nach Abbildung 9.4 hat günstige Ei-
Schalttransistoren, wodurch der höhere Strom genschaften: Die Leckströme sind vernachlässig-
über einen niederohmigen Schalter fließt, und der bar und der EIN-Widerstand ist vom Betrag und
Spannungsabfall konstant bleibt. der Polarität des geschalteten Stroms unabhän-
Für das angelegte Datenwort sind zwei unter- gig. Die Verhältnisse bleiben erhalten, auch wenn
schiedliche Bezeichnungen üblich. In Abb. 9.3 sich der Pegel und die Polarität der Referenz-
wird das höchstwertige Bit (MSB: Most Signifi- spannung ändern. Dieser Wandlertyp lässt sich
cant Bit; Abschn. 11.1.1) mit „1“ bezeichnet und deshalb über einen großen Bereich der Referenz-
das niederwertigste Bit (LSB: Least Significant spannung, beispielsweise von 15 bis C15 V be-
Bit) mit „8“. Bei einem Datenbus (z. B. bei einem treiben. Entsprechend der Größe und dem Betrag
Mikrorechner) beginnt der Bus mit DB 0 (LSB) der Referenzspannung ändern sich auch die Grö-
und endet bei DB 7 (MSB). Dabei sind zwei ver- ße und der Betrag der Ausgangsspannung. Zu be-
schiedene Bezeichnungen üblich: Die eine geht achten ist, dass die Offsetspannung des nachge-
von 1 bis n und die andere von 0 bis (n  1). (Ab- schalteten Operationsverstärkers bei abnehmen-
bildung 9.7 zeigt einen AD-Wandler mit direktem dem Betrag der Referenzspannung immer mehr
Bus-Anschluss.) Einfluss gewinnt. Die Schaltung eignet sich zur
Multiplizierende DA-Wandler stellt man gern Multiplikation der Referenzspannung mit der di-
monolithisch in CMOS-Technik her, wobei die gitalen Zahl X. Wenn der zweite Stromausgang
erreichten Genauigkeiten mit fortschreitender des DA-Wandlers nicht mit Masse verbunden
Technologie immer besser werden. Inzwischen wird, sondern auf den Knoten eines zweiten
kann man 16 Bit Auflösung und 15 Bit Linea- Operationsverstärkers arbeitet, dessen Ausgangs-
rität erreichen. Die Widerstände des Leiternetz- signal vom ersten subtrahiert wird, kann man
werks, die schaltenden Feldeffekttransistoren und nicht nur positive und negative Referenzspannun-
die Ansteuerlogik werden auf einem Silicium- gen, sondern auch positive und negative digitale
kristall aufgebaut. Die Schaltung muss wenig Daten miteinander verknüpfen. Abbildung 9.5a
oder gar nicht abgeglichen werden. Monolithi- zeigt die Schaltung eines Vier-Quadranten-Mul-
sche CMOS-Wandler haben die bei hohen Ge- tiplizierers.
nauigkeiten früher üblichen hybriden Wandler Der Ausgang OUT 1 mit dem Strom I1 arbei-
aus Kostengründen verdrängt. tet wie bekannt auf den Knoten eines invertieren-
Abbildung 9.4 zeigt einen MOSFET-Um- den Operationsverstärkers. Der Ausgang OUT 2
schalter mit einem Element des Leiternetzwerks. ist nicht wie bisher mit Masse verbunden, son-
Der durch den Querwiderstand 2R kommende dern arbeitet auf den Knoten eines zweiten inver-
Strom fließt entweder durch T1 in den Ausgang tierenden Operationsverstärkers. Abbildung 9.5b
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 471

Abb. 9.5 Vier-Quadranten multiplizierender DA-Wandler. a Schaltbild, b Teilströme und Ausgangsspannung, c Zu-
sammenhang aus digitaler Eingangsgröße, Referenzspannung URef und Ausgangsspannung Ua

zeigt die Ströme I1 und I2 abhängig von der an- gleichen Widerständen und 2n  1 Einzelschal-
gelegten digitalen Zahl. ter. Entsprechend dem angelegten Digitalwort
Definiert man das MSB als Vorzeichen und wird nur der zugehörige Schalter geschlossen,
nimmt das Einer-Komplement für negative Zah- er gibt die Teilspannung des Spannungsteilers
len, dann ändert sich die Ausgangsspannung des an den nachfolgenden Pufferverstärker, meistens
DA-Wandlers von CUa .1  1=256/ bis Ua , ein Elektrometerverstärker mit der Verstärkung
wenn die digitale Zahl von 0000 0000 bis v D 1. Da er einen sehr hohen Eingangswider-
1111 1111 geändert wird und die Referenzspan- stand hat, wird der Spannungsteiler nicht belastet
nung positiv ist. Der Eingang 0111 1111 führt und seine Ausgangspannung nicht verfälscht.
zur Ausgangsspannung 0 V. Beide Eingänge, der In der CMOS Technik lassen sich auch Struk-
digitale und der analoge, können positiv und ne- turen mit sehr vielen Widerständen und Transis-
gativ werden. Der Wandler gibt das Ergebnis stets toren kostengünstig herstellen. Der fast stromlose
mit dem richtigen Vorzeichen aus, d. h., er arbei- Schalter kann sehr klein sein. Der hochohmi-
tet in allen vier Quadranten und heißt deshalb ge Spannungsteiler aus sehr vielen Widerständen
auch Vier-Quadranten-Multiplizierer. Abbil- belastet die Referenzspannung nur wenig.
dung 9.5c zeigt die analoge Ausgangsspannung Aus dem angelegten Datenwort mit n Bit de-
als Funktion der digitalen Eingangsgröße mit der kodiert eine Logikschaltung einen von 2n Ausgän-
variablen Referenzspannung als Parameter. gen, dieser steuert den zugehörigen Schalter an.
Über diesen Schalter gelangt die richtige Span-
nung an den Pufferverstärker und an den Ausgang.
9.1.4 Parallel-DA-Wandler Digitale Schaltungen in CMOS-Technik verbrau-
chen nur während der Umschaltvorgänge Strom.
Ähnlich wie bei den unter 9.2.4 beschriebenen Operationsverstärker in CMOS-Technik können
Parallel-AD-Wandlern kann man auch einen DA- sehr sparsam sein. Deshalb kommen diese Wand-
Wandler mit einem großen Spannungsteiler auf- ler mit einem sehr geringen Ruhestrom aus. Die
bauen (Abb. 9.6). Ein n-Bit DA-Wandler benötigt sehr kleinen internen Ströme laden die immer
dazu einen linearen Spannungsteiler aus 2n genau vorhandenen parasitären Kapazitäten nur langsam
472 K. Bressler und J. Endres

a b

Abb. 9.6 Parallel-DA-Wandler. a Spannungsteiler mit Schalter und Ausgangsverstärker. b Blockschaltbild eines
Parallel-DA-Wandlers

um, deshalb sind diese Wandler langsam aber ex- le direkt an den Daten- und Kontrollbus oder
trem sparsam. Die Wandlungszeit kann mehrere an eine serielle Schnittstelle eines Mikrorechners
Mikrosekunden betragen. anzuschließen ist. Abbildung 9.7 zeigt das Block-
Das digitale Eingangssignal kann parallel oder schaltbild eines DA-Wandlers mit einer paralle-
seriell in ein Register kommen. Eine Steuerlogik len Mikrorechner-Schnittstelle.
gibt das Register zur Aufnahme frei und schaltet Beim DA-Wandler hat der Datenbus nur hoch-
dessen Ausgänge an den Dekoder. Häufig ha- ohmige Eingänge (engl.: data inputs), die stets
ben diese Wandler einen Stromsparmodus (engl. am Bus liegen, aber nur bei Bedarf durchgeschal-
Power Down Mode), der den Stromverbrauch im tet werden. Hierzu dienen drei Steuereingänge,
Ruhezustand in den Mikrowatt-Bereich senkt. welche die anliegenden Daten in einem vorge-
Der Spannungsteiler ist immer streng mo- schalteten Latch-Register mit den Signalen HBE
noton, beim Höherschalten kann die Spannung (High Byte Enable), und LBE (Low Byte Enable)
nicht kleiner werden. Die übrigen Fehler, Off- zwischenspeichern, von wo aus sie mit dem Si-
set, Nichtlinearität und abweichende Verstärkung gnal LDAC (Load DA-Converter) in das eigent-
können aber vorkommen. Nur ein kleiner Teil der liche Steuerregister weitergeschaltet werden und
angebotenen Wandler sind Parallel-DA-Wandler. kurz danach als gewandelter Analogwert am Aus-
Für besondere Anforderungen sind sie ein sinn- gang erscheinen. Die Ansteuereingänge HBE,
volles Nischenprodukt. LBE oder CS (Chip Select) werden über dem
Rechner zugeordnete Dekoder aus dem Adress-
bus erzeugt, wie das bei Speichern, Ports und
9.1.5 Datenwandler mit anderen peripheren Teilen auch geschieht. Führt
mikroprozessorkompatibler man die Referenzspannung über 2 R auf den
Schnittstelle nachfolgenden Summierverstärker dann entsteht
der in Abb. 9.5 beschriebene Vier-Quadranten-
DA- und AD-Wandler betreibt man häufig di- Multiplizierer.
rekt zusammen mit Mikrorechnern. Der äuße- Der Trend geht zum seriell angekoppelten Da-
ren analogen Schnittstelle steht die digitale zum tenwandler, der direkt mit dem Mikroprozessor
Mikrorechner gegenüber. Um Entwicklungsauf- kommuniziert. Dazu gibt es verschiedene Ver-
wand und Platz auf der Leiterplatte zu sparen, fahren. Beim Serial Peripheral Interface (SPI)
gibt es Datenwandler, deren digitale Schnittstel- hat jedes Peripheriebauteil einen Signaleingang,
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 473

Abb. 9.7 Digital-Analog-Wandler mit paralleler Mikroprozessor-Schnittstelle

einen Signalausgang, einen Adresseingang wie unterdrückt diese einmalige und nicht kontinu-
Chip Select und einen Takteingang. Damit kann ierliche Spitze (engl.: glitch) nicht, da es die
ein Mikrorechner mit vielen Peripherieschaltun- Impulsenergie nicht vom Verbraucher fernhält,
gen in beiden Richtungen kommunizieren. Die sondern sie lediglich auf einen längeren Zeitraum
Taktfrequenzen gehen weit in den MHz-Be- verteilt. Stattdessen läuft der Analogwert dem Di-
reich. gitalwert träge nach.
Für serielle und parallele Schnittstellen mit Dieser Effekt stört besonders bei schnellen
sehr hoher Geschwindigkeit wird auch das Low und hochauflösenden DA-Wandlern, wenn die
Voltage Differential Signalling (LVDS) ver- Verzögerungszeit im Zähler nicht mehr gegen die
wendet. Auf einer Zweidrahtleitung die mit Taktzeit vernachlässigt werden kann, und wenn
100  abgeschlossen ist, wechseln C3;5 mA und die Spitzen bei vielen Übergängen höherwerti-
3;5 mA. Der kleine Spannungshub gestattet Da- ger Bits mehr oder weniger ausgeprägt auftreten.
tenraten bis 1 GBit=s. Der rote Schaltungszusatz nach Abb. 9.8 blen-
det diese Störung aus; er heißt deshalb Deglitcher
(Abschn. 13.4).
9.1.6 Unerwünschte Spitzen beim Abbildung 9.8a zeigt ein typisches der vie-
Weiterzählen des digitalen len denkbaren Beispiele für die Anwendung eines
Eingangswertes Deglitchers, Abb. 9.8b das zugehörige Impuls-
bild. Ein digitaler Funktionsgenerator enthält die
Wechseln beim Weiterzählen des digitalen Ein- Funktionsdaten in einem PROM, dessen Adres-
gangswerts um ein LSB ein hochwertiges Bit und sen mit der positiven Taktflanke weitergezählt
viele niederwertige Bits ihren Wert, dann kann werden. Die Daten kommen je nach der Ge-
am Ausgang des DA-Wandlers für eine kurze schwindigkeit des Speichers 50 bis 200 ns nach
Zeit eine unverhältnismäßig große Störspitze auf- dem Anlegen der Adresse. In der Zwischen-
treten. Dies geschieht beispielsweise, wenn ein zeit liegen undefinierte Daten am Ausgang des
8-Bit-DA-Wandler zuerst die Zahl 127 und da- PROM. Der Speicherausgang muss eine halbe
nach 128 in den Analogwert wandeln soll und Taktperiode nach Anlegen der Adresse seinen
das MSB eins wird, während die niederwertigen stabilen Endwert erreicht haben. Er wird mit
Bits noch nicht auf null zurückgegangen sind. der negativen Taktflanke in den Zwischenspei-
Dadurch steht für kurze Zeit der Wert 255 an, den cher (Latch) übernommen und gleichzeitig an den
der DA-Wandler in den entsprechenden Analog- AD-Wandler ausgegeben, der die digitale Zahl di-
wert umsetzen will. Ein analoges Tiefpassfilter rekt in einen Analogwert umsetzt.
474 K. Bressler und J. Endres

Abb. 9.8 a Digitaler


Funktionsgenerator mit
DA-Wandler und Deg-
litcher (rot), PROM mit
Funktion. b Digitaler
Funktionsgenerator: Im-
pulsbild
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 475

Abb. 9.9 Fehler bei Datenwandlern. a Offsetfehler. b Verstärkungsfehler. c Nichtlinearität. d nicht monoton

Der DA-Wandler kann während der negativen für AD- und DA-Wandlung gleichermaßen zu-
Taktphase einschwingen, weil er nur während der treffen.
positiven Taktphase über den MOSFET auf den Dem im Prinzip unendlich fein auflösbaren
Ausgang durchgeschaltet wird. Der Deglitcher ist Analogsignal steht am anderen Ende des Wand-
auch in vielen anderen Schaltungen anzuwenden, lers ein Digitalsignal mit einer endlichen An-
wenn Speicher und DA-Wandler jeweils eine hal- zahl verschiedener Werte gegenüber. Der Bereich
be Taktperiode Zeit zum Einschwingen haben. zwischen zwei benachbarten Digitalwerten wird
Zum Ausgleich kann man einen Tiefpass benut- einem Digitalwert zugeordnet. Der dadurch ent-
zen, der nur die Taktfrequenz unterdrückt und stehende Fehler heißt Quantisierungsfehler. Er
deshalb eine kurze Laufzeit hat. Stört die Verzö- beträgt 1=2 LSB und wird mit zunehmender Auf-
gerung einer Taktperiode immer noch, so beginnt lösung (Anzahl der Bit) kleiner.
man zweckmäßigerweise einen Takt früher mit Abbildung 9.9 zeigt vier wichtige Fehler der
dem Auslesen des PROM. Die Steuerlogik muss Datenwandler. Sie sind zur besseren Darstellung
dann mehrere zeitlich aufeinanderfolgende Über- übertrieben groß und rot eingezeichnet. Beim
nahmesignale erzeugen. Offsetfehler in Abb. 9.9a hängen Digital- und
Analogwert linear und mit der richtigen Ver-
9.1.7 Fehler bei der Datenumsetzung stärkung zusammen. Eine Größe hat aber einen
Versatz, weshalb die Kennlinie nicht durch den
Wie bei allen nicht rein mathematischen Vorgän- Nullpunkt geht. Die Ursache des Fehlers kann
gen entstehen bei der Datenwandlung Fehler, die in den geschalteten Stromquellen oder im nach-
sich in vier Hauptgruppen einteilen lassen und die geschalteten Operationsverstärker liegen. Viele
476 K. Bressler und J. Endres

Abb. 9.10 Blockschaltbild eines Delta-Sigma DA-Wandlers

Datenwandler haben einen Korrekturanschluss signal, so dass die Übertragung nicht monoton
(Offset-Adjust), an dem man über ein Potenzio- ist. Tritt dieser Fall bei AD-Wandlern auf, dann
meter einen nach Betrag und Vorzeichen einstell- wird zwei unterschiedlichen Analogwerten ein
baren Strom einspeist, der diesen Fehler aufhebt Digitalwert zugeordnet; der zweite Digitalwert
oder wenigstens verringert. erscheint nie; er heißt fehlender Kode (engl.:
Beim Verstärkungsfehler nach Abb. 9.9b missing code).
weicht nur die Steigung der Übertragungskenn-
linie vom Idealwert ab. Dieser Fehler kommt
häufig bei monolithischen und multiplizierenden 9.1.8 Delta-Sigma DA-Wandler
DA-Wandlern vor, deren Widerstände im Leiter-
netzwerk untereinander genau sind, absolut aber Zum besseren Verständnis ist es zweckmäßig, zu-
oft bis zu 5% vom Nennwert abweichen. Um erst den Abschn. 9.2.5 zu lesen; denn darin ist das
diesen Wert ändert sich auch der in den nachfol- Delta-Sigma (˙ )-Verfahren anhand der AD-
genden Verstärker eingespeiste Strom. Weicht die Wandler ausführlich beschrieben. Grundsätzlich
Referenzspannung von ihrem Sollwert ab, ent- ist die DA-Wandlung nach dem ˙ -Verfahren
steht bei allen Wandlerarten ein Verstärkungsfeh- dieselbe, wie die ˙ -AD-Wandlung, nur in um-
ler. Der Rückführwiderstand des nachfolgenden gekehrter Reihenfolge. Ein Vergleich der beiden
Operationsverstärkers bestimmt die Verstärkung Blockschaltbilder Abb. 9.10 und 9.19 erleichtert
und damit die Steilheit der Übertragungskennli- das Verständnis.
nie. An ihm wird die Verstärkung zweckmäßiger- Aufgrund der günstigen Herstellkosten und
weise korrigiert. des realisierbar hohen Signal-Rauschabstandes
Bei der in Abb. 9.9c dargestellten Nichtlinea- sind diese Wandler bei der Verarbeitung digitaler
rität ist die Verstärkung nicht konstant, sondern Audio-Signale weit verbreitet.
ändert sich mit zunehmender Aussteuerung. Die Der Aufbau eines Delta-Sigma DA-Wandlers
Ursache kann ein schlechter Operationsverstärker ist in Abb. 9.10 dargestellt. Am Eingang des
sein, der dann durch einen besseren zu ersetzen Wandlers befindet sich ein digitales Interpolati-
ist. Ursachen, die direkt im Datenwandler liegen, onsfilter. Dieses Filter bekommt Datenworte mit
kann man nur bei dessen Herstellung korrigieren. einer Breite von N-Bits und einer Taktrate von 2 
Die größte zulässige Nichtlinearität wird im Da- fo geliefert. Mit diesen diskreten Abtastwerten
tenblatt angegeben. können somit Signale bis zu einer Bandbrei-
Der Ausschnitt der Übertragungsfunktion in te fo dargestellt werden. Das Interpolationsfilter
Abb. 9.9d zeigt eine Unstetigkeitsstelle. Obwohl erzeugt zusätzliche Abtastwerte, wodurch sich
das digitale Eingangssignal steigt, sinkt an die- die Taktrate von 2  fo auf fs  2  fo ver-
ser Stelle das entsprechende analoge Ausgangs- vielfacht. Dieser Vorgang kommt somit einer
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 477

Überabtastung des digitalen Signals gleich. Der ben Vorzüge wie der ˙ -AD-Wandler. Dank der
Delta-Sigma Modulator ist bei dem DA-Wandler überwiegend digital aufgebauten Komponenten
rein digital ausgeführt. Der Integrierer besteht aus ist dieser Wandler leicht in ICs zu integrieren. Ein
einem rückgekoppelten, N-Bit breiten Register, Großteil der Bausteine kann mit Logikgattern,
dessen Wert vom vorigen Takt auf den aktuellen beispielsweise auf einem FPGA, nachgebildet
aufaddiert wird. Der Komparator wird aus einem werden.
Block gebildet, der lediglich das höchstwertigste
Bit (most significant Bit, MSB) extrahiert. Für das
Beispiel eines 4-Bit breiten Datenwortes gibt der 9.2 Analog-Digital-Wandler
Komparator 0 für die Werte 0000 bis 0111 und (AD-Wandler)
1 für die Werte 1000 bis 1111 aus. Werden die
Die Notwendigkeit, analog erzeugte Daten digital
Abtastwerte im Zweierkomplement dargestellt,
weiter zu verarbeiten, zu speichern oder zu über-
so muss der Ausgang des Komparators noch in-
tragen, hat zur Entwicklung vieler verschiedener
vertiert werden. Das 1-Bit breite Register am
Verfahren zur Analog-Digital-Wandlung geführt.
Ausgang synchronisiert das Ausgangssignal auf
Fünf davon haben sich durchgesetzt und wurden
den Arbeitstakt fs . Danach folgt die Rückkopp-
zu hoher Reife gebracht. Der zuletzt entwickel-
lung des Ausgangssignals auf den Eingang. Der
te Delta-Sigma-Wandler bietet eine hohe Auflö-
Bit fill-Block ersetzt den DA-Wandler aus dem
sung, bis 24 Bit, bei mittlerer Geschwindigkeit.
analogen Delta-Sigma Modulator, der nur zwi-
Der Wandler lässt sich mit moderner Halbleiter-
schen den zwei Aussteuergrenzen V und VC
technologie in großen Stückzahlen preisgünstig
hin- und herschaltet. Der Bit fill-Block erfüllt
herstellen.
denselben Zweck in digitaler Form und wird des-
Die Tab. 9.1 zeigt eine Übersicht über die
halb auch als digital to digital converter (DDC)
vier wichtigsten AD-Wandlertypen. Alle AD-
bezeichnet. Der DDC setzt den Bitstrom am Aus-
Wandler können nur Gleichspannungen oder
gang des digitalen Delta-Sigma-Modulators mit
Spannungen umsetzen, die sich während der
jedem fs -Takt in ein N-Bit breites Digitalwort
Messung nicht verändern.
um. Am Beispiel eines 4-Bit breiten Datenwor-
tes liefert dieser Block somit 1111 wenn ei-
ne 1 an dessen Eingang anliegt und das Wort 9.2.1 Integrierende
0000 für den Fall das eine 0 am Eingang des Analog-Digital-Wandler
Blocks anliegt. Der Aufbau des digitalen Delta-
Sigma Modulators kann noch verringert werden, Beim integrierenden AD-Wandler erzeugt die un-
indem die beiden Register geschickt zu einem zu- bekannte Spannung Ux innerhalb einer genau
sammengelegt werden. Am Ausgang des Delta- festgelegten Zeit an einem Integrator einen Span-
Sigma Modulators folgt ein 1-Bit DA-Wandler nungsanstieg, der zu einer bestimmten Hilfs-
der wieder nur zwischen zwei Spannungswerten spannung UI führt, die dem Mittelwert der un-
hin- und herschaltet. Zum Schluss muss, ähn- bekannten Eingangsspannung proportional ist.
lich wie beim ˙-AD-Wandler, das durch die Anschließend legt man eine genau bekannte Re-
Rauschformung in den oberen Frequenzbereich ferenzspannung mit entgegengesetzter Polarität
verschobene Quantisierungsrauschen mit Hilfe an und misst die Zeit, in der der Integrator wie-
eines Tiefpassfilters entfernt werden. Hier han- der auf null läuft. Diese Zeit ist der unbekann-
delt es sich bereits um analoge Signale, wes- ten Spannung Ux proportional. Abbildung 9.11a
halb das Tiefpassfilter analog ausgeführt sein zeigt das Blockschaltbild eines integrierenden
muss. AD-Wandlers.
Der Delta-Sigma DA-Wandler nutzt dieselben Die unbekannte Eingangsspannung Ux kommt
Effekte wie der Delta-Sigma AD-Wandler, die über den Schutzwiderstand R1 zum Schalter S1 .
durch Überabtastung und Rauschformung entste- Der Kondensator C1 unterdrückt höherfrequente
hen. Ebenso besitzt der ˙ -DA-Wandler diesel- Störungen, und die antiparallel geschalteten Di-
478 K. Bressler und J. Endres

Tab. 9.1 Aufbau eines digitalen Systems zur Verarbeitung analoger Signale
Arbeitsprinzip Genauigkeit, Preis, Ausgang Anwendungsbeispiel
Schnelligkeit Stromverbrauch
Integrierender 12 bis 20 Bit Sehr preisgünstig, BCD mit Zif- Digitalmultimeter, langsame
AD-Wandler, 10 ms bis 1 s lang- 1 bis 100 mW fernanzeige, Spannungsmesser, für manuelle
Zweirampen- sam, binär, parallel, und automatische Messungen,
verfahren 3 12 –5 12 Dezimal- P-kompatible unempfindlich gegen überlagerte
stellen Busschnittstelle Störungen
AD-Wandler 8 bis 18 Bit, Preisgünstig bis binär, P- Schneller Datenwandler in der
nach dem Prinzip 0,3 bis 20 s, mittlere Preis- kompatible industriellen Steuer- und Re-
der sukzessiven 50 kS=s bis klasse, Busschnittstelle geltechnik, zur Kommunikation
Approximation 3 MS=s 5 bis 200 mW parallel und seriell und zur Überwachung schneller
SAR-Prinzip SPI und LVDS Vorgänge, störempfindlich
Mehrstufige AD- Binär, sehr Mittlere Preis- Binär Datenwandler zur Digitalisie-
Wandler nach dem schnell, klasse bis sehr CMOS parallel rung schneller Analogsignale,
Pipeline-Prinzip 8 bis 14 Bit teuer LDVS Video, Kommunikation, Radar
50 bis 3000 MS=s 0,1 W bis 1 W Direkte Digitalisierung von HF-
Selten bis 4 W und ZF-Signalen
Delta-Sigma-AD- 1 Bit bis 24 Bit, Preisgünstig, Binär, seriell und Datenwandler für Anwendungen
Wandler 40 MS=s bei 1 Bit Verbrauch gering parallel mit geringer Bandbreite (z. B.
Auflösung Audiotechnik), wegen einfacher
Analogtechnik und überwiegen-
der Digitaltechnik gut herstellbar

oden schützen den Eingang vor Überspannung. und der Komparator K die Integration stoppt. Der
Zu Beginn der Messung stellt die Steuerlogik den Zähler zählt die Takte während der Entladezeit
MOSFET-Schalter S1 in die Stellung 2, und die t2 , die umso länger dauert, je höher die angeleg-
Eingangsspannung gelangt über den sehr hoch- te Messspannung war. Die Anzahl der Messtakte
ohmigen Elektrometerverstärker V1 auf den Inte- ist der unbekannten Messspannung genau propor-
grator V2 . tional. Wegen der ansteigenden und abfallenden
Während des Messzyklus t1 , der immer eine Spannungsrampe heißt das Prinzip auch Zwei-
konstante Anzahl Perioden (2000 bis 10.000) des rampenverfahren (engl.: dual slope technique).
internen Systemtaktes (meist 100 kHz) dauert, Der große Erfolg dieses Wandler-Prinzips be-
wird die unbekannte Eingangsspannung über der ruht auf der einfachen und preisgünstigen Her-
Zeit integriert. Eine kleine Messspannung ver- stellung der Schaltung, die heute meist als mono-
anlasst einen langsamen Spannungsanstieg, eine lithische hochintegrierte CMOS-Schaltung ohne
große einen schnellen Anstieg. teuren Abgleich in Gebrauch ist. Beim integrie-
Dieser Anstieg ist in der Mitte der Abbil- renden AD-Wandler nach dem Zweirampenver-
dung 9.11b zu sehen. Der Komparator K stellt fahren geht letztlich nur die Referenzspannung
die Polarität der integrierten Spannung und da- in die Messung ein; alle anderen elektrischen
mit auch die Polarität der Eingangsspannung fest. Daten beeinflussen das Ergebnis nicht. Die Ar-
Nach Ablauf der Messzeit t1 stellt die Steuer- beitsweise und die Besonderheiten sind in dem
logik den Schalter S1 in die Stellung 3 oder 4. Blockschaltbild 9.10a und dem Impulsbild 9.11b
Dabei legt man statt der unbekannten Spannung erläutert.
Ux die Referenzspannung URef mit umgekehr- Durch den extrem hochohmigen Eingang des
ter Polarität über den Elektrometerverstärker an Elektrometerverstärkers V1 , Re > 1000 M,
den Integrator, wodurch die Ausgangsspannung fällt an R1 keine Spannung ab. Der Wandler
UI des Integrators mit konstanter Änderungsrate belastet die Messspannung oder den vorgeschal-
wieder zurückgeht. teten Spannungsteiler nicht. Der Verstärker V1
Der Entladevorgang des Integrators dauert so macht die Spannung niederohmig und speist den
lange, bis die Ausgangsspannung durch null geht Integrator aus V2 , R2 und C2 . Unabhängig von
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 479

Abb. 9.11 Integrierender Analog-Digital-Wandler. a Blockschaltbild, b interne Spannungen und Impulse

der Größe der Integrationszeitkonstanten  D in das Ergebnis eingeht wie die Taktfrequenz.
R2 C2 gilt: Das maßgebende Verhältnis t2 =t1 wird richtig
t1 t2 ausgegeben, wenn die Zeitdauer beider Rampen
Ux D URef
  mit der gleichen Frequenz gemessen wird. Nur
oder Kurzzeitfehler der Taktfrequenz zwischen beiden
Ux D URef t2 =t1 : (9.2) Rampen führen zu einem Messfehler (meist klei-
ner als 106 ). Die Werte des Widerstands R2
Die Werte von R2 und C2 beeinflussen die End- und des Kondensators C2 müssen während des
spannung des Integrators UI , aber nicht das Mess- Messvorgangs konstant bleiben und dürfen sich
ergebnis, da die Zeitkonstante  ebenso wenig nicht spannungsabhängig verändern. Für R2 wird
480 K. Bressler und J. Endres

Nullpunktkorrektur bezeichnet. Der Schalter S1


schließt in der Stellung 1 den Eingang des AD-
Wandlers kurz, die Eingangsspannung ist genau
null Volt, und der externe Eingang wird dabei
nicht belastet. Abhängig von der Summe der
Fehlspannungen der Verstärker V1 und V2 er-
hält der Komparator K eine positive oder negative
Differenzspannung am Eingang und gibt am Aus-
gang einen positiven oder negativen Strom ab, der
den Kondensator C3 über den jetzt geschlossenen
Schalter S2 soweit auflädt, bis der Komparator die
Abb. 9.12 Frequenzabhängige Störunterdrückung des in- Integratorspannung UI D 0 misst. Im Kondensa-
tegrierenden AD-Wandlers tor C3 ist jetzt die Summe aller Fehlspannungen
gespeichert. Sie werden vor der Integration vom
fehlerbehafteten Wert abgezogen, so dass nur die
meistens ein externer Metallschichtwiderstand, eigentliche Messgröße Ux integriert und damit
für C2 ein Wickelkondensator aus Polypropylen- bewertet wird. Da die Korrekturspannung des
folie benutzt, der mit 10% Toleranz preisgünstig Kondensators C3 vor jeder Messung nachgestellt
zu haben ist. wird, lassen sich Fehlspannungen durch Tempe-
Wechselspannungen, die der zu messenden ratur und Alterung stets ausregeln.
Gleichspannung überlagert sind, gehen mit ih- Damit bleibt die Referenzspannung URef als
rem Mittelwert in das Messergebnis ein. Wäh- einzige Fehlerquelle übrig, deren Einfluss voll
rend einer oder mehrerer ganzer Perioden der in das Messergebnis eingeht. Deshalb erzeugt
Störspannung ist dieser Mittelwert null. Wird man diese Spannung entweder mit einem tempe-
als Messzeit t1 ein ganzzahliges Vielfaches der raturkompensierten Referenzelement oder heute
Periodendauer der Netzwechselspannung (z. B. mit einem Band-Gap-Referenzelement, das lang-
n  20 ms) gewählt, dann lassen sich 50 Hz- und zeitstabil und wenig temperaturabhängig ist (Ab-
100 Hz-Störungen sehr gut unterdrücken. Bei ho- schn. 8.5.3). Über einen Spannungsteiler lässt
hen Störfrequenzen ist der Einfluss gering, da sich die Referenzspannung so einstellen, dass
der Mittelwert der vollständig erfassten Perioden die angelegte Eichspannung am Ausgang ange-
null ist und nur die unvollständige Restperiode als zeigt wird. Die Steuerlogik stellt alle Schalter
Fehler eingeht. Abbildung 9.12 zeigt die Störun- ein. Sie beginnt den Messzyklus mit der Null-
terdrückung des integrierenden AD-Wandlers als punktkorrektur, welche die 10- bis 20fache Dau-
Funktion der Störfrequenz f und der Integrati- er der vorkommenden Zeitkonstanten benötigen
onszeit T . darf. Dadurch sind zu Beginn des Messzyklus
Bei genauen Schaltungen mit Operationsver- alle Übergangsvorgänge abgeschlossen, und der
stärkern wird normalerweise großer Wert auf eine Wandler hat seinen statischen Zustand erreicht.
kleine Offsetspannung gelegt. In der Schaltung Die Steuerlogik gibt die Messzeit vor und schaltet
nach Abb. 9.11a sind zwei Operationsverstärker während des Rücklaufs des Integrators den Takt
und ein Komparator mit FET-Eingang in Reihe an den Eingang des Zählers. Der Speicher über-
geschaltet. Ihre Eingangsfehlspannungen (bis zu nimmt das Ergebnis und behält dieses während
2 mV je Verstärker) addieren sich im ungünstigs- des nächsten Messzyklus.
ten Fall zu mehr als 3% des kleinsten Messbe- Abhängig vom Verwendungszweck kann man
reichs. Ein Abgleich wäre teuer und würde nur die erzeugte Impulszahl weiter verarbeiten. Die
einen Teilerfolg bringen. meisten Digitalmultimeter arbeiten mit einem
Als Ausgleich wird vor jeder Messung auto- integrierenden AD-Wandler nach dem Zweiram-
matisch ein Nullabgleich durchgeführt. Er ist im pen-Verfahren. Hierbei zählt ein BCD-Zähler die
Spannungsdiagramm (Abb. 9.11b ganz links) als Impulse in der Rücklaufphase des Integrators (3)
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 481

Abb. 9.13 Analog-Digital-Wandler nach dem Prinzip der sukzessiven Approximation. a Blockschaltbild. b Impulsbild,
allmähliche Annäherung des Digitalwertes an den Analogwert durch Zuschalten aller notwendigen Bits

in Abb. 9.11b. Sein Ergebnis kann man spei- ne Taktperiode erforderlich. Die Wandlungszeit
chern und auf einfache Weise in die Ansteuer- beträgt je nach Typ 0,3 bis 20 s, die Genauig-
signale der meist verwendeten Sieben-Segment- keit 8 bis 18 Bit. Der erforderliche Aufwand, aber
Anzeigen umsetzen. Häufig hat das AD-Wandler- auch die erreichbare Geschwindigkeit ist wesent-
Bauteil auch die erforderlichen Dekoder und lich größer als beim integrierenden AD-Wandler;
Multiplexer, um direkt eine LCD-Sieben-Seg- die Genauigkeit ist oft geringer.
ment-Anzeige anzusteuern. Abbildung 9.13a zeigt das Blockschaltbild
Andere integrierende AD-Wandler haben dieses AD-Wandlers, Abb. 9.13b das zugehörige
einen binär kodierten Ausgang oder eine Mi- Impulsbild. Die zu wandelnde Analogspannung
kroprozessor-kompatible Bus-Schnittstelle. Die- wird am Eingang Ux oder Ue angelegt. Sie muss
ser Wandlertyp ist zwar langsam, aber hochauf- konstant sein und darf sich während der Wand-
lösend, genau, störfest und preisgünstig. Er ist lung um weniger als ein 1=2 LSB ändern. Die
der ideale Umsetzer für analoge Daten, die sich Analog-Digital-Umsetzung wird mit einem Start-
langsam ändern, beispielsweise bei der Tempera- Impuls eingeleitet. Der Zähler setzt über einen
turmessung. Dekoder und ein Register das MSB des ange-
schlossenen DA-Wandlers auf 1. Anschließend
vergleicht der Komparator die unbekannte Ana-
9.2.2 Analog-Digital-Wandler nach logspannung mit der des DA-Wandlers. Ist die
dem Prinzip der sukzessiven Spannung des DA-Wandlers größer als die ana-
Approximation loge Eingangsspannung, dann nimmt der Kom-
parator das MSB im Register wieder zurück,
Bei diesem Wandlertyp wird der Digitalwert null ist die DA-Wandler-Spannung dagegen kleiner,
um jeweils ein Bit, beginnend mit dem MSB, dann bleibt das Bit stehen.
vergrößert, gleichzeitig in den zugehörigen Ana- Mit der nächsten Taktperiode schaltet der
logwert gewandelt und mit dem unbekannten Zähler den Vergleich auf das nächste niedrige-
Analogwert verglichen. Das Ergebnis des Ver- re Bit weiter. Der Vergleich führt zum Setzen
gleichers nutzt man zur systematischen Annähe- oder Zurücksetzen des nächsten Bits. Nach je-
rung der beiden Werte, die erreicht ist, wenn auch dem Vergleich schalten Zähler und Dekoder auf
das LSB zum Vergleich herangezogen worden das nächste niedrigere Bit weiter. Auf diese Wei-
ist. Für jedes Bit ist ein Vergleich und damit ei- se wird die anfängliche Differenz zwischen dem
482 K. Bressler und J. Endres

Abb. 9.14 Analog-Digital-Wandler, SAR Prinzip, mit serieller Schnittstelle

Analogwert und dem von null ansteigenden Digi- Überlagerte Störungen oder Wechselspannungen
talwert immer kleiner, wobei nur jene Bits gesetzt können das Setzen eines Bit veranlassen, das im
werden, die zur Darstellung des Analogwerts er- Messwert null ist. Dieses Bit lässt sich im lau-
forderlich sind. fenden Umsetzvorgang nicht zurücknehmen; es
Ist das niederwertigste Bit (LSB) gesetzt, ver- verursacht einen Fehler, der erst bei der nächsten
riegelt der Wandler seinen Arbeitstakt und bleibt Wandlung korrigiert werden kann.
stehen. An der Verbindungsstelle des Registers Da dieser Wandler besonders bei schnell sich
mit dem DA-Wandler steht der fertig gewandelte ändernden Eingangsspannungen Verwendung
Wert an. Wie im Impulsbild zu erkennen ist, führt findet, kann hier ein zusätzlicher Fehler entste-
das Bit 2 zu einem zu hohen Analogwert und hen. Abhilfe schafft eine vorgeschaltete Abtast-
wurde deshalb wieder zurückgenommen. Die- und Halteschaltung (engl.: sample and hold),
se Kompensation des Analogwertes durch einen welche die Messspannung abtastet, und den Au-
zusammengesetzten Digitalwert heißt auch Wä- genblickswert während der Wandlung in einem
geverfahren. Kondensator speichert und so konstant hält.
Die Wandlungszeit eines AD-Wandlers setzt AD-Wandler nach dem Verfahren der suk-
sich aus den Laufzeiten im Digitalteil, dem Zäh- zessiven Approximation sind als mittelschnelle
ler und dem Register (SAR: Successive Appro- Wandler mit mittlerer bis hoher Genauigkeit (bis
ximation Register), der Einschwingzeit des DA- 18 Bit) in Gebrauch. Der gegenüber integrieren-
Wandlers und des Komparators zusammen. Die den Wandlern etwas höhere Preis rechtfertigt ih-
Summe dieser Zeiten ist für jedes Bit erforder- ren Einsatz nur bei Messspannungen, die sich mit
lich. Deshalb wählt man die Taktfrequenz so, der Zeit schnell ändern. Ein Beispiel ist die hoch-
dass innerhalb einer Periode ein Bit einschwin- präzise Digitalisierung von Tonfrequenzen zur
gen kann. Ein Wandler mit n-Bit-Auflösung be- Speicherung auf der Compact Disc; industriel-
nötigt deshalb mindestens n Takte zur Umset- le Steuerungen und die Kommunikationstechnik
zung. sind weitere wichtige Einsatzbereiche.
Das Wägeverfahren ist weit weniger fehler- Viele Analog-Digital-Wandler haben heu-
tolerant als das Zweirampenverfahren. In das te eine Mikroprozessor-kompatible Schnittstelle
Ergebnis gehen alle Fehler des DA-Wandlers, (Abb. 9.14). Über Steuersignale, Write und die
wie Referenzspannungsfehler, Nichtlinearitäten, dekodierte Adresse des AD-Wandlers wird dieser
Offset, Temperatur- und Verstärkungsfehler ein. angesprochen. Das Ergebnis wird häufig mit ei-
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 483

nem Takt als serielles Wort aus dem AD-Wandler


in den Mikrorechner geschoben.
AD-Wandler mit paralleler Datenausgabe sind
über ein normalerweise hochohmiges Tri-State-
Ausgangsregister mit dem Datenbus des Rech-
ners verbunden. Mit Hilfe der Steuersignale holt
der Rechner das Ergebnis des AD-Wandlers ab.
Nur der aktivierte Wandler kann auf den Bus
schreiben.

9.2.3 Abtast- und Halteschaltung


(Sample and Hold)

Abbildung 9.15a verdeutlicht die Schaltung ei-


nes Abtast- und Halteverstärkers (engl.: Sample
and Hold Amplifier). Während der Abtastphase
ist der Schalter S geschlossen. Eine positive Ein-
gangsspannung Ue am invertierenden Eingang
des Verstärkers V1 verursacht einen negativen
Ladestrom IL in den Knoten am Eingang des
Verstärkers V2 , der über den Kondensator C mit
einem Anstieg der Ausgangsspannung Ua des
Verstärkers V2 kompensiert wird. Erreicht die
Ausgangsspannung den Wert der Eingangsspan-
nung, dann wird der Ladestrom IL null und die
Schaltung ist in Ruhe. Wird jetzt der Schalter S
geöffnet, dann wirken sich weitere Änderungen
der Eingangsspannung nicht mehr auf den Aus-
gang aus. Abb. 9.15 Sample-and-Hold-Schaltung. a Schaltung.
Solange keine Ladung aus dem Kondensa- b Ein- und Ausgangsspannung im Abtastmodus. c Ein-
tor abfließt, bleibt die niederohmige Ausgangs- und Ausgangsspannung im Nachlaufmodus
spannung der Sample-and-Hold-Schaltung erhal-
ten. Der Schalter ist meistens ein sehr hochoh-
mig sperrender MOSFET. Der Verstärker V2 hat QUIST , von 1889 bis 1963) mindestens doppelt
ebenfalls einen FET-Eingang; hierdurch vergrö- so hoch wie die höchste zu erfassende Frequenz
ßert sich die Entladezeitkonstante beträchtlich. sein, Abschn. 1.6.6, Abtasttheorem. Dieses Ver-
In Abb. 9.15b wird das Eingangssignal nur fahren heißt deshalb auch Nyquist-Sampling. Der
kurz abgetastet und danach bis zum nächsten unvermeidbare Fehler zwischen dem analogen
Abtastvorgang gehalten. In Abb. 9.15c folgt der Eingangssignal und dem quantisierten digita-
Abtastkreis der Eingangsspannung dauernd und len Ausgangssignal äußert sich als breitbandiges
wird nur kurz während der Wandlungsphase un- Quantisierungsrauschen, das mit zunehmender
terbrochen. Durch das ständige Nachlaufen ist die Auflösung des AD-Wandlers abnimmt. Das Ab-
Schaltung auf den jeweiligen Augenblickswert tasten mit einem Vielfachen der Nyquistfrequenz
eingeschwungen und lässt sich jederzeit ohne wird als Oversampling (Abschn. 9.2.5) bezeich-
Wartezeit halten und abfragen. net; es vergrößert die Bandbreite des Rauschens,
Wird eine Wechselspannung abgetastet, dann verringert aber dessen Pegel. Das nachfolgen-
muss die Abtastfrequenz nach Nyquist (H. N Y- de Tiefpassfilter sperrt den größten Teil dieses
484 K. Bressler und J. Endres

Abb. 9.16 Spektrum des Quantisierungsrauschens bei


verschiedenen Abtastfrequenzen

breitbandigen Rauschens. Abbildung 9.16 zeigt


das Rauschspektrum bei verschiedenen Abtast-
frequenzen.

9.2.4 Parallel-Analog-Digital-Wandler

Die bisher beschriebenen Analog-Digital-Wand-


ler haben den Analogwert durch kontinuierliches Abb. 9.17 Parallel-AD-Wandler (flash converter)
Hochzählen oder systematisches Suchen des zu-
gehörigen Digitalwertes ermittelt. Hierzu waren
mehrere nacheinander ablaufende Vorgänge er- meter-Kode (engl.: bar-code). Der nachfolgen-
forderlich, die Zeit erforderten. de Dekoder setzt die 2n  1-Eingänge parallel
Beim Parallel-Wandler (engl.: flash conver- und nicht getaktet in einen n-Bit-Binärkode um.
ter) wird der richtige Digitalwert innerhalb einer Setzt man zuerst in einen einschrittigen Kode,
Taktperiode ermittelt und parallel ausgegeben. beispielsweise den Gray-Kode (Abschn. 11.2.1),
Abbildung 9.17 zeigt das Blockschaltbild eines und danach in den üblichen Binär-Kode um, dann
6-Bit-Parallel-AD-Wandlers. bleiben mögliche Fehler durch überlagerte Stör-
Beim n-Bit breiten AD-Wandler wird die Re- spannungen während der Wandlung auf ein LSB
ferenzspannung über einen Spannungsteiler aus beschränkt.
2n  1 gleichen Widerständen R und einem obe- Die sehr kurze Wandlungszeit zwischen 1 und
ren und unteren Widerstand R=2 geteilt. Die 20 ns erfordert einen hohen Aufwand – gemessen
abgreifbaren Spannungen liegen jeweils in der an den bisher vorgestellten Verfahren. Trotz-
Mitte der in 2n gleiche Bereiche geteilten Refe- dem erhält man nur eine mäßige Genauigkeit.
renzspannung. 2n Komparatoren vergleichen die Ein 6-Bit-Wandler hat einen Spannungsteiler
unbekannte Eingangsspannung gleichzeitig mit aus 65 hochgenauen Widerständen, 64 Kompa-
den 2n möglichen Schwellen. ratoren und einen Dekoder mit 64 Eingängen.
Alle Komparatoren, deren Referenzspannung Ein 8-Bit-Wandler benötigt einen Teiler mit 256
kleiner als die Eingangsspannung ist, geben am Ausgängen, 256 parallel betriebene Kompara-
Ausgang eine logische „1“ ab, die Komparatoren toren und einen entsprechend großen Dekoder.
mit höherer Referenzspannung geben eine logi- Die Verlustleistung kann ein Watt betragen und
sche „0“ ab. Der abgegebene Kode heißt Thermo- muss über das Keramikgehäuse der integrierten
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 485

Abb. 9.18 12 Bit AD-Wandler in drei Schritten nach dem Pipelineverfahren

Schaltung abgeführt werden. Durch den hohen 9.2.4.1 AD-Wandler nach dem
Aufwand ist der einfache Parallelwandler heute Pipelineverfahren
bedeutungslos. Beide Nachteile vermeidet das sogenannte Pipe-
Mit Parallel-Wandlern digitalisiert man heute lineverfahren. Auch hier wird der Analogwert in
Messwerte, Video- und Radardaten sowie zahl- mehreren Stufen in den digitalen Bereich gewan-
reiche andere mit großer Bandbreite anfallende delt. Jede Stufe hat ihren eigenen Schaltungs-
Analogdaten, um sie ohne Genauigkeitsverlust zu teil, ein Zeitverlust wird vermieden. Weiterhin
speichern und in digitalen Rechnern zu verarbei- ist die Auflösung jeder Stufe ein Bit größer, als
ten. Da einerseits die 8-Bit-Auflösung für viele unbedingt erforderlich. Diese redundanten Bits
Anwendungen nicht ausreicht, andererseits aber erlauben in der digitalen Addierschaltung eine
jedes weitere Bit Auflösung den Aufwand ver- Fehlerkorrektur.
doppelt, wurden andere Wege zur Verbesserung Abbildung 9.18 zeigt das Blockschaltbild
gesucht. eines 12-Bit-AD-Wandlers. Diese Wandler er-
Der erste Ansatz hierzu ist das Zwei-Stufen- reichen Abtastraten zwischen 50 MS=s und
Verfahren. Dabei wird zuerst ein Grobwert ermit- 3000 MS=s. Wandler, die nach dem Verfahren
telt, der in einem zweiten Wandlungsschritt durch der sukzessiven Approximation arbeiten, sind um
den Feinwert ergänzt wird. Für einen 10-Bit AD- den Faktor 1000 langsamer.
Wandler wird zuerst ein Grobwert mit 5 Bit Auf- Der Analogwert liegt am Eingang des Sample
lösung ermittelt, das High Byte. Das erfordert and Hold 1 an, wird mit einem kurzen Impuls Ü1
einen Parallel-Wandler mit 32 Elementen. Die übernommen und steht dann als konstanter Wert
Differenz zwischen dem Analogwert und dem zur Wandlung bereit. Der folgende Impuls Ü2
normalerweise kleineren neuen digitalen Grob- veranlasst die Wandlung im ADC1, die Weiter-
wert wird im analogen Bereich um den Faktor 32 gabe an den DAC1 und in die Digitalschaltung,
verstärkt und mit dem vorhandenen Parallel-AD- die alle Teilergebnisse zusammenfasst. Der Sub-
Wandler in den digitalen Bereich zum Low Byte trahierer 1 bildet die Differenz zwischen dem
gewandelt. Statt der 1023 Komparatoren genü- genauen Eingangswert und dem inzwischen ge-
gen jetzt 32. Die Wandlungszeit wird aber mehr wandelten High Byte aus DAC1. Am Ausgang
als verdoppelt. Tritt durch kleine Ungenauigkei- des Subtrahierers 1 steht jetzt der Wert der sieben
ten beim niederwertigsten Bit des Grobwertes ein niederwertigsten Bits als Analogwert. Er wird
Fehler auf, dann entsteht ein großer Ausreißer. im Verstärker V1 um den Faktor 16 verstärkt
486 K. Bressler und J. Endres

Abb. 9.19 Blockschaltbild eines Delta-Sigma AD-Wandlers 1. Ordnung

mit dem nächsten Halteimpuls Ü1 in den Sam- rate wichtig. Ein nicht sinusförmiges Signal be-
ple and Hold 2 übernommen. Wenn der Wandler steht aus der Grundschwingung und Vielfachen
1000 MS=s umsetzen kann, darf dieser Vorgang davon, das sind die Harmonischen. Soll die Si-
höchstens 0,9 ns dauern. gnalform richtig erfasst werden, dann muss auch
Die zweite Stufe ist genauso aufgebaut wie die die höchste relevante Harmonische mindestens
erste. Der Wert im Speicher Sample and Hold 2 zweimal während ihrer Periode abgetastet wer-
wird im ADC2 in den digitalen Bereich gewan- den (Abschn. 1.6.6: Abtasttheorem). Eine kleine
delt, im DAC2 in den analogen Bereich gebracht Gesamtverzögerung kann dagegen meistens ver-
und vom ganzen Restwert aus SCH 2 subtra- kraftet werden.
hiert. Da der Verstärker trotz der Verschiebung
um 5 Bit nur um v D 16 verstärkt, hat das höchst-
wertige Bit aus dem ADC2 den gleichen Wert 9.2.5 Analog-Digital-Wandler nach
wie das niederwertigste aus ADC1. Beim Zusam- dem Delta-Sigma-Verfahren
menführen der digitalen Daten kann durch diese
Redundanz eine kleine Ungenauigkeit des ersten Erst die Fortschritte in der Halbleitertechnolo-
AD-Wandlers korrigiert werden. gie machten Wandlerbausteine nach diesem Prin-
In einer letzten Stufe wird das Ergebnis des zip möglich. Das Verfahren an sich ist schon
Subtrahierers 2 mit v D 16 verstärkt und im weitaus länger bekannt. Wenn Signale mit nied-
letzten ADC3 gewandelt. Auch hier wird ein Bit riger Bandbreite digitalisiert werden, vereinen
doppelt ermittelt und zur Fehlerkorrektur ver- diese günstigen Wandler eine hohe Dynamik mit
wendet. großer Flexibilität.
Während der Wandlung des mittleren Bytes In Abb. 9.19 ist der Aufbau eines Delta-Sigma
im ADC2 und im DAC2 verarbeitet die erste (˙ ) Wandlers erster Ordnung dargestellt. Die
Stufe schon den nächsten Abtastwert. Mit je- Hauptbestandteile sind der Delta-Sigma Modu-
dem Takt wird ein niederwertigeres Byte verar- lator und das digitale Filter mit Decimator. Das
beitet, bis der ganze Analogwert verarbeitet ist Eingangssignal in , das im Spannungsbereich von
und parallel ausgegeben wird. Die drei Teilwerte Vref in CVref liegen sollte, gelangt
eines 12 Bit Analogwertes werden zeitlich nach- über den Summationspunkt zunächst auf einen
einander verarbeitet und zusammengeführt. Die Integrierer und danach auf einen Komparator.
Durchlaufzeit beträgt 3 bis 4 Takte, aber mit je- Der Komparator bildet zusammen mit dem 1-
dem Takt wird ein neuer Wert gewandelt. Bei der Bit Speicher einen AD-Wandler mit der Auf-
Abtastung schneller Signale ist eine hohe Abtast- lösung von einem Bit bei der Abtastfrequenz
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 487

Abb. 9.20 Eingangssignal und dazugehöriges Ausgangssignal eines Delta-Sigma Modulators

fs und liefert so einen seriellen Bitstrom (Bit- Für einen 8-Bit AD-Wandler mit einer Vollaus-
stream) am Ausgang des Modulators. Der Bit- steuerung von 2;5 V ergibt sich so ein Quantisie-
strom wird auch auf einen 1-Bit DA-Wandler rungsintervall von q D 9;77 mV. Der Quantisie-
geführt, der je nach Wert des Bitstroms lediglich rungsfehler macht sich im Spektrum als weißes,
zwischen den beiden Spannungswerten Vref breitbandiges Rauschen, dem Quantisierungsrau-
und CVref hin- und herschaltet. Das Ausgangs- schen bemerkbar. Das Signal-Rausch-Verhältnis
signal des DA-Wandlers wird am Summations- (signal to noise ratio, SNR) ist somit vom Quan-
punkt vom Eingangssignal in abgezogen. Durch tisierungsintervall abhängig und kann über die
diese Rückkopplung muss der Mittelwert des Rauschleistung berechnet werden. Für ein voll-
DA-Wandler Ausgangssignals gleich dem Mittel- ausgesteuertes Sinussignal ist die Formel
wert des Eingangssignals in sein. Das mittlere
Ausgangssignal des Modulators gibt somit das SNR D N  6;02 dB C 1;76 dB (9.4)
Eingangssignal wieder. Je näher das Eingangs- gebräuchlich. Würde an einem 16-Bit AD-Wand-
signal an der positiven Aussteuergrenze CVref ler ein Sinussignal anliegen, das den komplet-
liegt, desto mehr Einsen enthält der Bitstrom bzw. ten Eingangsspannungsbereich ausnutzt, also voll
je näher das Eingangssignal an der negativen ausgesteuert ist, dann ergäbe sich ein Störspan-
Aussteuergrenze Vref liegt, desto mehr Nullen nungsabstand von SNR D 98;1 dB. Dieser theo-
enthält der Bitstrom am Ausgang des Modulators retische Wert gilt allerdings nur für einen idealen
(Abb. 9.20). AD-Wandler. Je nach Bauart und Halbleitertech-
Wie bereits in Abschn. 9.1.7 erwähnt wur- nologie wird das Signal-Rausch-Verhältnis in der
de entstehen bei jeder analog-digital-Wandlung Praxis stets niedriger ausfallen.
Quantisierungsfehler. Das analoge kontinuierli- Berechnet man nun das SNR für einen Delta-
che Signal wird nach der Digitalisierung durch Sigma Wandler, ist das Ergebnis zunächst ent-
eine endliche Anzahl an Werten dargestellt und täuschend. Mit der Auflösung von einem Bit
so nicht exakt abgebildet. Der Fehler, der da- ergibt sich ein SNR von nicht einmal 8 dB. Des-
bei entsteht, bewegt sich zwischen ˙ q2 . Wobei q halb macht man sich beim Delta-Sigma Wandler
für das Quantisierungsintervall, den kleinstmög- die Effekte der Überabtastung (Oversampling) zu
lichen Spannungshub des AD-Wandlers, steht: nutze. Gleichung 9.4 gilt für den Fall, dass die
Abtastfrequenz fs doppelt so groß ist, wie die
u maximale Signalfrequenz fs D 2  fo . Wie be-
qD (9.3)
2N reits in Abschn. 1.6.6 erwähnt wurde, stellt dies
488 K. Bressler und J. Endres

a b

Abb. 9.21 Verbesserung des Signal-Rausch-Verhältnisses durch Überabtastung. a Abtastung mit fs D 2  fo , b Über-
abtastung mit fs  2  fo

die minimal nötige Abtastfrequenz dar, damit bis fo verringert wurde, kann die Abtastrate nun
das Abtasttheorem nicht verletzt wird. Wird die wieder auf 2  fo verringert werden. Dazu werden
Abtastfrequenz aber größer gewählt, bleibt der im Decimator Abtastwerte einfach ausgelassen.
Betrag der Rauschleistung zwar gleich, allerdings Möchte man mit einem Delta-Sigma Wandler
wird die Rauschleistung dann über eine größere die Auflösung eines 16-Bit AD-Wandlers errei-
Bandbreite verteilt. Somit sinkt der Rauschpegel chen, so müsste die Überabtastrate OSR D 415
im Frequenzband des Eingangssignals und das mal so hoch sein, wie die Abtastrate des ent-
SNR steigt an. Die Gl. 9.4 kann deshalb erwei- sprechenden 16-Bit Wandlers. Für eine Anwen-
tert werden zu dung im Audiosignalbereich beträgt die gewöhn-
liche Abtastrate bereits 48 kHz. Die Abtastrate
SNR D N  6;02 dB C 1;76 dB des Delta-Sigma Wandlers müsste dann irgend-
 
fs wo im Tera-Hertz Bereich liegen. Alleine mit
C 10  lg dB : (9.5)
2fo Überabtastung wäre der Delta-Sigma Wandler
so nicht praktikabel. Nun kommt aber noch ein
fs
Das Verhältnis 2f o
wird als Überabtastrate (over- Effekt zum Tragen, der vom bisher kaum er-
sampling ratio, OSR) bezeichnet. Durch Überab- wähnten Integrierer (Abb. 9.19) hervorgerufen
tastung lassen sich so zusätzliche Bits an Auflö- wird. Der Integrierer wirkt nämlich für das Ein-
sung gewinnen. Pro Bit muss die Überabtastrate gangssignal wie ein Tiefpass, für das Quantisie-
vervierfacht werden. rungsrauschen aber wie ein Hochpass. Dadurch
Aus Abb. 9.21 wird jetzt auch deutlich, wozu wird die Verteilung des Quantisierungsrauschens
das digitale Filter im Delta-Sigma Wandler be- verändert und der Großteil des Rauschens wird
nötigt wird (Abb. 9.19). Um die Rauschleistung hin zu höheren Frequenzen verschoben. Dieser
im interessierenden Frequenzbereich zu mindern, Vorgang wird als Rauschformung (noise sha-
muss dieser Bereich mit Hilfe eines digitalen Fil- ping) bezeichnet. In Abb. 9.22 ist das Spektrum
ters herausgefiltert werden. Dem digitalen Filter am Ausgang des Delta-Sigma Modulators ab-
ist dann noch ein Decimator nachgeschaltet, der gebildet. Durch Überabtastung und Rauschfor-
die Datenrate des Bitstreams verringert. Denn der mung bleibt nur noch ein geringer Anteil der
Bitstrom und damit auch das digitale Filter wer- Rauschleistung im erforderlichen Frequenzbe-
den mit der Abtastfrequenz fs getaktet. Da aber reich, der mit Hilfe des digitalen Filters extrahiert
die Bandbreite durch das digitale Filter bereits wird.
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 489

fig in Diagrammen, wie in Abb. 9.23 dargestellt.


Um mit einem Delta-Sigma Wandler die Auf-
lösung eines 16-Bit AD-Wandlers zu erreichen,
wäre demnach ein Wandler mit einem Modula-
tor zweiter Ordnung bei einer Überabtastrate von
ca. 170 nötig. Auf den Bereich der Audiosignale
bezogen, wo wie bereits erwähnt eine Abtastrate
von 48 kHz gebräuchlich ist, wäre mit dem Delta-
Sigma Wandler eine Abtastrate von ca. 8,2 MHz
erforderlich.
Durch die notwendige Überabtastung stellen
Delta-Sigma Wandler nur geringe Anforderungen
an das analoge Tiefpassfilter vor dem Eingang
Abb. 9.22 Spektrum am Ausgang des Delta-Sigma Mo- des Wandlers. Oft genügt dafür ein simpler RC-
dulators
Tiefpass. Delta-Sigma Modulatoren sind auch
ohne das nachgeschaltete digitale Filter und den
Der Effekt der Rauschformung kann noch ver- Decimator erhältlich. So kann die digitale Nach-
stärkt werden, indem Delta-Sigma Modulatoren bearbeitung beispielsweise in einen FPGA verla-
höherer Ordnung eingesetzt werden. Dabei wer- gert werden und an wechselnde Anforderungen
den mehr als ein Integrierer und Rückkopplungs- ohne Probleme angepasst werden. Zudem wer-
pfad in den Modulator eingebaut. Da es durch die den dadurch Baugröße und Kosten eingespart.
Rückkopplung aber zu Schwingungen kommen Ein weiterer Vorteil der Delta-Sigma Wandler
kann, kann die Ordnung nicht beliebig erhöht ist die serielle Übertragung der Messwerte. Da-
werden. Am Markt sind heute Delta-Sigma Mo- durch können galvanisch getrennte AD-Wandler
dulatoren bis zur fünften Ordnung verfügbar. ohne großen Aufwand realisiert werden. Denn für
Das theoretische Signal-Rausch-Verhältnis ei- die Übertragung ist nur jeweils eine Datenleitung
nes Sigma-Delta Wandlers ist letztendlich von für den Bitstrom und für den Takt notwendig,
der verwendeten Überabtastrate und von der Ord- die mithilfe von Optokopplern oder kapazitiver
nung des Modulators abhängig. Es kann somit Kopplung bis in den kV-Bereich galvanisch ge-
mathematisch berechnet werden und wird häu- trennt werden können.

Abb. 9.23 Signal-Rausch-


Verhältnis bezogen auf
die Überabtastrate bei
Delta-Sigma Wandlern
490 K. Bressler und J. Endres

Abb. 9.24 Aufbau eines digitalen Systems zur Verarbeitung analoger Signale

9.3 Digitale Filter

Die Bausteine zur Wandlung von digitalen in ana-


loge Signale und umgekehrt wurden bereits in
den Abschn. 9.1 und 9.2 vorgestellt. In diesem
Abschnitt soll nun die eigentliche Verarbeitung
der digitalen Signale genauer betrachtet werden,
denn in der heutigen Zeit nimmt die digita-
le Signalverarbeitung (DSV) einen immer grö-
ßeren Stellenwert ein. Besonders gebräuchlich
sind dabei die selektiven Systeme um bestimmte Abb. 9.25 Blockschaltbild eines Systems 2. Grades in
Frequenzbereiche hervorzuheben oder zu unter- der Direktform II
drücken. Die Vorteile gegenüber analogen Um-
setzungen sind überzeugend. Denn im Vergleich
dazu bieten digitale Implementierungen eine hö- Was die Schaltungstechnik angeht, mag diese
here Genauigkeit und Reproduzierbarkeit, mehr Verarbeitungskette auf den ersten Blick aufwän-
Flexibilität, aber auch eine geringere Störemp- dig erscheinen. Dank der zunehmenden Integrati-
findlichkeit. onsdichte ist es jedoch so, dass heute selbst klei-
Der typische Aufbau eines Systems zur digi- ne Mikroprozessoren die nötige Peripherie zum
talen Verarbeitung von kontinuierlichen Signa- Wandeln der Signale bereits integriert haben. So-
len ist in Abb. 9.24 dargestellt. Es besteht aus mit müssen oft nur die analogen Filter auf der
folgenden Komponenten: Zu Beginn ein Tief- Ein- und Ausgangsseite im Layout ergänzt wer-
pass mit der Grenzfrequenz fg , der das analoge den. Bei anspruchsvollen Systemen, in denen die
Eingangssignal x.t/ auf eine endliche Band- Signalverarbeitung in einem DSP (Digitaler Si-
breite begrenzt. Die Abtast- und Halteschaltung gnalprozessor) oder FPGA (Field Programmable
(Sample and Hold) tastet das Signal mit der Ab- Gate Array) stattfindet, sind die Wandler meist
tastfrequenz fA ab. Um das Abtasttheorem (Ab- wiederum als separate ICs über standardisier-
schn. 1.6.6) nicht zu verletzen muss fA
2fg te Schnittstellen in die Signalkette einzubinden.
gewählt werden. Der A=D-Wandler liefert das Wegen der vielfältigen Einsatzmöglichkeiten die-
quantisierte Signal xŒk
D x.t D kT / und gibt ser Signalverarbeitungs-Bausteine macht es oft
dies über eine serielle oder parallele Schnittstelle keinen Sinn einen bestimmten Wandlertyp mit in
an den Baustein zur eigentlichen digitalen Signal- den Chip zu integrieren.
verarbeitung weiter. Dabei handelt es sich ent-
weder um einen Prozessor (z. B. DSP) oder um
einen Logikbaustein (z. B. FPGA, ASIC) welche 9.3.1 Grundlagen und Eigenschaften
in beiden Fällen das bearbeitete Signal als Aus- zeitdiskreter LTI-Systeme
gangsfolge yŒk
dem D=A-Wandler bereitstellen.
Der Tiefpass am Ende dient dazu, das zeit- und Lineare zeitinvariante (LTI D linear time invari-
wertdiskrete Spannungssignal vom D=A-Wand- ant) Systeme stellen in der digitalen Signalverar-
ler zu interpolieren bzw. zu glätten, damit letzt- beitung, wie auch im Analogen, die bedeutendste
endlich das zeitkontinuierliche Signal y.t/ am Gruppe an Filtern dar. Deren Realisierung für ein
Ausgang anliegt. digitales System 2. Ordnung zeigt Abb. 9.25.
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 491

Abb. 9.26 Abbildung der s-Ebene auf die z-Ebene bei der z-Transformation

In der analogen Schaltungstechnik setzen sich der systembeschreibenden Differenzialgleichung


Filter aus maximal drei unterschiedlichen Bau- gewonnen werden. Durch diese Transformation
elementen zusammen: Widerstände, Kondensato- wird die Differenzialgleichung in den Spektral-
ren und Spulen. Handelt es sich dabei um ein ak- bereich überführt, wodurch sich die Lösung die-
tives Filter kommt meist noch ein Operationsver- ser Gleichung wesentlich einfacher finden lässt.
stärker hinzu. Solche Systeme mit konzentrierten Die Übertragungsfunktion, die wichtigste Größe
Energiespeichern werden durch Differenzialglei- eines LTI-Systems, beschreibt dann das System-
chungen mit konstanten Koeffizienten beschrie- verhalten im Frequenzbereich und lässt sich mit
ben. Zeitdiskrete LTI-Systeme werden ebenfalls Hilfe von rationalen Funktionen mit reellen Koef-
aus maximal drei verschiedenen Grundelementen fizienten ausdrücken. Die Pendants im Digitalen
aufgebaut: Addierer, Multiplizierer und zeitliche bilden einerseits die bereits erwähnten Differen-
Verzögerung. Letztere ist in dem Blockschaltbild zengleichungen und statt der Laplace-Transfor-
in Abb. 9.25 durch ein Quadrat mit einem T darin mation wendet man hier für gewöhnlich die z-
gekennzeichnet und realisiert eine Verzögerung Transformation an. Dadurch wird die s-Ebene im
um jeweils einen Abtasttakt. Im Zeitbereich wer- Laplace-Bereich anhand der Substitution z D
den diese Systeme mit linearen Differenzenglei- e sT auf die z-Ebene abgebildet (Abb. 9.26). Die
chungen definiert. Für das Ausgangssignal yŒk
imaginäre Achse der s-Ebene wird so auf den
ergibt sich demnach folgender Zusammenhang: Einheitskreis in der z-Ebene abgebildet und da-
mit fällt der Bereich aus der s-Ebene für  < 0 in
das Innere und der Bereich für  > 0 ins Äußere
x1 Œk
D xŒk
 a1  x1 Œk  1
 a2  x1 Œk  2
des Einheitskreises der z-Ebene.
(9.6) An dieser Stelle wird auf eine ausführlichere
Erläuterung der z-Transformation verzichtet und
xŒk
D x1 Œk
C a1  x1 Œk  1
C a2  x1 Œk  2
stattdessen auf weiterführende Literatur verwie-
(9.7) sen. Lediglich der Verschiebungssatz, der für die

yŒk
D b0  x1 Œk
C b1  x1 Œk  1
folgenden Betrachtungen wichtig ist wird kurz er-
läutert. Dieser besagt, dass die z-Transformierte
C b2  x1 Œk  2
(9.8) eines um n Arbeitstakte verzögerten Signals mit
dem Faktor z n multipliziert wird:
Im Analogen kann mit Hilfe der Laplace-
Transformation die Übertragungsfunktion aus Z.xŒk  n
/ D z n  X.z/ : (9.9)
492 K. Bressler und J. Endres

Wendet man nun die z-Transformation auf die Daraus kann wiederum
Gl. 9.7 und 9.8 an, erhält man zunächst:  der Betragsfrequenzgang bzw. Amplituden-
gang jH.ej!T /j
Z.xŒk
/ D X1 .z/ C a1  z 1  X1 .z/
 die Phasenverschiebung
C a2  z 2  X1 .z/ (9.10)
Z.yŒk
/ D b0  X1 .z/ C b1  z 1  X1 .z/ b.!/ D  argfH.ej!T /g
C b2  z 2  X1 .z/ (9.11)
 und die Gruppenlaufzeit (die Laufzeit der
woraus sich letztendlich die Übertragungsfunkti- Hüllkurve) g .!/ D db.!/
d!
on für das System aus Abb. 9.25 herleiten lässt: abgeleitet werden. Häufig werden Systeme mit
linearer Phase bzw. konstanter Gruppenlaufzeit
Y.z/ b0 C b1  z 1 C b2  z 2 gefordert, denn zusammen mit konstantem Am-
H.z/ D D
X.z/ 1 C a1  z 1 C a2  z 2 plitudengang wird so eine verzerrungsfreie Über-
(9.12)
tragung der Signale möglich. Die Bedingungen
In der allgemeinen Form wird die Übertragungs- für ein linearphasiges LTI-System sind erfüllt,
funktion von zeitdiskreten LTI-Systemen oft in wenn
einer der folgenden Schreibweisen angegeben:  alle Pole im Ursprung der z-Ebene liegen,
Y.z/ sprich z1l D 0 und
H.z/ D
X.z/  die Nullstellen auf dem Einheitskreis liegen
b0 C b1  z 1 C    C bm  z m oder in Paaren am Einheitskreis gespiegelt
D sind, so dass z0u D 1=z0 
.
a0 C a1  z 1 C    C an  z n
Pm Die erste Bedingung kann nur von nichtrekur-
bk  z k siven Systemen mit n D 0 eingehalten werden.
D PkD0 n l
lD0 a l  z Mit den gespiegelten Paaren kompensieren sich
Qm deren Phasenanteile und es bleibt nur noch der li-
b0 .z  z0k /
D z mn QnkD1 (9.13) neare Phasengang der Polstellen und die Sprünge
a0 lD1 .z  z1l /
um  bei den Nullstellen auf dem Einheits-
Der Zähler entspricht dem nichtrekursiven Teil kreis.
mit den reellen Koeffizienten bk und den Nullstel- Eine weitere wichtige Eigenschaft eines di-
len z0k . Der Nenner repräsentiert den rekursiven gitalen Filters mit rekursivem Anteil, d. h. mit
Anteil des Systems und besitzt die ebenfalls reel- Rückkopplung des Ausgangssignals, ist die Sta-
len Koeffizienten al und die Polstellen z1l . Meist bilität des Systems. Die Stabilitätsbedingung ist
werden die Koeffizienten durch a0 dividiert, so dann erfüllt, wenn für die Impulsantwort h0 Œk

dass sich für a0 D 1 ergibt. Der Grad oder die gilt:


Ordnung des Systems ist durch den Größeren der C1
X
beiden Parameter m oder n gegeben. jh0 Œk
j < 1 (9.16)
Transformiert man die Übertragungsfunktion kD1
zurück in den Zeitbereich erhält man daraus die
Diese Forderung wird eingehalten, wenn alle Pol-
Impulsantwort:
stellen der Übertragungsfunktion z1l innerhalb
1
h0 Œk
D Z fH.z/g (9.14) des Einheitskreises liegen, wenn also gilt:

Für die Charakterisierung eines Filters ist der


jz1l j < 1 8l : (9.17)
Frequenzgang maßgeblich. Der komplexe Fre-
quenzgang kann aus der Übertragungsfunktion
für z D ej!T bestimmt werden: Nachdem nun die grundlegenden Eigenschaften
Pm zeitdiskreter LTI-Systeme bekannt sind, werden
bk  ej!kT in den folgenden Abschnitten die zwei bekann-
H.ej!T / D PkD0
n j!lT
(9.15)
lD0 al  e testen Vertreter digitaler Filter vorgestellt.
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 493

9.3.2 Infinite Impulse Response-Filter


(IIR-Filter)

Filter mit unendlich langer Impulsantwort wer-


den in der digitalen Signalverarbeitung als IIR-
(Infinite Impulse Response) oder auch als re-
kursive Filter bezeichnet. Charakterisierend für
diesen Typ ist die Rückkopplung des Ausgangs-
signals, d. h. die Ausgangswerte haben einen Ein-
fluss auf die folgenden Ausgangswerte.

9.3.2.1 Strukturen
Die Übertragungsfunktion eines IIR-Filters ist
bereits mit Gl. 9.13 gegeben. Die Struktur des Abb. 9.27 Blockschaltbild eines IIR-Filters 2. Grades in
der Direktform I
Filters entspricht der wie in Abb. 9.25, ist aber
in Abb. 9.27 noch einmal in der Direktform I
dargestellt. Die Funktion ist in beiden Darstellun-
gen dieselbe, jedoch wird in der Direktform I nur aber durch geeignete Wahl der Koeffizienten re-
ein Akkumulator benötigt. Im Gegenzug werden duziert bzw. vermieden werden können.
dafür mehr Verzögerungsglieder verlangt. Neben Dennoch tragen die Pole bzw. die Rückkopp-
diesen beiden Formen sind noch Kombinationen lung zur Filterwirkung bei und deshalb sind IIR-
aus kaskadierten und parallelen IIR-Einzelfiltern Filter generell leistungsfähiger als die im nächs-
(SOS D Second Order Structure) sowie die ten Abschnitt beschriebenen FIR (Finite Impuls
Lattice- und Wellendigitalfilter gebräuchlich. Die Response) -Filter. Anders formuliert benötigt ein
Form in der das Filter realisiert wird entschei- IIR-Filter weniger Rechenaufwand als ein ent-
det zum einen über den Implementierungsauf- sprechendes FIR-Filter.
wand, wie Anzahl der Addierer, Multiplizierer, Wie bereits in Abschn. 9.3.1 erwähnt, sind re-
Verzögerungen sowie die Bitbreite der Addie- kursive LTI-Systeme und damit IIR-Filter nicht
rer. Sie bestimmt aber auch die Empfindlichkeit linearphasig bzw. besitzen diese Filter keine
gegenüber Quantisierungsfehlern, die zwangs- konstante Gruppenlaufzeit. Diese Eigenschaft er-
läufig entstehen weil die Koeffizienten nur mit scheint zunächst als ein gravierender Nachteil
begrenzter Genauigkeit im Signalverarbeitungs- wobei unbedingt untersucht werden sollte wie gut
system wiedergegeben werden können. Für Im- ein linearer Phasengang mit dem jeweiligen Fil-
plementierungen von Filtern höheren Grades in terentwurf angenähert werden kann.
Festkomma Arithmetik sollte deshalb stets ei-
ne kaskadierte Struktur mehrerer Filter niedriger 9.3.2.3 Entwurfsmethoden
Ordnung der Direktform I oder II vorgezogen Digitale Filter werden heutzutage fast ausschließ-
werden. lich computergestützt entwickelt. Für IIR-Filter
existieren zum Entwurf von Standard-Verläufen
9.3.2.2 Eigenschaften (Tiefpass, Hochpass, Bandpass, Bandsperre) eine
Durch den rekursiven Anteil können IIR-Filter Reihe an Programmen, wohingegen für allge-
prinzipiell instabil werden. Deshalb muss beim meine Frequenzverläufe wiederum nur wenige
Entwurf darauf geachtet werden, dass die Pole Programme zur Auswahl stehen. Die wohl be-
der Übertragungsfunktion innerhalb des Einheits- kannteste Sammlung an Werkzeugen dafür ist
kreises in der z-Ebene liegen (vgl. Gl. 9.17). MATLAB im Zusammenspiel mit der Signal Pro-
Durch die Rückkopplung kann es allerdings auch cessing Toolbox, die eine Fülle an Werkzeugen
zu unerwünschten Signalschwingungen am Aus- zum Entwurf von frequenzselektiven Systemen
gang, sogenannten Grenzzyklen kommen, die bereitstellt.
494 K. Bressler und J. Endres

Grundsätzlich gibt es zwei unterschiedliche maximale Welligkeit im Durchlassbereich (Rp)


Ansätze mit denen die Koeffizienten und der in dB und die Angaben zu den Eckfrequenzen
Grad eines IIR-Filters ermittelt werden können: für den Durchlass- und Sperrbereich (Wn, Wp,
Der erste besteht darin, das Filter direkt im Dis- Wst) eingetragen werden. Die Frequenzangaben
kreten zu entwerfen. MATLAB bietet für diesen sind auf die maximal darstellbare Frequenz, der
Zweck den Yule-Walker-Algorithmus. Mit dessen halben Abtastfrequenz, normiert. Durch Angabe
Hilfe werden die Koeffizienten mit der Metho- zusätzlicher Parameter können auch andere Arten
de des kleinsten Fehlerquadrates (Least-Square- frequenzselektiver Systeme, wie beispielsweise
Verfahren) soweit optimiert, dass der resultieren- ein Bandpass oder eine Bandsperre entworfen
de Amplitudengang bestmöglich dem gewünsch- werden.
ten Verlauf entspricht. Die zweite Möglichkeit Da der Grad des Filters am Anfang oft nicht
beruht darauf, dass die klassischen analogen Fil- bekannt ist, liefert MATLAB für dieses Problem
ter eine große Ähnlichkeit zu den digitalen rekur- auch einen Satz an Funktionen. Für das Cauer-
siven Filtern aufweisen. Deshalb liegt es nahe, Filter lautet der Aufruf:
das Filter mit Hilfe der bekannten Verfahren im
Analogen zu entwerfen und anschließend mit- [n,Wp]=ellipord(Wp,Ws,Rp,Rs)
tels Transformation ins Digitale zu überführen.
Die bekannteste Transformation zwischen der Als Ergebnis liefert diese Funktion den minima-
zeitkontinuierlichen und der zeitdiskreten Dar- len Grad des Filters der nötig ist um die Anfor-
stellung ist die bilineare Transformation. Da- derungen bezüglich des gewünschten Amplitu-
bei wird die j!-Achse der komplexen s-Ebene dengangs zu erfüllen. Der zweite Rückgabewert
auf den Einheitskreis in der z-Ebene abgebildet (Wp) der Informationen zur Eckfrequenz enthält
(Abb. 9.26) und somit eine Übertragungsfunkti- kann direkt in die oben genannte Funktion zur
on H.j!/ eines analogen Filters in eine Über- Berechnung der Koeffizienten eingesetzt werden.
tragungsfunktion für ein digitales Filter H.z/ Die Parameter Wp und Ws enthalten die normier-
überführt. ten Eckfrequenzen mit folgender Beziehung:
Für die zweite Variante bietet MATLAB ei-
ne Reihe von Funktionen mit denen die Über- 2  fpass ˝p 2  fstop ˝s
Wp D D Ws D D
tragungsfunktion je nach gewünschter Filtercha- fA  fA 
rakteristik, beispielsweise nach Butterworth oder (9.18)
Tschebyscheff, berechnet werden kann. Es stehen Die Bedeutung dieser Werte wird anhand des
vier bekannte Typen zur Auswahl deren spezi- Toleranzschemas in Abb. 9.28 noch einmal ver-
fische Eigenschaften bereits ausreichend in der deutlicht.
Literatur beschrieben sind:
 [b,a]=butter(n,Wn) Beispiel 9.1
Butterworth-Filter Es soll ein Cauer-Filter entworfen werden, das
 [b,a]=cheby1(n,Rp,Wp) die folgenden Anforderungen erfüllt: fpass D
Tschebyscheff-Filter Typ I 7 kHz, Rp D 0;4 dB, fstop D 7;4 kHz, Rs D
 [b,a]=cheby2(n,Rs,Wst) 60 dB. Die Abtastfrequenz des Systems be-
Tschebyscheff-Filter TypII trägt fA D 44;1 kHz. Gesucht wird der re-
 [b,a]=ellip(n,Rp,Rs,Wp) sultierende Betragsfrequenz- und Phasengang
Cauer-Filter sowie die Gruppenlaufzeit. Außerdem soll das
Dabei sind die Rückgabewerte bereits die ge- System auf Stabilität untersucht werden.
suchten Filterkoeffizienten bk und al ; denn die
fA=44100; % Abtastfrequenz
Transformation in die z-Ebene wird von der fp=7000; % Eckfrequenz Durchlassbereich
Funktion gleich mit durchgeführt. Als Übergabe- fs=7400; % Eckfrequenz Sperrbereich
Wp=2*fp/fA;
parameter müssen der gewünschte Filtergrad (n), Ws=2*fs/fA;
die Dämpfung im Sperrbereich (Rs) in dB, die Rp=0.4; % Welligkeit Durchlassbereich in dB
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 495

Abb. 9.28 Toleranzsche-


ma für ein Tiefpassfilter

Rs=60; % min. Sperrdämpfung in dB riert werden können. Das Pol-Nullstellen Dia-


gramm kann mit dem Befehl zplane(b,a)
[n,Wn]=ellipord(Wp,Ws,Rp,Rs); % Filtergrad und
[b,a]=ellip(n,Rp,Rs,Wn); % Koeffizienten direkt ausgegeben werden. Es zeigt, dass alle
% berechnen Pole innerhalb des Einheitskreises liegen. Eine
[H,w]=freqz(b,a,1024); % komplexen Frequenzgang
genauere Auswertung nach Gl. 9.17 würde dies
[gd,wgd]=grpdelay(b,a,1024); % und Gruppenlauf- bestätigen. Das Filter kann somit als stabil be-
% zeit ermitteln trachtet werden. Das Filter besitzt die Ordnung
% Ausgabe m D n D 10.
subplot(2,1,1);
plot(w/pi*fA/2,20*log10(abs(H)));
grid on;
title(’Betragsfrequenzgang’);
xlabel(’Frequenz [Hz]’); 9.3.3 Finite Impulse Response
ylabel(’Amplitude [dB]’); (FIR)-Filter
axis([0,25e3,-120,30]);
set(gca,’YTick’,[-120 -90 -60 -30 0 30]);
set(gca,’YTickLabel’,str2mat(’-120’, ’-90’, Die Abkürzung FIR steht in diesem Fall für Fi-
’-60’, ’-30’, ’0’, ’30’));
subplot(2,1,2); nite Impulse Response und bezeichnet digitale
[AX,H1,H2]=plotyy(w/pi*fA/2,-unwrap (angle(H)), Filter mit endlicher Impulsantwort. Diese Fil-
w/pi*fA/2,gd); grid on;
title(’Phasengang und Gruppenlaufzeit’);
ter besitzen keine Rückkopplung, also keinen
xlabel(’Frequenz [Hz]’); rekursiven Anteil, wodurch sich eine Reihe an
set(get(AX(1),’Ylabel’),’String’, positiven Eigenschaften ergeben. Deshalb nimmt
’Phase [rad]’);
set(get(AX(2),’Ylabel’),’String’, diese Gattung einen wesentlich größeren Stellen-
’Gruppenlaufzeit [1/f_{A}]’); wert in der digitalen Signalverarbeitung ein als
die im vorigen Kapitel vorgestellten IIR-Filter.
Sie sind auch deshalb so wichtig, weil FIR-Filter
Das MATLAB Skript liefert die Ergebnisse im zeitkontinuierlichen Bereich nicht realisierbar
zum Entwurf, welche in Abb. 9.29 zu sehen sind.
sind. Der Phasengang ist bis ca. 5 kHz annä-
hernd linear. Von da an bis zur oberen Eckfre-
quenz des Durchlassbereichs, 7 kHz, steigt die 9.3.3.1 Strukturen
Gruppenlaufzeit allerdings enorm an. Für die An- Nachdem kein rekursiver Anteil vorhanden ist,
wendung müsste deshalb noch untersucht werden werden die Koeffizienten al D 0 bzw. n D 0, wo-
ob die dadurch entstehenden Verzerrungen tole- durch sich die Übertragungsfunktion vereinfacht
496 K. Bressler und J. Endres

Abb. 9.29 Ergebnisse des Entwurfs für ein IIR-Filter

zu
X
m
H.z/ D bk  z k : (9.19)
kD0
Die Impulsantwort h0 Œk
enthält deshalb zu-
sätzlich zu den Verzögerungen nur die Koeffizi-
enten des Filters. Sie besitzt auch eine endliche
Dauer mit der Länge m C 1.
Abb. 9.30 Blockschaltbild eines FIR-Filters 2. Grades in
Aus der Übertragungsfunktion lässt sich für der Direktform II
ein System 2. Grades die Differenzengleichung
herleiten:
FIR-Filter können auch wie IIR-Filter als Ein-
yŒk
D b0  xŒk
C b1  xŒk  1
C b2  xŒk  2
: zelfilter kaskadiert, d. h. seriell aneinander ge-
(9.20) schaltet werden, um insgesamt einen höheren
Das Blockschaltbild dazu ist in Abb. 9.30 in der Filtergrad zu erzielen. Damit wirkt man den
Direktform II, auch transponierte Form genannt, Quantisierungsfehlern der Koeffizienten entge-
dargestellt. Die Direktform I ist im Prinzip wie gen, jedoch nicht in dem Maß wie es bei den
die in Abb. 9.27 nur ohne den Rückkopplungs- IIR-Filtern der Fall ist.
pfad in der unteren Hälfte. Beide Formen sind in Es ist auch möglich ein FIR-Filter in einer
ihrer Wirkung identisch, jedoch bieten alle zwei Lattice-Struktur zu realisieren. Bei adaptiven Fil-
bestimmte Vorteile bei der Implementierung. So tern und Prädiktionsfiltern findet diese Form Ver-
können bei der Direktform II gleiche Koeffizien- wendung.
ten zusammengefasst werden wodurch Multipli-
zierer eingespart werden. Bei der Direktform I 9.3.3.2 Eigenschaften
wird hingegen nur ein Akkumulator mit m C 1 Dadurch dass die FIR-Filter keinen rekursiven
Eingängen benötigt. Anteil besitzen, hat deren Übertragungsfunktion
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 497

einen m-fachen Pol im Ursprung der z-Ebene Bei der Fenstermethode tastet man die Impuls-
und somit ist das Stabilitätskriterium aus Gl. 9.17 antwort eines idealen Filters ab und weil diese un-
immer erfüllt. Diese Filter können also nie in- endlich lang wäre schneidet man einen Teil davon
stabil werden, ganz egal welche Koeffizienten bk mit Hilfe einer Fensterfunktion heraus. Da die
verwendet werden. Das heißt, dass selbst Quan- Impulsantwort eines FIR-Filters die Filterkoeffi-
tisierungseinflüsse keine Auswirkungen auf die zienten abbildet, können die Filterkoeffizienten
Stabilität haben und deshalb auch keine Grenzzy- relativ leicht bestimmt werden. Die dabei ver-
klen am Ausgang des Filters auftreten. Aus die- wendete Fensterfunktion (z. B. Hamming, Hann,
sem Grund können mit FIR-Filtern ohne großen Blackman, Kaiser) spielt eine entscheidende Rol-
Aufwand auch adaptive Filter realisiert werden. le für die späteren Eigenschaften, beispielswei-
Dabei handelt es sich um selbsteinstellende Fil- se die Sperrdämpfung des FIR-Filters. Aus der
ter, die ihre Koeffizienten während des Betriebs Systemtheorie sind eine Reihe idealer Impulsant-
anhand eines bestimmten Algorithmus an eine worten bekannt und so können Standard-Verläufe
veränderte Situation anpassen. ohne Probleme mit Hilfe dieser Methode entwor-
Wenn man die Bedingungen für linearphasige fen werden.
LTI-Systeme aus Abschn. 9.3.1 noch einmal be- Beim Frequenzabtastverfahren tastet man den
trachtet, so lässt sich feststellen, dass diese mit gewünschten komplexen Frequenzgang an m C 1
FIR-Filtern problemlos eingehalten werden kön- äquidistanten Stellen ab und die Abtastwerte in-
nen. Die Koeffizienten müssen lediglich so ge- terpretiert man als Ergebnis einer diskreten Fou-
wählt werden, dass die zweite Bedingung erfüllt rier Transformation (DFT). Im Anschluss führt
wird. Es sind also exakt linearphasige Systeme man eine Rücktransformation mit Hilfe der in-
realisierbar, die dann eine konstante Gruppen- versen DFT durch und erhält die Impulsant-
laufzeit aufweisen. wort h0 Œk
, aus der man wieder die Koeffizienten
Wie bereits in Abschn. 9.3.2.2 erwähnt wur- für das FIR-Filter ablesen kann. In den Abtast-
de, sind FIR-Filter nicht so leistungsfähig wie werten stimmt der Frequenzgang des FIR-Filters
IIR-Filter. In früheren Zeiten, in denen Rechen- exakt mit der Vorgabe überein. Der Verlauf da-
leistung nur sehr begrenzt verfügbar war, war dies zwischen lässt sich jedoch nicht beeinflussen.
oft ein Kriterium, das zur Implementierung eines Die Standard-Entwurfsmethode für FIR-Filter
IIR- statt FIR-Filters führte. Durch den Fort- ist die Tschebyscheff-Approximation mit Hilfe
schritt in der Mikroelektronik spielt dies heute des Parks-McClellan- und des Remez-Algorith-
aber kaum noch eine Rolle. Allerdings könn- mus. Diese Methode beseitigt die Einschränkun-
te dann bei manchen Anwendungen die erhöhte gen der zuvor vorgestellten Verfahren und somit
Gruppenlaufzeit interessant werden. Um mit ei- ist es möglich linearphasige Filter mit nahezu
nem FIR-Filter dieselbe Selektivität wie mit ei- beliebigem Frequenzverlauf zu entwerfen. Aller-
nem IIR-Filter zu erzielen muss der Grad des dings kommt man dabei um den Einsatz eines
FIR-Filters höher sein als der des entsprechenden Entwurfsprogramms nicht herum. In MATLAB
IIR-Filters. Ein höherer Filtergrad führt zwangs- steht dazu die Funktion
läufig zu einer höheren Durchlaufzeit.
b = firpm(n,f,a,w)

9.3.3.3 Entwurfsmethoden zur Verfügung, die die Koeffizienten bk für den


Für den Entwurf von FIR-Filtern gibt es meh- Zähler liefert. Drei Arten von Filtern können
rere Methoden mit denen sogar Frequenzgänge mit dieser Funktion entworfen werden: Selek-
entworfen werden können, die keinem Standard- tive Filter, Hilbert-Transformatoren und Diffe-
Verlauf entsprechen. Die bekanntesten wären renzierer. Dabei steht der Parameter n für den
zum einen die Fenstermethode, das Frequenzab- gewünschten Filtergrad, f ist ein Vektor mit
tastverfahren und die Tschebyscheff-Approxima- normalisierten Frequenzpunkten, a enthält die
tion. gewünschten Amplituden passend zu den Fre-
498 K. Bressler und J. Endres

quenzpunkten und mit w kann zusätzlich eine set(gca,’YTickLabel’,str2mat(’-120’, ’-90’,


’-60’, ’-30’, ’0’, ’30’));
Gewichtung der einzelnen Frequenzbänder ange-
subplot(2,1,2);
geben werden. Der benötigte Filtergrad kann mit [AX,H1,H2]=plotyy(w/pi*fA/2,-unwrap(angle(H)),
der Funktion w/pi*fA/2,gd); grid on;
title(’Phasengang und Gruppenlaufzeit’);
[n,fo,ao,w] = firpmord(f,a,dev,fs) xlabel(’Frequenz [Hz]’);
set(get(AX(1),’Ylabel’),’String’,
abgeschätzt werden. Neu sind hier die Parame- ’Phase [rad]’);
set(get(AX(2),’Ylabel’),’String’,
ter dev, der die maximal zulässige Abweichung ’Gruppenlaufzeit [1/f_{A}]’);
oder Rippel in den Frequenzbändern als lineare set(get(AX(2),’Ylabel’),’String’,
Werte angibt, und fs für die Abtastfrequenz. Die ’Gruppenlaufzeit [1/f_{A}]’);

Rückgabewerte können direkt in die zuerst ge-


nannte Funktion firpm eingesetzt werden. Die Ergebnisse des Entwurfs sind in Abb. 9.31
zusammengefasst. Deutlich zu erkennen ist der li-
Beispiel 9.2 neare Phasengang im Durchlassbereich und die
Der Tiefpass aus Beispiel 9.1 soll nun als FIR- damit verbundene konstante Gruppenlaufzeit. Al-
Filter implementiert werden. Dazu müssen die lerdings wird dazu ein Filtergrad von m D 251
Koeffizienten bk ermittelt werden. Außerdem benötigt! Im Vergleich zu dem IIR-Filter aus Bei-
ist wieder der Betragsfrequenz- und Phasen- spiel 9.1 ist das mehr als das 25-fache!
gang sowie die Gruppenlaufzeit gesucht. Die
ermittelten Werte sowie der dazu nötige Fil-
tergrad sollen mit denen aus Beispiel 9.1 ver- 9.3.4 Implementierung digitaler Filter
glichen werden.
In den beiden vorigen Abschnitten wurden Me-
fA=44100; % Abtastfrequenz
fp=7000; % Eckfrequenz Durchlassbereich
thoden aufgezeigt, wie die Übertragungsfunktion
fs=7400; % Eckfrequenz Sperrbereich eines Filters aus einem gewünschten Frequenz-
Rp=0.4; % Welligkeit Durchlassbereich in dB gang berechnet werden kann. Weil diese Be-
Rs=60; % min. Sperrdämpfung in dB
rechnung der Koeffizienten durch ein Programm
f=[fp,fs]; % Vektor für die Eckfrequenzen erfolgt, das meist mit wesentlich höherer Genau-
m=[1, 0]; % Vektor für die Amplituden
% -> Tiefpass
igkeit arbeitet als sie im Zielsystem vorhanden
ist, wird das implementierte Filter letztendlich
% max. Welligkeit für Durchlass- und nicht den exakt selben Frequenzgang aufweisen
% Sperrbereich in lineare Werte umrechnen
wie den zuvor berechneten. Durch die quantisier-
dev=[(10^(Rp/20)-1)/(10^(Rp/20)+1), ten Koeffizienten und die quantisierte Arithmetik
10^(-Rs/20)];
im realen System könnte das Filter die gefor-
[n,fo,ao,w]=firpmord(f,m,dev,fA); % Filtergrad derte Spezifikation nicht mehr einhalten oder
b=firpm(n,fo,ao,w); % und Koeffizienten im schlimmsten Fall sogar instabil werden. Ent-
% berechnen
scheidend für die Auswirkungen ist neben der
a=1; % Nenner der Übertragungsfunktion Auswahl des Filtertyps und der Struktur auch das
[H,w]=freqz(b,a,1024); % komplexen Frequenzgang
Format der Zahlendarstellung mit der das reale
[gd,wgd]=grpdelay(b,a,1024); % und Gruppenlauf- System arbeitet. Denn bei der Darstellung in ei-
% zeit ermitteln nem Gleitkommaformat sind die Auswirkungen
% Ausgabe der Quantisierung nicht so groß wie bei der Dar-
subplot(2,1,1); stellung in einem Festkommaformat, bei denen
plot(w/pi*fA/2,20*log10(abs(H)));
aufgrund der kleinen Dynamik noch Maßnahmen
grid on;
title(’Betragsfrequenzgang’); bei einer Übersteuerung getroffen werden müs-
xlabel(’Frequenz [Hz]’); sen und oft auch noch eine Skalierung nötig ist.
ylabel(’Amplitude [dB]’);
axis([0,25e3,-120,30]); Für die Untersuchung bzw. Simulation der ge-
set(gca,’YTick’,[-120 -90 -60 -30 0 30]); nannten Effekte sind Modelle in der Literatur be-
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 499

Abb. 9.31 Ergebnisse des Entwurfs für ein FIR-Filter mit MATLAB

schrieben (z. B. Programme wie die Fixed-Point deutet, dass die einzelnen Arbeitsschritte für die
Toolbox für MATLAB). Realisierung eines digitalen Filters (verzögern,
Wie bereits erwähnt, ist die Wahl der Filter- multiplizieren und addieren) nacheinander aus-
struktur ausschlaggebend dafür wie gut die reale geführt werden. Um eine schnelle Bearbeitung
Implementierung dem theoretischen Entwurf ent- der Befehle zu gewährleisten, besitzen heutige
spricht. Die berechneten Koeffizienten der Über- Signalprozessoren eine Harvard-Architektur, bei
tragungsfunktion können aber nur für die Direkt- der Programm- und Datenspeicher getrennt und
form I und II unverändert verwendet werden. Soll deshalb auch über separate Daten- und Adress-
das Filter in einer anderen Struktur implementiert leitungen an die Recheneinheit angebunden sind.
werden, muss die Übertragungsfunktion auf die Dadurch können Befehle und Daten innerhalb ei-
Zielstruktur umgerechnet werden. Dies geschieht nes einzigen Zyklus gleichzeitig geladen werden.
wie der gesamte Entwurfsprozess in der Regel Der wichtigste Befehl für die digitale Signal-
computergestützt und so stehen z. B. in MATLAB verarbeitung und folglich auch für die digitalen
für die Umwandlung die folgenden Funktionen Filter ist der sogenannte MAC (multiply and
bereit: accumulate)-Befehl. Damit wird ein Wert mit ei-
 [k,v]=tf2latc(b,a) nem anderen multipliziert und das Ergebnis auf
IIR Lattice-Struktur einen anderen Wert aufsummiert:
 [sos,g]=tf2sos(b,a)
kaskadierte Struktur A C B  C ! A:

9.3.4.1 Implementierung Damit dieser elementare Befehl besonders


auf einem Prozessor schnell ausgeführt werden kann enthält die Re-
Auf einem Prozessor werden Daten anhand eines cheneinheit eines DSP neben den nötigen Ope-
Programms, also einer Reihe vorgegebener, auf- randen Registern einen Multiplizierer und einen
einanderfolgender Befehle verarbeitet. Das be- Akkumulator. Solche Multiplikationsakkumula-
500 K. Bressler und J. Endres

Abb. 9.32 Schematische Darstellung eines Ringpuffers

toren sind zunehmend auch auf kleinen Mikro-


controllern zu finden, wodurch selbst anspruchs-
volle Algorithmen zur Signalverarbeitung nicht
nur den DSPs vorenthalten sind.
Ein weiterer wichtiger Bestandteil für die Im-
plementierung eines digitalen Filters auf einem
Prozessor ist das Ringpuffer-Konzept. Für die
Verzögerung der einzelnen Abtastwerte werden
Abb. 9.33 Programmablauf zur Impementierung eines
diese nicht etwa im Datenspeicher verschoben,
FIR-Filters
was eine Unmenge an Lese- und Schreiboperatio-
nen zur Folge hätte, sondern man aktualisiert le-
diglich einen Zeiger der den Anfang des Ringpuf- ein Ausgangswert yŒk
berechnet wurde wird der
fers markiert und ersetzt den ältesten Wert durch älteste Abtastwert xŒk  m
durch einen neuen
den neuesten: Für ein FIR-Filter m-ten Grades Abtastwert xŒk C 1
ersetzt und der Zeiger auf
werden mC1 Abtastwerte (xŒk
: : : xŒk m
) be- diesen, der nun den Anfang bildet, gerichtet.
nötigt (Abb. 9.32). Der Zeiger zeigt stets auf den Der Programmablauf für ein FIR-Filter in der
jüngsten Abtastwert. Von dort beginnend werden Direktform I ist beispielhaft in Abb. 9.33 darge-
die m C 1 Abtastwerte im Uhrzeigersinn aus- stellt. Während der Initialisierung wird zunächst
gelesen. Nach einem Durchlauf bzw. nachdem die Hardware konfiguriert, Adressen und Kon-
9 Digital-Analog (DA)-, Analog-Digital (AD)-Wandler und digitale Filter 501

Abb. 9.34 Möglicher Aufbau eines FIR-Filters in der Direktform I auf einem FGPA

stanten werden gesetzt und die Koeffizienten teil: aufgrund der sequenziellen Abarbeitung der
werden in den Datenspeicher geladen. Danach Befehle ist die maximale Bandbreite des gesam-
beginnt der eigentliche Filter Algorithmus. Ein ten Systems davon abhängig mit welcher Ge-
neuer Abtastwert wird an die Stelle des Ring- schwindigkeit diese Befehle ausgeführt werden.
puffers gelegt auf die der Zeiger des Ringpuffers Das heißt für die Berechnung eines Ausgangs-
zeigt. Anschließend wird der Inhalt des Akku- wertes hat der Prozessor nur die Zeitspanne zur
mulators gelöscht. Nun startet die Schleife zur Verfügung die zwischen zwei Abtastwerten liegt.
Berechnung eines Ausgangswertes yŒk
. Dazu Deshalb kann der Grad des Filters auch nicht
wird der erste Koeffizient b0 und der erste Abtast-beliebig hoch gewählt werden, weil sonst die
wert xŒk
in die Operanden Register geladen und Ausgangswerte nicht so schnell berechnet wer-
im Anschluss der MAC-Befehl ausgeführt. Als den können wie neue Abtastwerte eintreffen.
nächstes wird der Koeffizient b1 und der Abtast- Für viele Anwendungen ist die Signalverar-
wert xŒk  1
in die Operanden Register geladen beitung auf einem Prozessor jedoch völlig aus-
und wieder der MAC-Befehl ausgeführt. Diese reichend (z. B. Audiosignalverarbeitung). Wenn
Schritte werden nun solange wiederholt bis al- aber die Algorithmen aufwändiger oder die Da-
le Abtastwerte im Ringpuffer verarbeitet wurden. tenraten höher werden, kann man entweder die
Im Anschluss wird der Inhalt des Akkumulators Geschwindigkeit des Prozessors, d. h. seine Takt-
gerundet und somit steht der Ausgangswert yŒk
frequenz, erhöhen, was bis zu einem bestimmten
fest, der dann ausgegeben oder weiterverarbei- Grad auch möglich ist. Man kann die Berech-
tet werden kann. Der Zeiger, der den Anfang nungen, die für einen Ausgangswert nötig sind,
des Ringpuffers markiert, wird dekrementiert, al- auch parallel durchführen. Für letztere Möglich-
so auf den ältesten Abtastwert xŒk  m
gelegt keit sind Logikbausteine wie ASICs oder FPGAs
und die Endlosschleife beginnt von Neuem da- bestens geeignet, wobei die Funktionsstruktur im
mit, dass der Anfang des Ringpuffers xŒk  m
FPGA, ähnlich wie das Programm eines Pro-
mit einem neuen Abtastwert xŒk C 1
überschrie- zessors, nahezu beliebig oft neu programmiert
ben wird. werden kann.
Für gewöhnlich wird der Aufbau des Filters
9.3.4.2 Implementierung mittels einer Hardwarebeschreibungssprache wie
auf einem Logikbaustein beispielsweise VHDL oder Verilog festgelegt und
Die Realisierung eines digitalen Filters mit Hilfe kann so nach einer Synthese in eine reale Schal-
eines Prozessors hat einen entscheidenden Nach- tung auf dem FPGA oder ASIC überführt wer-
502 K. Bressler und J. Endres

den. Bei den meisten Entwicklungsumgebungen  Khan, S. A.: (2011) Digital Design of Signal
sind aber Programme enthalten, die bei der Um- Processing Systems, Chichester U.K.: Verlag
setzung eines FIR- oder IIR-Filters helfen und so John Wiley & Sons.
den für den Zielbaustein optimalen Code generie-  Schüßler, H. W.: (2008) Digitale Signalver-
ren. arbeitung 1: Analyse diskreter Signale und
In Abb. 9.34 ist ein FIR-Filter 3. Ordnung mit Systeme, Springer Verlag.
der Bitbreite q dargestellt, wie es auf einem  Seifarth, M.: (2003) Analoge Schaltungen,
FPGA realisiert werden könnte. Dabei werden Verlag Technik Berlin 6. Auflage.
alle Multiplikationen zeitgleich ausgeführt, was  Tenten W.: (2012) Analoge Schaltungstechni-
in sogenannten Constant Coefficient Multiplier ken der Elektronik, Oldenburg Verlag.
(KCM) geschieht. Weil hier immer mit einem  Tietze, U., Schenk, Ch.: (2009) Halbleiter-
konstanten Wert multipliziert wird, arbeiten die Schaltungstechnik, Springer Verlag 13. Aufla-
KCMs mit vorberechneten Teilprodukten der Ko- ge.
effizienten, die in einem ROM im FPGA ge-  Unbehauen, R.: (1993) Systemtheorie –
speichert werden. Dadurch können die KCMs Grundlagen für Ingenieure, München: Olden-
sehr effizient implementiert werden und benöti- burg Verlag.
gen weniger Platz als ein gewöhnlicher Multipli-  Woods, R.: FPGA-based Implementation of
zierer. Die Verzögerungen werden mit Registern Signal Processing Systems, Chichester U.K.:
umgesetzt, die mit jedem Takt (clk) den Wert am John Wiley & Sons (2008).
Eingang (D) auf den Ausgang (Q) übernehmen. Die technischen Publikationen der Halbleiterher-
Der Akkumulator wird durch eine Reihe von kas- steller sind eine wichtige Informationsquelle. Auf
kadierten Addierern gebildet. den Internet-Seiten der Hersteller findet man u.
a. unter den Stichworten Application Note oder
Technical Documentation viele, zum Teil aus-
9.4 Weiterführende Literatur führliche Veröffentlichungen. Diese Dokumen-
tationen sind vorwiegend in englischer Sprache
 Reinhold, W.: (2010) Elektronische Schal- abgefasst. Wichtige Internet-Adressen sind:
tungstechnik – Grundlagen der Analogelektro-  www.analog.com
nik, Hanser Verlag.  www.infineon.com
 Chen, C. H.: (1988) Signal Processing Hand-  www.linear.com
book, New York: Marcel Dekker Inc. (1988).  www.maximintegrated.com
 Kammeyer, K., Kroschel, K.: (2006) Digitale  www.nxp.com
Signalverarbeitung, Wiesbaden: B.G. Teubner.  www.ti.com.
Elektronische Regler
10
Joachim Kempkes

Mit einer Regelung werden in erster Linie Pro- Abbildung 10.1 zeigt eine gesteuerte Strom-
zessgrößen in automatisierten Systemen einge- versorgung. Die Eingangsspannung Ue wird über
stellt. Beispielsweise kann mit einer Temperatur- einen Transformator entsprechend dem Win-
regelung die Innentemperatur eines Raumes trotz dungszahlverhältnis auf eine kleinere Spannung
einer sich ändernden Außentemperatur konstant transformiert und über einen Brückengleichrich-
gehalten oder mit einer Durchflussregelung der ter gleichgerichtet. Der Kondensator glättet an-
Durchfluss eines Mediums auch bei einem sich schließend die an sich mit der doppelten Netzfre-
ändernden Gegendruck stabilisiert werden. quenz pulsierende Gleichspannung.
Auch in elektronischen Schaltungen können Zumindest wenn der Ausgang unbelastet ist
geregelte Systeme sehr sinnvoll sein. Durch ei- (Ia D 0), ist die Ausgangsspannung Ua propor-
ne Regelung kann beispielsweise eine Spannung, tional zur Eingangsspannung Ue . Wird aber der
ein Strom oder auch eine Frequenz mitunter bes- Ausgang durch einen Strom Ia belastet, wird die
ser stabilisiert werden, als es durch aufwändige Ausgangsspannung Ua wegen der Innenwider-
Kompensationsschaltungen möglich wäre. stände des Transformators und des Gleichrichters
kleiner werden.
Wenn die Innenwiderstände des Transfor-
10.1 Steuerung und Regelung mators und des Gleichrichters mit einem Er-
satzwiderstand Ri modelliert werden können,
In der Elektronik ist häufig die Aufgabe zu er- U0 die Ausgangsspannung bei stromlosen Aus-
füllen, eine bestimmte Größe (Spannung, Strom, gang (Ia D 0) ist, kann die lastabhängige Aus-
Frequenz) mit einer möglichst kleinen Toleranz gangsspannung Ua mit einer Geradengleichung
konstant zu halten. Im Unterschied dazu ist in beschrieben werden:
der Verfahrenstechnik oft zusätzlich die Aufga-
be gefordert, eine Größe (z. B. die Drehzahl eines Ua D U0  Ri  Ia (10.1)
Antriebs) kontrolliert beeinflussen bzw. verän-
dern zu können. Mit der in Abb. 10.2 dargestellten geregel-
Beide Aufgaben können prinzipiell durch ei- ten Stromversorgung wird die Abhängigkeit der
ne Steuerung (engl. open-loop-control) oder eine Ausgangsspannung von der Größe des Ausgangs-
Regelung (engl. closed-loop-control) erfüllt wer- stroms deutlich reduziert.
den. Dies soll am Beispiel einer Stromversorgung Dazu wird über einen Spannungsteiler
erläutert werden. (Block M) ein der Ausgangsspannung Ua propor-
tionales Mess-Signal Um erzeugt. Die Differenz
J. Kempkes (), zwischen dem Mess-Signal Um und einer Re-
E-Mail: joachim.kempkes@fhws.de ferenzspannung UZ (Block W) wird mit einem
© Springer-Verlag GmbH Deutschland 2017 503
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_10
504 J. Kempkes

Ia sentlich unempfindlicher auf Lastschwankungen


reagiert.
Ue Ua Man wird aber den Regler immer mit einer be-
grenzten Verstärkung ausführen müssen, so dass
mit dem hier dargestellten einfachst möglichen
Abb. 10.1 Gesteuerte Stromversorgung Regler (P-Regler, Abschn. 10.3.1) die Ausgangs-
spannung immer noch lastabhängig ist. Diese
Lastabhängigkeit der Ausgangsspannung Ua ist
Regler (Block R) verstärkt. Proportional zur Dif-
aber auf jeden Fall kleiner als im gesteuerten
ferenz UZ  Um wird über den Regler mit einem
Fall, so dass durch die zusätzliche Regelung die
Verstärkungsfaktor KP ein Basisstrom IB für den
Ausgangsspannung enger toleriert werden kann.
Transistor (Block SG) erzeugt. Proportional zum
Es gibt aber auch noch andere Reglertypen, die
Basisstrom IB ist der Ausgangsstrom Ia , der in
den Nachteil einer stationären Regelabweichung
die Last (Block St) eingeprägt wird und dann die
nicht aufweisen.
Spannung Ua zur Folge hat.
Die Stromverstärkung ˇ ist gleichwertig zum
Verstärkungsfaktor KP . Damit kann die Aus-
10.2 Grundlagen
gangsspannung abhängig von der Belastung an-
gegeben werden:
Das gezeigte erste Beispiel einer Regelung zeigt
nur das grundsätzliche Wirkungsprinzip einer
Ia D ˇ  IB D ˇ  KP  .UZ  Um /
  Regelung mit dem der stationäre Zustand be-
R2 schrieben werden kann. Um in dem gezeigten
D ˇ  KP  UZ   Ua
R1 C R2 Beispiel auch bei einer veränderlichen Last eine
R1 C R2 .R1 C R2 /=R2 möglichst stabile Ausgangsspannung zu erhalten,
Ua D  UZ   Ia
R2 ˇ  KP wird man versuchen, den Verstärkungsfaktor des
„ ƒ‚ … „ ƒ‚ …
¶U0 ¶Ri Reglers möglichst hoch zu wählen.
(10.2) Ändert sich dann aber die Belastung der
Stromversorgung mit einer sehr hohen Dyna-
Auch hier ergibt sich eine Geradengleichung, mik, wird sich die Spannung Ua zunächst sehr
wobei die Steigung aber ganz besonders durch schnell verändern. Das wiederum wird zur Fol-
den Verstärkungsfaktor KP beeinflusst werden ge haben, dass der Regler den Basisstrom für den
kann. Wenn man den Faktor KP beliebig groß Transistor ebenfalls sehr schnell und stark än-
wählen könnte, würde sich diese Stromversor- dert. Daraus kann dann eine zu starke Änderung
gung trotzdem immer noch grundsätzlich wie die des Ausgangsstroms entstehen, so dass dann die
gesteuerte Stromversorgung in Abb. 10.2 verhal- Ausgangsspannung zu stark korrigiert wird, d. h.
ten. Nur der Ersatzinnenwiderstand Ri wäre sehr die Ausgangsspannung weicht wieder von ih-
viel kleiner, so dass die Ausgangsspannung we- rem Sollwert in der entgegengesetzten Richtung

Abb. 10.2 Geregelte Ia


Stromversorgung
SG
IB
Ue R R1 Ua
W

UZ Um R2
M St
10 Elektronische Regler 505

Abb. 10.3 Regelkreis w e y ySG x


Stell- Regel-
Regler
glied Strecke

x* Mess-
glied

ab. Wegen der in der Wirkungskette auftretenden nennt man Regelabweichung e. Diese Regelab-
Verzögerungen kann das geregelte System dann weichung wird vom Regler verarbeitet, wobei sie
aber schwingen, wenn die Verstärkung zu groß nicht nur (wie im Beispiel gezeigt) verstärkt wer-
gewählt wurde. den kann, sondern es kann auch ihr zeitliches
Um dieses unerwünschte Verhalten ausschlie- Verhalten bewertet werden.
ßen zu können, muss ein geregeltes System im- Der Regler steuert mit der Stellgröße y (ent-
mer bezüglich seines dynamischen Verhaltens spricht dem Basisstrom IB / das Stellglied an. Das
bewertet werden, d. h. auch in dem gezeigten Stellglied steuert die notwendige Energie=Leis-
Beispiel wird man eventuell vorhandene Verzö- tung für die Beeinflussung der Regelstrecke. Die
gerungen und Totzeiten näher untersuchen und Ausgangsgröße ySG des Stellglieds ist in dem
beschreiben müssen. Es werden im Folgenden gezeigten Beispiel der Strom Ia . Auch das Stell-
jedoch nur die grundlegenden Zusammenhänge glied selbst kann eine Zeitverzögerung oder auch
erläutert. Für eine vertiefte Betrachtung wird auf eine Totzeit verursachen. Die Regelstrecke rea-
die einschlägige Literatur verwiesen. giert auf die Ausgangsgröße des Stellglieds mit
der Regelgröße x. Genauso wie bei den ande-
ren drei bereits angesprochenen Blöcken muss
10.2.1 Aufbau des Regelkreises besonders bei der (Regel-)Strecke auch das Zeit-
verhalten diskutiert werden.
Abstrahiert man das Beispiel in Abb. 10.2, kann
man die grundsätzliche Struktur eines Regelkrei-
ses wie in Abb. 10.3 dargestellt erläutern, indem 10.2.2 Regelstrecke
man die Schaltung als Wirkungskette analysiert.
Bei der Beschreibung und Diskussion von Re- Bevor die Entscheidung für einen bestimmten
gelkreisen ist die Analyse nach Ursache und Reglertyp gefällt werden kann, muss die zu re-
Wirkung von enormer Bedeutung, da der Regel- gelnde Strecke als Übertragungsglied mathema-
kreis aus einzelnen, jeweils rückwirkungsfreien tisch beschrieben werden können. Im gesam-
Blöcken besteht. ten Signalweg muss das zeitliche Verhalten aller
Die Regelgröße x in Abb. 10.3 entspricht Komponenten bekannt sein, um auch den Regler
der im vorausgegangenen Beispiel in Abb. 10.2 anschließend dimensionieren zu können.
zu regelnden Ausgangsspannung Ua . Die Re- Die Basis für diese Beschreibung ist die Sys-
gelgröße muss gemessen werden und steht als temtheorie, auf die an dieser Stelle nicht weiter
Messwert x  zur Verfügung. Im Beispiel wurde eingegangen werden soll. Anstelle dessen wird
die Messgröße Um über einen einfachen Span- auf die Fachliteratur verwiesen, bzw. wird die
nungsteiler aus der Regelgröße Ua erzeugt. Es ist prinzipielle Vorgehensweise an einem einfachen
aber möglich, dass das Messglied darüber hin- Tiefpass 1. Ordnung, dem sogenannten T1-Glied
aus z. B. noch ein Tiefpassverhalten aufweist, gezeigt.
damit möglicherweise auftretende Störungen ab- In Abb. 10.4 ist ein RC-Tiefpass mit der dazu-
gedämpft werden können. Diese Frequenzabhän- gehörigen Differenzialgleichung dargestellt.
gigkeit muss dann natürlich auch berücksichtigt Der Frequenzgang kann durch die Fourier-
werden. transformation formal aus der Differenzialglei-
Die Differenz aus dem Sollwert w (entspre- chung direkt bestimmt werden. Eine Differen-
chend der Spannung UZ ) und der Messgröße x  ziation im Zeitbereich entspricht einer Multi-
506 J. Kempkes

R i ue − ua du ser Darstellung kann z. B. die Stabilität diskutiert


i= =C⋅ a werden (s. Abschn. 10.2.4).
ue ua
R dt
C Der Frequenzgang kann auch messtechnisch
dua
⇒ ue = {
RC ⋅ + ua bestimmt werden, indem die zu untersuchende
T d t Schaltung mit einer sinusförmigen Spannung aus
Abb. 10.4 RC-Tiefpass
einem Funktionsgenerator angesteuert und in Ab-
hängigkeit von der Frequenz die Ausgangsspan-
nung nach Amplitude und Phasenlage bestimmt
plikation mit der imaginären Kreisfrequenz j! wird. Wird die Frequenz kontinuierlich zwischen
im Frequenzbereich, wenn man alle zeitabhän- einem unteren und einem oberen Grenzwert vari-
gigen Größen als harmonische (D sinusförmi- iert, spricht man auch von einem Wobbelgenerator
ge) Größen mit der gleichen Frequenz, aber mit (vgl. Abb. 10.9).
unterschiedlichen Amplituden und Phasenlagen Mit dem Frequenzgang können nur harmo-
voraussetzen kann: nische d. h. sinusförmige Vorgänge beschrie-
ben werden. Nicht-periodische Vorgänge können
ue .j!/ D j!T  ua .j!/ C ua .j!/ nicht mehr ohne Weiteres mit dem Frequenz-
ua .j!/ 1 (10.3) gang diskutiert werden. Das zeitliche Verhalten
) D G.j!/ D muss dann über die Lösung der Differenzialglei-
ue .j!/ 1 C j!T
chung(en) mit eventueller Berücksichtigung der
Damit kann der komplexe Frequenzgang Anfangswerte bestimmt werden. Lineare Diffe-
G(j!) angegeben werden. Der Frequenzgang renzialgleichungen lassen sich aber mit der La-
G(j!) ist das Verhältnis zwischen dem komple- place-Transformation in algebraische Gleichun-
xen Effektivwertzeiger der Ausgangsspannung gen überführen, die mit einem erheblich geringe-
U a und dem komplexen Effektivwertzeiger der ren Aufwand gelöst werden können. Die Laplace-
Eingangsspannung U e . In der Wechselstromtech- Transformation einer Zeitfunktion f .t/ ist über
nik wird allerdings meist mit einer konstanten das Laplace-Integral definiert:
Frequenz gerechnet; für die Regelungstechnik
ist aber das frequenzabhängige Verhalten eines Z
t DC1

Systems von deutlich höherer Bedeutung. Später L ff .t/g D f .s/ D f .t/  es t dt (10.5)
wird im Bodediagramm deshalb auch der Am- t D0
plitudengang A.!/ und Phasengang '.!/ darge-
stellt: Das Laplace-Integral in Gl. 10.5 wird in der
Praxis aber so gut wie nie benötigt, da für die
1 in Frage kommenden Zeitfunktionen die Laplace-
A.!/ D jG.j!/j D p I
1 C ! 2T 2 (10.4) Transformationen ohnehin als Korrespondenzen
'.!/ D †G.j!/ D  arctan.!T / in allen Büchern zur Regelungstechnik oder Sys-
temtheorie als Tabellen vorliegen. Verwirrung
In Tab. 10.1 und 10.2 sind für verschie- verursacht in diesem Zusammenhang oft die Be-
dene Übertragungsglieder die Amplitudengänge trachtung der Dimensionen von in den Laplace-
A.!/ doppeltlogarithmisch aufgetragen, wobei Bereich transformierten Zeitfunktionen. Das Ar-
neben den in rot gezeichneten Verläufen zusätz- gument der Exponentialfunktion in Gl. 10.5 ist
lich in schwarz auch die Asymptoten eingezeich- dimensionslos, da die komplexe Frequenz s die
net sind. Dadurch scheint der Amplitudengang Einheit s1 hat. Die Einheit der Zeitfunktion f .t/
des hier diskutierten T1-Glieds (Tab. 10.1c) bis wird aber im Integranden mit der Einheit des
zur Kreisfrequenz ! D 1=T nahezu konstant Zeitdifferenzials dt multipliziert. Die Laplace-
zu verlaufen und ab dieser Kreisfrequenz mit Transformierte einer Spannung hat somit die Ein-
20 dB=Dekade abzufallen. Der Phasengang '.!/ heit Vs (einer Spannungszeitfläche) und die eines
dreht dabei von 0ı auf 90ı . Auf Basis die- Stromes die Einheit As (einer Stromzeitfläche).
10 Elektronische Regler 507

Abb. 10.5 Einheitsimpuls 1 d(t) δ (t ) = lim d (t )


und Einheitssprung T →0
T T →0
Fläche = 1
t t
T
τ =t τ =t
e(t ) = ∫ d (τ )dτ ε (t ) = lim e(t ) =
T →0
∫ δ (τ )dτ
τ =−∞ τ =−∞
1 1
T →0

t t
T

Wird in Gl. 10.3 die imaginäre Frequenz j! aktion auf einen Spannungspuls, der zwar belie-
durch die komplexe Frequenz s D  C j! er- big kurz ist, aber dennoch eine Impulsfläche von
setzt, erhält man die Übertragungsfunktion G.s/. 1 Vs aufweist. Diese Überlegung wirkt sehr theo-
Der Unterstrich bei G und s wird üblicherwei- retisch, aber technisch muss die Impulslänge nur
se weggelassen, obwohl beide Größen nicht reell, deutlich kleiner als die Zeitkonstante des Systems
sondern komplex sind! sein.
Multipliziert man die Übertragungsfunktion
ue .s/ D sT  ua .s/ C ua .s/
G.s/ mit der Laplace-Transformierten des Ein-
ua .s/ 1 (10.6) heitssprungs ".t/, erhält man nach der Rücktrans-
) D G.s/ D
ue .s/ 1 C sT formation von H.s/ die Sprungantwort h.t/, die
auch messtechnisch oft viel einfacher zu ermit-
Die Übertragungsfunktion G.s/ ist gleichzei-
teln ist:
tig die Laplace-Transformierte der Impulsantwort
g.t/, d. h. die Reaktion auf den Einheitsimpuls 1 1 1
H.s/ D  G.s/ D 
ı.t/ bei leeren Energiespeichern als Anfangswert s s 1 C sT (10.8)
(Kondensatoren spannungs- bzw. Induktivitäten ) h.t/ D 1  et =T
stromlos bei t D 0). Der Einheitsimpuls (Dirac-
Impuls) ı.t/ kann als Grenzwert eines Recht- Die Ableitung der Sprungantwort ist die Im-
eckimpulses mit der Breite T und der Höhe 1=T pulsantwort:
definiert werden, wenn die Breite dieses Impulses
1
gegen Null geht (s. Abb. 10.5): G.s/ D s  H.s/ D
1 C sT
Integriert man den Einheitsimpuls, erhält man (10.9)
d 1
den Einheitssprung: ) g.t/ D h.t/ D et =T
( dt T
! 1 für t D 0 Beide Zeitfunktionen geben für den einfachen
ı.t/ D aber
0 sonst Tiefpass in RC-Tiefpass nur ein dimensionslo-
Z
DC1 (10.7a) ses Spannungsverhältnis an. Würden der Tiefpass
ı./d D 1Š L fı.t/g D 1 mit einer Sprungfunktion mit einer Höhe von 1 V
bzw. einem Dirac-Impuls mit der Fläche von 1 Vs
D1
ZDt ( angeregt, würden sich die folgenden Spannungs-
1 für t
0 verläufe am Ausgang ergeben:
".t/ D ı./d D
0 für t < 0 (10.7b)
D1 ue .t/ D 1 V  ".t/
1  
L f".t/g D ) ua .t/ D 1 V  h.t/ D 1 V  1  et =T
s
ue .t/ D 1 Vs  ı.t/
Die Impulsantwort wäre in diesem Fall der
1 Vs t =T
Zeitverlauf der Ausgangsspannung ua .t/ als Re- ) ua .t/ D 1 Vs  g.t/ D e
T
508 J. Kempkes

Beispiel 10.1 durch eine Taylorreihe leichter diskutiert wer-


Auf einer Messleitung werden einzelne Stör- den:
impulse mit einer Amplitude von 4 V und
einer Impulsbreite von 1 s eingekoppelt, die Taylor: f .x C x/  f .x/ C x  f 0 .x/W
über das Tiefpassfilter aus Abb. 10.4 mit einer e .t 1 s/=ms
Zeitkonstanten von 1 ms abgedämpft werden  
t =ms 1
sollen. In welcher Form wird ein einzelner e C .1 s/   et =ms W
1 ms
Störimpulse nach der Filterung? „ ƒ‚ …
Die Impulsbreite ist mit 1 s deutlich klei- 103
ner als die Zeitkonstante des RC-Tiefpasses t > 1 sW
mit 1 ms. Damit kann der Rechtimpuls nähe- ua .t/
rungsweise auch als Dirac-Impuls mit einer  
Fläche von 4 Vs angenommen werden. Die  4 V  et =ms C 103  et =ms  et =ms
Ausgangsspannung ergibt sich dann zu D 4 mV  et =ms

4 Vs t =ms
ua .t/ D 4 Vs  g.t/ D e In der gleichen Art sind einige Grundüber-
1 ms
t =ms tragungsfunktionen für die wichtigsten Regel-
D 4 mV  e
strecken und Reglertypen in Tab. 10.1 und 10.2
Andererseits kann der rechteckförmige Impuls dargestellt. Als Beispiel werden die Grundüber-
auch als Überlagerung von zwei um 1 s ver- tragungsfunktionen hier anhand von elektroni-
setzte Sprungfunktionen dargestellt werden: schen Schaltungen beschrieben. Es gibt daneben
natürlich auch aus anderen Bereichen der Technik
ue .t/ D 4 V  ".t/  4 V  ".t  1 s/ Systeme mit dem gleichen grundsätzlichen Über-
tragungsverhalten.
Während der ersten Mikrosekunde wirkt nur Die P-Strecke in Tab. 10.1a stellt einen ein-
die erste Sprungfunktion als Eingangsgröße, fachen Faktor zwischen Ein- und Ausgangsgrö-
so dass eine Fallunterscheidung für die Dar- ße ohne zeitliche Veränderung dar. Das gleiche
stellung der Ausgangsspannung Sinn macht: Verhalten wie ein einfacher Verstärker hat auch
in etwa ein mechanisches Getriebe in der An-
t < 1 sW triebstechnik. Als Beispiel ist ein invertierender
  Verstärker dargestellt, wobei der an sich notwen-
ua .t/ D 4 V  1  et =ms dige zusätzliche invertierende Verstärker durch
t die Pfeilung der Eingangsspannung kompensiert
 4 mV 
1 s wird. Dieses gilt auch für die übrigen Beispiele.
t D 1 sW Mit einer I-Strecke (Tab. 10.1b) kann ein Ener-
  giespeicher beschrieben werden. Zum Beispiel ist
ua .t/ D 4 V  1  e 1 s=ms
  die Geschwindigkeit eines Fahrzeugs proportio-
D 4 V  1  e 0;001 D 4 mV nal zum Integral über die Beschleunigung. Die
t > 1 sW Geschwindigkeit kann somit als Ausgangsgrö-
  ße einer I-Strecke mit der der Beschleunigungs-
ua .t/ D 4 V  1  et =ms
  kraft proportionalen Beschleunigung dargestellt
 4 V  1  e .t 1 s/=ms werden. Wird eine solche Strecke mit einer har-
 
D 4 V  e .t 1 s/=ms  et =ms monischen Schwingung angeregt, ergibt sich am
Ausgang eine um 90ı nacheilende Schwingung
Während der ersten Mikrosekunde steigt die ('.!/ D 90ı ) mit der gleichen Frequenz. Die
Ausgangsspannung nahezu linear auf einen Schwingungsamplitude am Ausgang nimmt mit
Wert von 4 mV. Der Verlauf nach 1 s kann der Frequenz um 20 dB=Dekade ab.
10 Elektronische Regler 509

Wird eine solche Strecke mit einer harmo- zip der Kausalität wäre verletzt, wenn durch
nischen Schwingung angeregt, ergibt sich am Anregung mit einem harmonischen Signal ei-
Ausgang eine um 90ı nacheilende Schwingung ne voreilende Ausgangsspannung erzeugt wer-
('.!/ D 90ı ) mit der gleichen Frequenz. Die den könnte. Möglich wird das im genannten
Schwingungsamplitude am Ausgang nimmt mit Beispiel nur durch die als ideal angenomme-
der Frequenz um 20 dB=Dekade ab. Als Bei- nen Eigenschaften (unendlich große Verstärkung
spiel ist ein invertierender Integrierer aufgeführt, bei gleichzeitig beliebig hoher Dynamik(!) und
wobei der an sich notwendige zusätzliche in- beliebig großem Eingangswiderstand) des Ope-
vertierende Verstärker durch die Pfeilung der rationsverstärkers. Es steht bei Operationsver-
Eingangsspannung kompensiert wird. Dieses gilt stärkern zwar eine sehr hohe Verstärkung zur
auch für die übrigen Operationsverstärker mit dy- Verfügung, aber sie wäre auch nicht ausreichend,
namischer Beschaltung. um die Ausgangsspannung so schnell zu erhö-
Die in Tab. 10.1e dargestellte T2-Strecke stellt hen, dass sich am Ausgang tatsächlich ein exakter
hingegen ein schwingungsfähiges System dar. Dirac-Impuls ergeben könnte. Außerdem kann
Technische Strecken dieser Art enthalten mindes- die Ausgangsspannung nie größer als die Ver-
tens zwei von der Art her unterschiedliche Ener- sorgungsspannung werden, so dass allein auch
giespeicher, die ihre Energieinhalte periodisch deswegen kein Dirac-Impuls nachgebildet wer-
austauschen können. In Tab. 10.1e ist als Bei- den kann.
spiel ein Reihenschwingkreis als Spannungsteiler Deshalb verhält sich ein technisch ausge-
dargestellt, aber auch ein mechanisches Feder- führter Differenzierer auch wegen seiner wie
Masse-System stellt z. B. ein schwingungsfähi- in Abb. 8.45 dargestellten frequenzabhängigen
ges System dar. Charakteristisch ist die Reso- Verstärkung immer wie die in Tab. 10.2b auf-
nanzüberhöhung im Amplitudengang A.!/ bei geführte D-T1-Strecke, also wie eine Strecke,
der Eigenkreisfrequenz 1=T , die mit kleiner wer- bei der ein idealer Differenzierer in Reihe zu
dender Dämpfung D zunimmt. einem T1-Glied geschaltet ist. Damit kann zu-
Wird die Dämpfung D größer, nimmt die mindest für langsame Vorgänge bzw. niedri-
Schwingneigung ab. Bei einer Dämpfung von ge Frequenzen das für einen Differenzierer ty-
D D 1 ergibt sich der sogenannte aperiodische pische Hochpass-Verhalten mit einem Ampli-
Grenzfall, der in Tab. 10.1d mit zwei identischen tudengang von C20 dB=Dekade bei gleichzei-
in Reihe geschalteten RC-Tiefpässen aufgeführt tiger Phasendrehung von C90ı erreicht wer-
ist. Hier wird die Grenze zwischen einem schwin- den.
gungsfähigen und nicht mehr schwingungsfähi- Mitunter kann das Totzeitglied in Tab. 10.2e
gen System gerade erreicht. wegen seines frequenzproportionalen Phasen-
Eine noch größere Dämpfung wird erreicht, gangs erhebliche dynamische Probleme bei ei-
wenn die beiden T1-Strecken in Tab. 10.1d unter- nem geregelten System hervorrufen. Beispiele für
schiedliche Zeitkonstanten erhalten. Die Dämp- Totzeitglieder sind Laufzeiten auf Leitungen oder
fung ist dann umso größer, je unterschiedlicher A=D- bzw. D=A-Wandler.
die beiden Streckenzeitkonstanten werden. Damit sind die wichtigsten Übertragungsele-
In Tab. 10.2a ist ein als invertierender Dif- mente aufgeführt, wobei viele Regelstrecken aus
ferenzierer beschalteter Operationsverstärker als mehreren dieser (Grund-) Übertragungselemente
D-Strecke aufgeführt. Die Ausgangsspannung ist zusammen gesetzt sind. Durch Bindestriche wer-
proportional zur Ableitung der Eingangsspan- den mitunter in Reihe geschaltete Elemente be-
nung, so dass sich bei einer harmonischen Ein- zeichnet (z. B. D-T1-Strecke), ohne Bindestrich
gangsspannung eine um 90ı voreilende Aus- werden parallel geschaltete Blöcke gekennzeich-
gangsspannung ergibt, deren Amplitude mit der net (z. B. beim PD-Regler in Tab. 10.2c. Diese
Frequenz um 20 dB=Dekade größer wird. Regel wird aber nur angewendet, wenn auf ei-
Eine ideale D-Strecke ohne jegliche Ein- ne Reihenschaltung betont hingewiesen werden
schränkung ist technisch unmöglich. Das Prin- soll.
Tab. 10.1 Ausgewählte Regelstrecken und Reglertypen 1
510

a P-Strecke/-Regler b I-Strecke/-Regler c T1-Strecke d T2-Strecke (D = 1) e T2-Strecke (D < 1)

ue ue ue ua ue ua ue ua
ua ua

1 1 1 1
G ( s) K
sT 1 + sT 2
(1 + sT ) 1 + s ⋅ 2 DT + s 2T 2
t ⎛ t⎞ ⎛ σ ⎞
h(t ) K ⋅ ε (t ) 1 − e−t T 1 − ⎜1 + ⎟ e−t T 1 − ⎜ cos ωt + sin ωt ⎟ e−σ t
T ⎝ T ⎠ ⎝ ω ⎠
h(t ) h(t ) h(t ) h(t ) h(t )
K 1 1
1 1
1

T T T 10T0
1T 1T 1T 1T
20 20 20 20 20
A(ω )
K
dB 0 0 0 0 0

-20 -20 -20 -20 -20

-40 -40 -40 -40 -40


90 90 90 90 90
ϕ (ω )
° 0 0 0 0 0

-90 -90 -90 -90 -90

-180 -180 -180 -180 -180


1s-1 10s-1 1s-1 10s-1 1s-1 10s-1 1s-1 10s-1 1s-1 10s-1
D
K = 3,16 = 20dB ⋅ log 3,16 = 10dB, T = 0, 2s, D = 0, 25, σ = = 1, 25s −1, ω = T −2 − σ 2 ≈ 4,841s −1
T
J. Kempkes
Tab. 10.2 Ausgewählte Regelstrecken und Reglertypen 2
a D-Strecke b D-T1-Strecke c PD-Regler d PI-Regler e Totzeit

ue ua ue ua ue ua ue ua
10 Elektronische Regler

sT ⎛ 1 ⎞
G ( s) sT K ⋅ (1 + sT ) K ⋅ ⎜1 + ⎟ e− sT
1 + sT ⎝ sT ⎠
1 −t T t
h(t ) T δ (t ) e K (1 + T δ (t ) ) K+K ε (t − T )
T T
h(t ) Fläche = T h(t ) h(t ) h(t ) h(t )
1 Fläche = KT
1
T
1 K K
1
1 1
T T T
1T 1T 1T 1T 1T
40 20 40 40 20
A(ω )
dB 20 0 20 20 0
K K
0 -20 0 0 -20

-20 -40 -20 -20 -40


180 180 180 90 90
ϕ (ω )
° 90 90 90 0 0

0 0 0 -90 -90

-90 -90 -90 -180 -180


1s-1 10s-1 1s-1 10s-1 1s-1 10s-1 1s-1 10s-1 1s-1 10s-1

D
K = 3,16 = 20dB ⋅ log 3,16 = 10dB, T = 0, 2s, D = 0, 25, σ = = 1, 25s −1, ω = T −2 − σ 2 ≈ 4,841s −1
T
511
512 J. Kempkes

Abb. 10.6 PID-Regler ua ue ⎛ 1 ⎞


i = 0, v → ∞ : = = u e ⋅ ⎜ + sC1 ⎟
C1 C2 R2 1 1 ⎝ R1 ⎠
R2 + R1 //
sC2 sC1
i sC2 ⎛ 1 ⎞ ⎛ 1 + sR1C1 ⎞
ua ⋅ = u e ⋅ ⎜ + sC1 ⎟ = u e ⋅ ⎜ ⎟
R1 1 + sR2C2 ⎝ R1 ⎠ ⎝ R1 ⎠
ue ua

u a (1 + sR1C1 )(1 + sR2C2 )


⇒ =
ue sR1C2

Beispiel 10.2 mit


In Abb. 10.6 ist eine schaltungstechnische TZ1 C TZ2
KP D I TN D TZ1 C TZ2 I
Variante eines PID-Reglers mit möglichst we- TP
nigen Bauteilen dargestellt. Unterstellt man TZ1 TZ2
hier einen idealen Operationsverstärker (un- TV D
.TZ1 C TZ2 /
endlich großer Eingangswiderstand und un-
endlich große Verstärkung), kann die Übertra- bzw.
gungsfunktion leicht hergeleitet werden.  Zt
1
Nachteilig bei diesem Aufbau ist, dass ua .t/ D KP  ua .t/ C ua ./ d
zwar die beiden Nullstellen und der Pol leicht TN
0
zu interpretieren sind, aber die Parallelschal- 
dua
tung der drei Grundreglertypen P-, I- und C TV 
dt
D-Regler nicht direkt zu erkennen ist. Die
Übertragungsfunktion kann aber umgeformt Bei der in Abb. 10.6 dargestellten Schal-
werden: tung ist es praktisch unmöglich, einen ein-
zelnen Reglerparameter nachträglich zu än-
dern. Sollen aber die Proportionalverstärkung
ua .1 C sR1 C1 / .1 C sR2 C2 / KP des P-Reglers, die Nachstellzeit TN des
D
ue sR1 C2 I-Reglers und die Vorhaltzeit TV einzeln ein-
stellbar sein, können die in Abb. 10.7 darge-
stellten aufwändigeren Schaltungen sinnvoll
mit
sein.

TZ1 D R1 C1 I TZ2 D R2 C2 und TP D R1 C2 10.2.3 Offener und geschlossener


Regelkreis
ua 1 C s  .TZ1 C TZ2 / C s 2 TZ1 TZ2
D
ue sTP Der geschlossene Regelkreis aus Abb. 10.3 wird
TZ1 C TZ2 1 TZ1 TZ2 in Abb. 10.8 für die folgende Diskussion als so-
D C Cs
TP s  TP TP genannter Standard-Regelkreis etwas anders dar-

TZ1 C TZ2 1 gestellt, so dass der Regler und die gesamte Re-
D  1C gelstrecke besser diskutiert werden können. Mit
TP s  .TZ1 C TZ2 /
 der Streckenübertragungsfunktion GS .s/ werden
TZ1 TZ2
Cs das Stellglied, die eigentliche Strecke und das
.TZ1 C TZ2 /
Messglied zusammengefasst, bei der Kreisver-
ua
D GPID .s/ stärkung G0 .s/ – der Übertragungsfunktion des
ue
  offenen Regelkreises – wird noch zusätzlich der
1 Regler berücksichtigt. Damit kann die Führungs-
D KP  1 C C s  TV
s  TN übertragungsfunktion Gw .s/ des geschlossenen
10 Elektronische Regler 513

R3 (1 + sTZ1 )(1 + sTZ2 ) ⎛ 1 ⎞


GPID ( s ) = GPID ( s ) = K P ⋅ ⎜1 + + s ⋅ TV ⎟
R4 sTP ⎝ s ⋅ TN ⎠
R3 C1 C2 R2 R1
RP
R1
R4 R2
ue
ue R1
ua ua
RDif
CDif
RP R2
TZ1 = R1 C1 KP =
R2
TZ2 = R2 C2 TV = RDif CDif
CInt
TP = R1 C2 TN = RIntCInt RInt
R2

Abb. 10.7 PID-Regler mit einstellbaren Parametern

Abb. 10.8 Übertragungs- Regler Stellglied Regelstr. Messglied


funktion des offenen und w(s) x(s) x*(s)
GR(s) GSG(s) GSt(s) GM(s)
geschlossenen Standard-
Regelkreises
GS(s)
G0(s)

Regelkreises angegeben werden: Reglers auf den Frequenzgang des Gesamtsys-


tems sehr gut beschrieben werden:
G0 .s/ D GR .s/  GSG .s/  GSt .s/  GM .s/
„ ƒ‚ …
GS .s/
jG0 .j!/jdB D jGR .j!/jdB C jGS .j!/jdB
x .s/ D G0 .s/  w.s/  G0 .s/  x  .s/ (10.10)

†G0 .j!/ D †GR .j!/ C †GS .j!/
x  .s/ G0 .s/
Gw .s/ D D (10.11)
w.s/ 1 C G0 .s/
Ist der offene Kreis stabil, kann sein Frequenz-
Die Führungsübertragungsfunktion Gw .s/ kann gang gemessen und als Bode-Diagramm darge-
aber mithilfe der Übertragungsfunktion G0 .s/ stellt werden. In Abb. 10.9 ist die Vorgehensweise
des offenen Regelkreises sehr oft in guter Nähe- dargestellt, wie der Frequenzgang bestimmt wer-
rung vereinfacht angegeben werden: den kann.
Wenn der Rückkoppelzweig aufgetrennt wer-
Näherung: den kann, kann über einen Funktionsgenerator
(
1 wennjG0 .s/j  1 ein harmonisches Signal xe .t/ eingeprägt und
Gw .s/  die Reaktion x.t/ gemessen werden (Abb. 10.9).
G0 .s/ wennjG0 .s/j  1
( Wird dabei die Frequenz verändert und der durch
0ı wennjG0 .s/j  1 die Frequenzänderung verursachte Einschwing-
†Gw .s/ 
†G0 .s/ wennjG0 .s/j  1 vorgang abgewartet, ändert sich die Amplitude
und die Phasenlage des Ausgangssignals. Das
Die Näherungen gelten schon in sehr guter Verhältnis von X=Xe kann dann als Amplituden-
Genauigkeit, wenn der Betrag der Kreisverstär- gang und die Phasenverschiebung ' als Phasen-
kung entweder größer als 10 oder kleiner als 1=10 gang in Abhängigkeit von der Kreisfrequenz !
ist. Mit diesen Näherungen kann der Einfluss des aufgenommen werden.
514 J. Kempkes

w( jω ) = 0 x ( jω ) w(t ) = 0
G0(s) G0(s)

x e ( jω ) = x ( jω ) xe (t ) = X e ⋅ cos(ωt ) x(t ) = − X ⋅ cos(ωt + ϕ )

Abb. 10.9 Offener und geschlossener Regelkreis

10.2.4 Stabilität Beispiel 10.3


Gegeben ist ein rückgekoppeltes System wie
Es ist denkbar, dass bei der in Abb. 10.9 gezeig- in Abb. 10.9, bei dem die Übertragungsfunk-
ten Messmethode bei einer bestimmten Kreisfre- tion des offenen Kreises als Reihenschaltung
quenz ! D !A beobachtet werden könnte, dass eines PT1-Gliedes mit einer Zeitkonstanten
das Eingangssignal xe .t/ die gleiche Amplitude T1 D 50 s und eines schwach gedämpf-
und die gleiche Phasenlage wie das Ausgangs- ten PT2-Gliedes mit D D 0;25 und T2 D
signal x.t/ hat. In diesem Fall würde das Aus- 0;5 ms dargestellt wird. Bei welcher Verstär-
gangssignal x.t/ dem Eingangssignal xe .t/ mit kung K0 > 0 erreicht dieses System die
exakt gleicher Amplitude um 360ı nacheilen und Stabilitätsgrenze?
man könnte den Kreis dann auch wieder schlie- Zunächst wird die Übertragungsfunktion
ßen und die harmonische Schwingung würde des offenen Regelkreises bestimmt:
bestehen bleiben. In diesem Fall läge die soge-
K0
nannte Stabilitätsgrenze vor, es gilt dann: G0 .s/ D  
.1 C s  T1 /  1 C s  2DT2 C s 2  T22
K0
X D   
G0 .j!/ D 1, da jG0 .j!/j D D1 und 2
1 C 20103 s1  1 C 4000s s1 C 410s6 s2
s
Xe
†G0 .j!/ D ' D 180ı K0
D s2 s3
1 C 3333 s1 C 3;8110
s
6 s2 C 80109 s3

An der Stabilitätsgrenze schwingt also das Für die Stabilitätsgrenze gilt:


System. Ist aber bei der Phasendurchtrittsfre-
quenz !A , bei der die Ausgangsgröße der Ein- G0 .j!/ D 1 C j  0
gangsgröße um genau 180ı nacheilt, die Aus-
K0
gangsgröße kleiner als die Eingangsgröße, so D !2
 !3

werden beim Schließen des offenen Regelkreises 1 3;81106 s2
C j  3333! s1  80109 s3
die Schwingungsamplituden abklingen. Somit ist
An der Stabilitätsgrenze verschwindet der
das System in diesem Fall stabil.
Imaginärteil und der Realteil wird zu 1:
Ist aber bei der Frequenz !A die Ausgangs-
Im fG0 .j!/g D 0:
größe größer als die Eingangsgröße, wird die
Schwingungsamplitude beim Schließen des offe- ! !3
nen Kreises größer werden, so dass das System in 1
 D0
3333 s8 80  109 s3
diesem Fall instabil ist:
<!A1 D 0 s1
) q
†G0 .j!A / D ' D 180ı W :!A2 D 80109 s13 D 4899 s1
3333 s
8
ˆ
<jG0 .j!A /j < 1 stabil Re fG0 .j!/g D 1:
jG0 .j!A /j D 1 Stabilitätsgrenze
:̂ !2
jG0 .j!A /j > 1 instabil
K0 D 1
(10.12) 3;81  106 s2
10 Elektronische Regler 515

!A2 D 4899 s1 : Für das eben gerechnete Beispiel mit einem
2 2 auf 12 dB vergrößerten Amplitudenrand ist in
4899 s
K02 D  1 D 5;3 D 14;48 dB Abb. 10.10 der Frequenzgang für den offenen
3;81  106 s2
Regelkreis (durchgezogene Linien) und den ge-
Es gilt die zweite Lösung, da bei !A1 D 0 nur schlossenen Regelkreis mit dem Phasen- und
K01 D 1 < 0 möglich wäre. Amplitudenrand dargestellt.
Für die Diskussion der Stabilität gibt es in der
Ein Betrieb direkt an der Stabilitätsgrenze ist
Regelungstechnik noch weitere Kriterien (z. B.
natürlich nicht zulässig, da Parameterschwankun-
Nyquist-, Routh-, Hurwitzkriterium), die beson-
gen in der Übertragungsfunktion oder Störungen
ders auch bei der Diskussion von instabilen Sys-
sofort zu einem instabilen Verlauf führen würden.
temen eine große Rolle spielen. Solange aber
Aus diesem Grund ist der im Beispiel bestimmte
die 180ı -Linie im Phasengang nicht mehrfach
Faktor K02 mit Sicherheit noch zu groß.
geschnitten wird, ist dieses sogenannte verein-
Der Amplitudenrand AR gibt an, wie weit die
fachte Nyquist-Kriterium anwendbar. Für die Un-
Verstärkung des offenen Kreises erhöht werden
tersuchung von komplexeren Systemen wird hier
kann, bevor der geschlossene Kreis instabil wird.
wieder auf die umfangreiche Fachliteratur ver-
Man bezeichnet als Amplitudenrand den Abstand
wiesen.
der Amplitudenkennlinie von der 0-dB-Linie,
wenn der Phasengang bei der Phasendurchtritts-
frequenz !A die 180ı -Linie schneidet.
Man kann auf diese Weise eine Stabilitäts- 10.3 Reglerentwurf
„reserve“ beschreiben. Würde man bei dem eben
gezeigten Beispiel einen Amplitudenrand von Es gibt eine ganze Reihe von Entwurfsverfahren
12 dB wählen (entspricht erfahrungsgemäß ei- (Frequenz-Kennlinien-Verfahren, Wurzelortskur-
nem passablem Störverhalten), müsste der Ver- venverfahren, Polvorgabe etc.), um einen geeig-
stärkungsfaktor zu 2,48 dB gewählt werden. neten Regler auszuwählen und zu dimensionie-
Eine andere Angabe ist der Phasenrand 'R . ren. Im Prinzip soll über den der Streckenübertra-
Der Phasenrand ist der Abstand des Phasengangs gungsfunktion GS .s/ vorgeschalteten Regler die
von der 180ı -Linie bei der Amplitudendurch- Kreisverstärkung G0 .s/ des Gesamtsystems ver-
trittsfrequenz !D , d. h. beim Durchgang des Am- bessert bzw. optimiert werden. Für grundsätzli-
plitudengangs durch die 0-dB-Linie. Er gibt an, che Überlegungen ist es nützlich, zwischen einem
wie groß eine zusätzliche Phasenverschiebung im unteren, mittleren und oberen Frequenzbereich
offenen Kreis sein darf, bevor der geschlossene zu unterscheiden. Der mittlere Frequenzbereich
Kreis instabil wird. Beim Frequenzkennlinien- ist der Bereich, in dem die Phasendurchtrittsfre-
Verfahren (FKL-Verfahren) ist die Vorgabe des quenz !A und die Amplitudendurchtrittsfrequenz
Phasenrands sehr beliebt, aber im Prinzip fast !D liegt.
gleichwertig zur Vorgabe eines Wertes für den  Im unteren Frequenzbereich (! < !D <
Amplitudenrand. Es gilt: !A ) soll nach Möglichkeit der Amplituden-
gang der Gesamtübertragungsfunktion Gw .s/
1
AR D auf der 0 dB-Linie liegen. Dieses führt zu ei-
jG0 .j!A /j
( ner Forderung nach einer möglichst hohen
12 : : : 20 dB bei Führungsverhalten Kreisverstärkung bzw. zu einem möglichst in-
D
3;5 : : : 9;5 dB bei Störverhalten tegralen Verhalten von G0 .s/.
(10.13)  Im oberen Frequenzbereich (! > !A > !D )
ı soll die Kreisverstärkung möglichst klein sein,
'R D 180 C †G0 .j!D /
( damit hochfrequente Störungen möglichst gut
40ı : : : 60ı bei Führungsverhalten abgeschwächt werden können.
D
20ı : : : 50ı bei Störverhalten Vor diesem Hintergrund kann zunächst eine
(10.14) Vorauswahl des einzusetzenden Reglertyps ge-
516 J. Kempkes

Abb. 10.10 Bode- 10


Diagramm des offenen G0 ( jω )
5
und geschlossenen Regel-

Magnitude (dB)
kreises 0

-5 AR
Gw ( jω )
-10

-15

-20

0
ϕ w ( jω )
-45
ϕ 0 ( jω )
Phase (deg)

-90

-135
ϕR
-180

-225

-270 2
10 103 ωD ωA 104
Frequency (rad/sec)

troffen werden und anschließend der Regler auch PD-Regler (Tab. 10.2c) reagieren wegen ihres
dimensioniert werden. Dieser Vorgang wird oft differenziellen Verhaltens sehr schnell auf eine
mehrfach durchlaufen, besonders beim Frequenz- auftretende Regelabweichung, haben aber auch
Kennlinien- und Wurzelortskurvenverfahren han- oft eine stationäre Regelabweichung zur Folge.
delt es sich um ein „zielgerichtetes Probieren“. Sie werden bei stark gedämpften Strecken mit
sehr großen Zeitkonstanten zur Verbesserung der
Dynamik oder aber auch bei schwach gedämpf-
ten Strecken zur Vergrößerung der Dämpfung
10.3.1 Reglertypen eingesetzt.
Solange das Nennerpolynom von G0 .s/ kei-
Die Aufgabe eines Reglers besteht darin, aus der ne Nullstelle bei s D 0 aufweist, wird es
Regelabweichung e die Stellgröße y der Strecke im stationären Zustand immer eine Regelabwei-
so vorzugeben, dass die Regelgröße x dem ge- chung geben. Dieses kann durch einen integralen
wünschten Verhalten möglichst nahe kommt. Anteil im Regler kompensiert werden. Ein I-
Im Prinzip wurde ein P-Regler (Tab. 10.1a) Regler (Tab. 10.1b) wird eingesetzt, wenn keine
in Beispiel 10.3 auf der Basis von Stabilitätsbe- besonders hohen Anforderungen an die Dyna-
trachtungen bereits dimensioniert. Man kann am mik gestellt werden, wohingegen ein PI-Regler
Frequenzgang der Gesamtübertragungsfunktion (Tab. 10.2d) die Dynamik vergleichbar zu einem
in Abb. 10.10 (gestrichelte Linie) aber auch sehr P-Regler zusätzlich wieder verbessern kann.
gut erkennen, dass im unteren Frequenzbereich Soll die Dynamik nochmals verbessert wer-
die 0 dB-Linie nicht erreicht wird, so dass im den, kann ein PID-Regler eingesetzt werden,
stationären Zustand eine Regelabweichung beste- der die hohe Dynamik des PD-Reglers mit der
hen bleibt. Der P-Regler wird deshalb nur bei Genauigkeit des PI-Reglers kombiniert. Dieser
sehr einfach zu regelnden Strecken eingesetzt, bei Regler wird sehr häufig als so genannter Prozess-
denen diese prinzipbedingte stationäre Regelab- regler in verfahrenstechnischen Prozessen einge-
weichung nicht stört. setzt.
10 Elektronische Regler 517

Abb. 10.11 Bode- 10 G0 ( jω )


Diagramm des offenen
5
und geschlossenen Regel-

Magnitude (dB)
kreises für Das System 0
3. Ordnung aus Gegeben AR
ist ein rückgekoppeltes -5 Gw ( jω )
System wie in Abb. 10.9 -10

-15

-20

0
ϕ w ( jω )
-45
Phase (deg)

-90

-135 ϕ 0 ( jω ) ϕR
-180

-225

-270 2
10 ωD 103 ωA 104
Frequency (rad/sec)

Beispiel 10.4 Im fG0 .j!/g D 0 W


Das System 3. Ordnung aus Beispiel 10.3 soll ! !3
zur Verbesserung der stationären Genauigkeit  D0
200 s1 0;8  109 s3
mit einem PI- anstelle eines P-Reglers geregelt 8
<!A1 D 0 s1
werden. Es wird hier aber ein Amplitudenrand ) q
von nur 6 dB gefordert. :!A2 D 0;81091s3 D 2000 s1
200 s
Die Nachstellzeit TN wird gleich der Stre-
Re fG0 .j!/g D 1 W
ckenzeitkonstanten T1 gewählt, um sie direkt
zu kompensieren: 20002 s2
KP,Krit D D 5 D 13;98 dB
800  103 s2
1 C s  TN
G0 .s/ D KP Es soll hier wieder eine Amplitudenreserve
sT
„ ƒ‚ N … von 2 D 6 dB vorgesehen werden:
GR .s/
1 KP,Krit
   KP D D 13;98 dB  6 dB
.1 C s  T1 /  1 C s  2DT2 C s 2  T22 2
„ ƒ‚ …
GS .s/ D 7;98 dB
KP
D
s  TN C s 2  2DT2 TN C s 3  T22 TN In Abb. 10.11 ist für dieses Beispiel wieder der
Für die Diskussion der Stabilitätsgrenze Amplituden- und Phasengang des offenen (G0 ,
wird wieder der Frequenzgang herangezogen: '0 ) und des geschlossenen (Gw , 'w ) Regelkreises
dargestellt. Man erkennt die bessere stationäre
G0 .j!/ Genauigkeit einmal an dem für kleine Frequen-
KP,Krit zen integralen Verhalten des offenen Regelkreises
D  
!  2DT2 TN C j  !  TN  !  T2 TN
2 3 2 und andererseits an der weitaus besseren Annä-
KP,Krit herung des geschlossenen Regelkreises an die
D   0 dB-Linie im Vergleich zu Abb. 10.10, bei der
!2 !3
 800103 s2 C j 
!
200 s1
 0;8109 s3 wegen des fehlenden integralen Verhaltens die
518 J. Kempkes

1,2 Schwingneigung dadurch sofort wieder vergrö-


PID-Regler PI-Regler AR = 6 dB
ßert.
Soll aber die Schwingneigung spürbar verrin-
0,8 PI-Regler AR = 12 dB
Amplitude

gert werden, ohne dabei auf eine befriedigende


Dynamik zu verzichten, muss die Durchtrittsfre-
0,4 P-Regler AR = 12 dB quenz !D deutlich größer als die Eigenfrequenz
1=T2 des ungedämpften Systems sein. Dieses
Time (msec) wird aber erst durch eine deutliche Phasenanhe-
0,0 bung im Bereich der Eigenfrequenz 1=T2 mög-
0 4 8 12 16 20
lich.
Abb. 10.12 Sprungantwort einer schwach gedämpften P- Schaltet man parallel zu einem PI-Regler
T1-T2-Strecke mit einem P-, PI- bzw. PID-Regler einen PD-Regler, erhält man einen PID-Regler,
wobei die Übertragungsfunktion des PID-
Reglers – wie im Beispiel 10.2 gezeigt – sich
aus der Addition der Übertragungsfunktionen
Asymptoten des Amplitudengangs für kleine Fre- des PI-Reglers und des PD-Reglers ergibt.
quenzen bei ca. 5 dB D 0;562 liegt. Die Zeitkonstanten TZ1 und TZ2 werden so
Die Eigenfrequenz ist aber im Bereich der gewählt, dass sie in der Größenordnung der Zeit-
Phasendurchtrittsfrequenz !A immer noch sehr konstanten T2 liegen. Dadurch wird der Phasen-
gut zu erkennen. Auch wenn anstelle eines P- gang im Bereich der Eigenkreisfrequenz 1=T2
Reglers ein PI-Regler eingesetzt wurde, ist somit so angehoben, dass die Durchtrittfrequenz !D
fast das gleiche dynamische Verhalten zu erwar- möglichst groß werden kann. Mit der Zeitkon-
ten. stanten TN1 wird der Amplitudengang wieder-
Deutlicher ist der Unterschied aber an der um so angehoben, dass sich bei der Durchtritt-
Sprungantwort in Abb. 10.12 zu sehen. frequenz !D ein akzeptabler Phasenrand ergibt
Man erkennt aber sehr deutlich die bessere (s. Abb. 10.13).
Genauigkeit beim PI-Regler. Die Abdämpfung Bei schwingungsfähigen Systemen ist also of-
der Schwingneigung ist in beiden Fällen (P-=PI- fensichtlich ein D-Verhalten im Regler zur Pha-
Regler) wegen der sehr schwachen Dämpfung senanhebung notwendig. Nach Möglichkeit ver-
von D D 0;25 der Strecke nur durch einen größe- meidet man aber ein D-Verhalten im Regler, da
ren Amplituden- bzw. Phasenrand zu Lasten der das hiermit verbundene Hochpass-Verhalten in
Einstelldynamik zu erreichen. vielen Anwendungen Störungen unzulässig ver-
Insgesamt sind die Ergebnisse sowohl für den stärken kann.
P-, als auch für den PI-Regler nicht befriedigend. Bei der Dimensionierung des PID-Reglers
Mit einem P-Regler ist bei der schwach gedämpf- müssen jedoch drei Parameter festgelegt wer-
ten P-T1-T2-Strecke trotz der großen stationären den, wobei in diesem Fall wie in Abb. 10.13 zu
Regelabweichung die Schwingneigung der Stre- erkennen ist, sowohl der Amplituden- als auch
cke sehr unbefriedigend abgedämpft. Eine größe- der Phasengang nicht mehr befriedigend durch
re Dämpfung wäre nur mit einem größeren Am- Asymptoten beschrieben werden können.
plitudenrand und einer dadurch bedingten noch In der Praxis hat sich für die Diskussion von
größeren stationären Regelabweichung möglich. Regelkreisen die Arbeit mit Simulationswerk-
Mit einem PI-Regler wird die stationäre Re- zeugen etabliert, mit denen die Dimensionierung
gelgenauigkeit zwar garantiert, so dass hier von Reglern erheblich erleichtert wird. Die hier
auch ein größerer Amplitudenrand von 12 dB gezeigten Bodediagramme und Sprungantworten
für eine bessere Dämpfung möglich wird. Wird sind z. B. alle mit der Control-System-Toolbox
der Amplitudenrand zur Verbesserung der Re- unter MATLAB® (www.mathworks.com) erstellt
aktionszeit aber auf 6 dB verringert, wird die worden.
10 Elektronische Regler 519

Abb. 10.13 Bode- 20


Diagramm des offenen G0 ( jω )
15
und geschlossenen Regel-

Magnitude (dB)
kreises mit PID-Regler 10

0
Gw ( jω )
-5

-10

-45 ϕ w ( jω )
Phase (deg)

-90
ϕ 0 ( jω )
-135
ϕR
-180

-225

-270 2
10 103 ωD 104
Frequency (rad/sec)

10.3.2 Kaskadenregelung z.B.: L = 1,5 mH


L iL ia C = 180 µF
iC ue = 24 V
Bei einem Tiefsetzsteller (s. Abb. 10.14) wird ue uD C ua
ua =5V
im Ausgang ein LC-Tiefpass vorgesehen, der iL
durch die Belastung bedämpft wird. Für eine
Spannungsregelung ergeben sich dadurch ver- uaw eu iLw ei uDw
gleichbare Verhältnisse wie in den Beispielen PWM
Spannungs- Strom-
bereits beschrieben. Der Spannungsregler hat da- Regler Regler
bei die Aufgabe, eine grob tolerierte oder stark
schwankende Eingangsspannung zu kompensie- Abb. 10.14 Kaskadenregelung am Beispiel eines Tief-
setzstellers (Reglerkomponenten sind rot eingerahmt)
ren, indem über das einzustellende Pulsmuster
die Ausgangsspannung stabilisiert wird.
Bei dieser Anwendung wird man wegen der
durch die steilen Spannungsflanken verursachten nicht von einem Regler allein, sondern auf zwei
Störungen nach Möglichkeit auf den D-Anteil Regler verteilt. Dadurch wird es zusätzlich mög-
im Regler verzichten und die regelungstechnische lich, den Strom zu begrenzen.
Aufgabe stattdessen mit der in Abb. 10.14 dar- Über ein Messglied wird die Ausgangsspan-
gestellten Kaskadenregelung lösen. Dabei wird nung ua erfasst und durch Differenzbildung mit
die Regelabweichung eu der Ausgangsspannung dem Spannungssollwert uaw die Regelabwei-
nicht direkt über das Pulsmuster verändert, son- chung eu ermittelt. Der als PI-Regler ausgeführte
dern es wird in einem Zwischenschritt mit dem Spannungsregler ermittelt aus dieser Regelabwei-
Spannungsregler aus der Regelabweichung eu zu- chung den Sollwert iLw für den Drosselstrom iL .
nächst ein Sollwert iLw für den Drosselstrom iL Die Regelabweichung ei wird vom ebenfalls als
generiert, der dann über einen Stromregler aus PI-Regler ausgeführten Stromregler bewertet und
der Regelabweichung ei mit dem Pulsmuster als daraus wird der Tastgrad d D TEIN =TP für den
Stellgröße erst die Spannung ua einstellt. Die Tiefsetzsteller ermittelt. Es gelten folgende Dif-
Aufgabe der Spannungsregelung wird dadurch ferenzialgleichungen für die Drossel L und den
520 J. Kempkes

Gi
ue
GRu GRi GSi GSu
uaw iLw d uD iL ua ia
GSL
Spannungs- Strom- Drossel Kondens. Last
Regler Regler

Abb. 10.15 Dynamisches Mittelwertmodell des geregelten Tiefsetzstellers (Reglerkomponenten sind rot eingerahmt)

Ausgangskondensator C : System nichtlinear. Die Auswirkungen einer


schwankenden bzw. veränderlichen Eingangs-
diL spannung auf die Ausgangsspannung lässt sich
L D uD .t/  ua .t/ I
dt (10.15) dann aber nicht mehr mit Übertragungsfunktio-
dua nen im Frequenz- oder Laplacebereich diskutie-
C D iL .t/  ia .t/
dt ren. Der Regler kann mit diesen Methoden nur
bezüglich seines Führungsverhaltens hin unter-
Die schaltende Arbeitsweise des Tiefsetz-
sucht werden. Soll das Störverhalten untersucht
stellers hat in den Zeitverläufen der Ströme
werden, muss auf eine Simulation oder ein Expe-
und Spannungen pulsfrequente Oberschwingun-
riment zurückgegriffen werden. Es ist aber sinn-
gen zur Folge, die für eine regelungstechnische
voll, die Regelung erst einmal auf ein möglichst
Betrachtung störend bzw. meist unerheblich sind.
gutes Führungsverhalten hin zu optimieren, um
Es ist aber nicht die Aufgabe der hier zu untersu-
dann in einem weiteren Schritt das so genannte
chenden Regelung, diese Oberschwingungen zu
Störverhalten z. B. per Simulation zu kontrollie-
reduzieren. Deshalb werden nicht die genauen
ren oder zu optimieren.
zeitlichen Verläufe, sondern die über eine Puls-
Würde man in diesem Beispiel anstelle einer
periode TP gemittelten Verläufe betrachtet:
Kaskadenregelung direkt die Ausgangsspannung
Z P =2
t CT ohne unterlagerte Stromregelung versuchen zu
1 regeln, würde sich auf Basis der Gl. 10.16 ei-
N
x.t/ D x./ d (10.16)
TP ne schwingungsfähige Regelstrecke wegen der
t TP =2
beiden Energiespeicher in Form der Induktivi-
tät L und des Ausgangskondensators C ergeben.
Durch diese Mittelwertbetrachtung erfolgen kei-
Es soll unterstellt werden, dass der Ausgang des
nerlei Einschränkungen für den eigentlichen Zeit-
Tiefsetzstellers mit einem Widerstand R D 5 
verlauf; es werden nur die pulsfrequenten Ober-
belastet wird. Für den Kondensatorstrom iC gilt:
schwingungen nicht weiter betrachtet:

diNL duN a
L D uN D .t/  uN a .t/ I iNC .t/ D C D iNL .t/  iNa .t/
dt dt
duN a (10.17) ) s C  uN a .s/ D iNL .s/  iNa .s/
C D iNL .t/  iNa .t/ I
dt
uN D .t/ D d.t/  ue .t/ und mit uN a .s/ D R  iNa .s/:

Mit den Gl. 10.17 kann das dynamische Mit-


telwertmodell des geregelten Tiefsetzstellers in iNL .s/ D s C  uN a .s/ C iNa .s/
Abb. 10.15 dargestellt werden. uN a .s/
D s C  uN a .s/ C
Wird aber der zeitveränderliche Tastgrad d.t/  R
mit der zeitveränderlichen Eingangsspannung 1
D uN a .s/ CsC
ue .t/ multipliziert, wird das zu betrachtende R
10 Elektronische Regler 521

Gi Kompensation
1
ue
GRu GRi GSi GSu
uaw iLw d uD iL ua ia
GSL
Spannungs- Strom- Drossel Kondens. Last
Regler Regler ue

Abb. 10.16 Kaskadenregelung mit Störgrößenaufschaltung (Reglerkomponenten sind rot eingerahmt)

wohingegen sich aus dem Spannungsabfall an der Einsetzen von


Drossel
uN a .s/ D uN D .s/  s L  iNL .s/
diNL
uN D .t/  uN a .t/ D L
dt ergibt:
) uN D .s/  uN a .s/ D s L  iNL .s/  
1
NL .s/ D uN D .s/
i C s C
  R
mit iNL .s/ D uN a .s/ R1 C s C :  
1
 s L  iNL .s/ CsC
uN D .s/ D s L  iNL .s/ C uN a .s/ R
 
L bzw.
D uN a .s/ 1 C s  C s 2  L C
R    
L 1
iNL .s/ 1 C s C s 2 L C D uN D .s/ CsC
ergibt. Damit kann die Streckenübertragungs- R R
funktion angegeben werden: NiL .s/ 1 1CsRC
) D
uN D .s/ R 1CsR L
C s2 L C
uN a .s/ 1
D
uN D .s/ 1Cs R L
C s 2  LC Die problematische Rückkopplung über die Aus-
1 gangsspannung ua im Stromregelkreis kann aber
D wie in Abb. 10.16 dargestellt über eine zurückge-
1 C s 2D T0 C s 2 T0 2
koppelte Kompensation wieder aufgehoben wer-
mit den, so dass sich die Regelstrecke im Stromregel-
p kreis auf einen einfachen Integrator GSi reduziert.
T0 D LC D 51;96 s
r Die in Abb. 10.16 gestrichelt dargestellten Wir-
1 L kungslinien sind zwar vorhanden, aber dann nicht
DD D 0;289
2R C mehr wirksam.
Es ergibt sich also für die gesamte Regelstrecke Wenn der Stromregler als PI-Regler ausge-
ein PT2-Glied mit sehr ähnlichen Zahlenwerten führt wird, ergibt sich für den inneren Strom-
wie bei dem PT2-Glied in den Beispielen 10- regelkreis Gi nach Abb. 10.16 mit konstanter
1=2, das dort mit einem P-, PI- und PID-Regler Eingangsspannung ue als Gesamtübertragungs-
versucht worden ist zu regeln. Aber auch für die funktion ein System 2. Ordnung. Die Dämpfung
Regelstrecke im Stromregelkreis ergibt sich we- und die Eigenfrequenz können aber durch die
gen der Rückkopplungen in der Strecke ebenfalls Reglerparameter eingestellt werden:
ein zunächst schwer zu regelndes PT2-Glied:
G0i .s/ D GRi .s/  ue  GSi .s/
 
1 1 C sTNi 1
iNL .s/ D uN a .s/ CsC D KPi  ue 
R sTNi sL
522 J. Kempkes

1 C sTNi ses kompensieren:


D KPi  ue
s 2 L TNi
iNL .s/ G0i .s/ 1 C s T0i
D Gi .s/ D Gwi .s/ D W
iLw .s/ 1 C G0i .s/ 1 C 2s T0i
1 C sTNi iNL .s/
D D Gi .s/
1 C sTNi C s 2 KLPiTNi iLw .s/
ue
1 C sTNi G0i .s/ 1
D (10.18) D Gwi .s/  D
1 C G0i .s/ 1 C s T0i
1 C s 2Di T0i C s 2 T0i2
(10.20)
mit s Dadurch ergibt sich jetzt mit dem zusätzli-
L TNi chen Vorfilter Gwi als Übertragungsfunktion des
T0i D
KPi ue Stromregelkreis ein einfaches PT1-Glied nach
Gl. 10.20, mit dem jetzt der Spannungsregler
bzw.
dimensioniert werden kann. Die Übertragungs-
r funktion G0u des offenen Spannungsregelkreises
1 TNi 1 KPi ue TNi
Di D D ergibt sich zu (vgl. Abb. 10.17 unten):
2 T0i 2 L
G0u .s/ D GRu .s/  Gi .s/  GSu .s/
Wählt man die Dämpfung Di D 1 (kein Über-
1 C sTNu 1 1
schwingen), ergibt sich ein reeller Doppelpol für D KPu  
die Führungsübertragungsfunktion des Stromre- sTNu 1 C s T0i sC
(10.21)
gelkreises:
s Die Übertragungsfunktion G0u des offenen
p L
Di D 1 ) KPi ue D 2 Kreises weist einen Doppelpol bei s D 0 auf,
TNi so dass bei einer Kürzung des Pols der Über-
) TNi D 2T0i D 1 ms tragungsfunktion Gi des Stromregelkreises bei
s D 1=T0i durch die Nullstelle des Reglers der
bzw. KPi D 2 ueLT0i D 0;3
A Phasengang bei konstant 180ı läge. Für die Re-
gelung einer wie hier vorliegenden I-T1-Strecke
G0i .s/
Gi .s/ D bietet sich das so genannte symmetrische Opti-
1 C G0i .s/
mum nach Kessler (1958) an.
1 C sTNi
D Wegen des Doppelpols bei s D 0 beginnt
1 C s 2Di T0i C s 2 T0i2 der Phasengang bereits bei kleinen Frequen-
1 C 2s T0i zen bei 180ı . Durch die Reglernullstelle bei
D (10.19)
.1 C sT0i /2 !Nu D 1=TNu kann der Phasengang ausgehend
von 180ı aber angehoben werden, bevor er
Die Gesamtübertragungsfunktion des Strom- durch den Streckenpol bei !0i D 2=TNi wieder
regelkreises ist kein „reines“ PT2-Glied, sondern auf 180ı abgesenkt wird. Die Reglerverstär-
weist eine zusätzliche Nullstelle im Zählerpoly- kung wird dann so eingestellt, dass die Durch-
nom auf. Diese Nullstelle führt zu einem Über- trittfrequenz !D genau zwischen diesen beiden
schwingen von ca. 14%, das aber durch ein Frequenzen im Phasenmaximum liegt.
Führungsgrößenvorfilter Gwi in Stromregelkreis Der Faktor ˛ D !0i =!Nu bestimmt dabei die
mit Führungsgrößenvorfilter (oben) und Span- Größe der Phasenreserve. Bei ˛ D 9 ergibt sich er-
nungsregelkreis (unten) oben vermieden werden fahrungsgemäß ein Phasenrand von etwa 53ı . Die
kann. Dieses Vorfilter kann gleichzeitig auch Durchtrittfrequenz liegt im logarithmisch aufge-
noch einen der beiden Pole des Stromregelkrei- tragenen Frequenzgang genau zwischen den bei-
10 Elektronische Regler 523

ue ua
iL
Gi iLw
GRi GSi
iLw d uD iL 0.8
Gwi
Strom- Drossel ohne / mit Vorfilter
Regler
0.4

ue ua ia
0.0
0 1 2
GRu GSu t / ms
uaw iLw iL ua
Gi
Spannungs- Strom- Kondens.
Regler regelkreis

Abb. 10.17 Stromregelkreis mit Führungsgrößenvorfilter (oben) und Spannungsregelkreis (unten)

den Kreisfrequenzen !0i und !Nu : und es ergibt sich das in Abb. 10.18 dargestellt
r s Bode-Diagramm.
!Nu 1 Man erkennt im Phasengang einen bezüglich
!D D D
T0i T0i  TNu der Durchtrittfrequenz !D sehr symmetrischen
s Verlauf, der diesem Prinzip auch den Namen ge-
1 1 geben hat. Die Proportionalverstärkung KPu ist
D D p I
T0i  ˛T0i T0i  ˛ genauso gelegt worden, dass die Durchtrittfre-
TNu D ˛T0i D 4;5 ms ; !D D 666;7 s1 quenz !D genau an der Stelle mit dem größtmög-
lichen Phasenrand 'R liegt.
An der Stelle s D j!D muss der Amplituden-
gang (in Gl. 10.21 s D j!D einsetzen und den
10.3.3 Optimierung und Simulation
Betrag bilden) die 0 dB-Linie schneiden:

jG0u .j!D /j Bei der Reglerdimensionierung ist bis hier bei


ˇ ˇ
allen Komponenten ein lineares Verhalten unter-
ˇ 1 C j T˛Tp0i˛ 1 ˇˇ
ˇ 1 stellt worden. Es ist z. B. nicht berücksichtigt
D ˇKPu 0i
  ˇ
ˇ j T˛Tp0i˛ 1 C j T˛Tp0i˛ j T0iCp˛ ˇ
worden, dass die Stellgröße d einen einge-
ˇ p p ˇ
0i 0i
schränkten Wertebereich hat. Der Tastgrad d
T0i ˇˇ 1 C j  ˛ 1 ˛ ˇˇ
D KPu ˇ p  p  kann nur im Bereich von 0 : : : 1 verstellt werden,
C j ˛ 1 C j= ˛ j ˇ
ˇ p p p ˇ
so dass eine negative Stellgröße bei einem Über-
T0i ˇˇ 1 C j  ˛ j ˛ ˛ ˇˇ
schwingen gar nicht möglich ist. Bedingt durch
D KPu ˇ p  p 
C j ˛ j ˛1 j ˇ
die Schaltungstopologie (s. Abb. 10.14) kann der
p
T0i ˛ Š Spulenstrom iL ebenfalls keine negativen Wer-
D KPu D1 te annehmen. Auch dieses wird nicht bei der
C
C 180  106 As Modellierung mit linearen Übertragungsgliedern
) KPu D p D p berücksichtigt, wirkt sich aber bei einer schwach
T0i ˛ 0;0005  9 V  s
gedämpften Spannungsregelung aus.
A
D 0;12 Deshalb sind die gemachten Überlegungen je-
V
doch nicht hinfällig oder gar überflüssig. In der
Damit sind die Proportionalverstärkung und Praxis werden die bestimmten Reglerparameter
die Nachstellzeit des Spannungsreglers festgelegt durch eine Simulation überprüft und auch noch
524 J. Kempkes

Abb. 10.18 Durchgezoge- 60


ne Linien: Frequenzgang
40
des offenen Spannungs-

Magnitude (dB)
regelkreises, gestrichelte 20 GSt ( jω )
Linien: Frequenzgang der
0
Strecke G0u( jω )
-20

-40

-60
ω D = 666,7 s-1, ϕ R = 53°
-90

-105
ϕ St ( jω )
Phase (deg)

-120 ϕ0u( jω )
-135
ϕR
-150

-165

-180 1
10 102 ωD 104 105
Frequency (rad/sec)

weiter optimiert. Dieser numerische Optimie- grenzungseffekte näher zu untersuchen. Simu-


rungsvorgang kann durch diese Vorüberlegungen liert man z. B. die Regelung des Tiefsetzstellers
jedoch erheblich verkürzt werden. mit den im vorausgegangenen Kapitel angegebe-
Häufig muss die Regelung auch hinreichend nen Parametern, werden sich Begrenzungseffekte
robust dimensioniert werden. In dem gezeig- nicht bestätigen. Simuliert man mit ansonsten
ten Beispiel eines Tiefsetzstellers kann sich die gleichen Parametern den Tiefsetzsteller aber mit
Belastung dieser Spannungsversorgung im Be- einer Ausgangsspannung von 12 V anstelle der
trieb ändern. Bei unterschiedlichen Belastungen ursprünglichen 5 V, stellt man Unterschiede fest.
verändert sich die Übertragungsfunktion GSL in Durch die dann auftretenden Begrenzungseffekte
Abb. 10.15, was wiederum von der Regelung auf wird das Überschwingen im Stromregelkreis so
jeden Fall beherrscht werden muss. klein, dass auf das an sich nützliche Vorfilter für
Für derartige regelungstechnische Optimierun- den Stromsollwert doch wieder verzichtet werden
gen ist das Simulationswerkzeug MATLAB® = kann.
Simulink® (www.mathworks.com) sehr stark ver- Auch kann durch eine Simulation das in die-
breitet, das durch zusätzliche Toolboxen auf sem Fall nichtlineare Störverhalten untersucht
verschiedenste Anwendungen erweitertet wer- werden. Ein zu starker Einbruch der Ausgangs-
den kann. Für leistungselektronische Schaltungen spannung bei einem Einbruch der Eingangsspan-
kann z. B. die Toolbox PLECS® (www.plexim. nung kann evtl. durch ein Nachoptimieren der
com) verwendet werden, wobei sich in der Leis- Reglerparameter oder durch einen größeren Aus-
tungselektronik selbst das Simulationswerkzeug gangskondensator reduziert werden. Der zeitli-
Simplorer® (www.ansys.com) ebenfalls etabliert che Aufwand für den Entwicklungsprozess kann
hat. deutlich reduziert werden, wenn diese Entschei-
Die Vorgehensweise ist in der Regel so, dass dung auf der Basis einer Simulation gefällt wer-
man zunächst versucht, die ersten Überlegun- den kann. Es ist mit einem im Vergleich zur
gen und Ergebnisse mit einer Simulation zu Simulation größeren Aufwand zu rechnen, wenn
bestätigen. Erst danach wird man das Simu- bei einem Versuchsmuster noch Bauteile gewech-
lationsmodell soweit verfeinern, um z. B. Be- selt werden müssen.
10 Elektronische Regler 525

KS KS
e− sTt 1 + sTS
1,0
w 1 xe x Tt TS
GR
2
Regler Totzeit PT1 x / xe
W

Abb. 10.19 Regelstrecke mit PT1- und Totzeitglied, Sprungantwort

Tab. 10.3 Reglerparameter nach Ziegler=Nichols bei bekannten Streckenparametern oder durch Messung an der Sta-
bilitätsgrenze
KR TN TV KR TN TV
P-Regler TS =.KS Tt /   0;5  KP,Krit  
PI-Regler 0;9  TS =.KS Tt / 3;3  Tt  0;45  KP,Krit 0;83  TKrit 
PID-Regler 1;2  TS =.KS Tt / 2  Tt 0;5  Tt 0;65  KP,Krit 0;5  TKrit 0;125  TKrit

10.3.4 Empirische Einstellregeln der Periodendauer TKrit der Schwingung und


dem eingestellten Verstärkungsfaktor KP;Krit des
Es gibt in der Literatur etwa 200 verschiedene P-Reglers können die Reglerparameter gemäß
Einstellregeln für unterschiedliche Anwendun- Tab. 10.3 eingestellt werden. Diese Methode ist
gen und Optimierungskriterien. Nichtsdestowe- wegen der ungenauen Konstruktion der Wen-
niger kann man aber davon ausgehen, dass der detangente bei der open-loop-Methode genauer,
größte Teil aller Regler im industriellen Bereich kann aber nicht in jeder Anwendung eingesetzt
nicht optimal eingestellt ist. (Winde 2009) werden.
Bei den Einstellregeln nach Ziegler und Ni- Die Einstellregeln nach Ziegler und Nichols
chols (1942) wird für die Regelstrecke ein führen auf ein optimales Störverhalten mit einer
PT1-Verhalten mit einer zusätzlichen Totzeit relativ schwachen Dämpfung von 0,2 bis 0,3 für
unterstellt. Viele verfahrenstechnische Anlagen den geschlossenen Kreis.
können mit hinreichender Genauigkeit wie in Die Einstellregeln nach Chien, Hrones und
Abb. 10.19 dargestellt beschrieben bzw. model- Reswick (1952) basieren zwar auch auf der in
liert werden. vielen Fällen sehr vereinfachten Modellbildung
Die Strecke kann auf zwei Arten untersucht der Strecke nach Abb. 10.19 führen aber be-
werden. Kann die Regelstrecke hinter dem Reg- sonders bei Strecken höherer Ordnung oft zu
ler aufgetrennt werden (Schalter in Regelstrecke besseren Ergebnissen. Bei diesen Einstellregeln
mit PT1- und Totzeitglied, Sprungantwort in Stel- wird zum einen zwischen Führungs- und Stör-
lung 2, open-loop-Methode), können die Stre- größenverhalten unterschieden und zum anderen
ckenparameter KS , TS und Tt direkt – wie in der können unterschiedliche Anforderungen an die
Grafik dargestellt – aus der Sprungantwort be- relative Überschwinghöhe ü berücksichtigt wer-
stimmt werden. Dazu wird die Tangente an den den.
Wendepunkt W der Sprungantwort eingezeich- In Tab. 10.4 sind die Reglerparameter für ein
net. optimiertes Führungsverhalten (Tab. 10.4a,b) und
Die zweite Methode besteht darin, den ge- für ein optimiertes Störverhalten (Tab. 10.4c,d)
schlossenen Regelkreis (Schalter in Abb. 10.19 jeweils für eine relatives Überschwingen von ü D
in Stellung 1, closed-loop-Methode) mit einem 0 % (Tab. 10.4a,c) und ü D 20 % (Tab. 10.4b,d)
P-Regler zu betreiben und die Verstärkung des angegeben. Mit diesen angegebenen Werten sind
Reglers soweit zu erhöhen, bis der Regelkreis aber auch durchaus Zwischenwerte durch Inter-
die Stabilitätsgrenze erreicht und schwingt. Mit polation zu ermitteln.
526 J. Kempkes

a optim. Führungsverhalten ü = 0%, D ≈ 0,8 b optim. Führungsverhalten ü = 20%, D ≈ 0, 45


KR TN TV KR TN TV
T T
P-Regler 0,3 ⋅ S − − P-Regler 0, 7 ⋅ S − −
KS Tt KS Tt
TS TS
PI-Regler 0,35 ⋅ 1, 2 ⋅ TS − PI-Regler 0, 6 ⋅ 1, 0 ⋅ TS −
KS Tt KS Tt
TS TS
PID-Regler 0, 6 ⋅ 1, 0 ⋅ TS 0,5 ⋅ Tt PID-Regler 0,95 ⋅ 1,35 ⋅ TS 0, 47 ⋅ Tt
KS Tt KS Tt

c optimiertes Störverhalten ü = 0%, D ≈ 0,8 d optimiertes Störverhalten ü =20%, D ≈ 0, 45


KR TN TV KR TN TV
T T
P-Regler 0,3 ⋅ S − − P-Regler 0, 7 ⋅ S − −
KS Tt KS Tt
TS TS
PI-Regler 0, 6 ⋅ 4 ⋅ Tt − PI-Regler 0, 7 ⋅ 2,3 ⋅ Tt −
KS Tt KS Tt
TS TS
PID-Regler 0,95 ⋅ 2, 4 ⋅ Tt 0, 42 ⋅ Tt PID-Regler 1, 2 ⋅ 2, 0 ⋅ Tt 0, 42 ⋅ Tt
KS Tt KS Tt

Tab. 10.4 Einstellregeln nach Chien-Hrones-Reswick

Tab. 10.5 Einstellregeln nach Latzel


 ˛10 ˛50 ˛90 TN;PI =TS KP;PI KP;PI TN;PID =TS TV;PID =TS KP;PI KP;PI
ü D 10% ü D 20% ü D 10% ü D 20%
0,137 1,880 0,596 0,257 1,55 1;650=KD 2;603=KD
0,174 1,245 0,460 0,216 1,77 1;202=KD 1;683=KD
0.207 0,907 0,374 0,188 1,96 0;884=KD 1;153=KD 2,47 0,66 2;543=KD 3;510=KD
0,261 0,573 0,272 0,150 2,3 0;656=KD 0;812=KD 2,92 0,84 1;461=KD 1;830=KD
0,304 0,411 0,214 0,125 2,59 0;540=KD 0;654=KD 3,31 0,99 1;109=KD 1;337=KD
0,340 0,317 0,176 0,108 2,86 0;468=KD 0;561=KD 3,66 1,13 0;914=KD 1;082=KD
0,370 0,257 0,150 0,095 3,10 0;417=KD 0;497=KD 3,97 1,25 0;782=KD 0;922=KD
0,396 0,215 0,130 0,085 3,32 0;379=KD 0;451=KD 4,27 1,36 0;689=KD 0;812=KD
0,418 0,184 0,115 0,077 3,53 0;349=KD 0;413=KD 4,54 1,47 0;617=KD 0;727=KD
0,438 0,161 0,103 0,070 3,73 0;325=KD 0;384=KD 4,80 1,57 0;559=KD 0;660=KD
 D T10 =T90 ; TS D .˛10 T10 C ˛50 T50 C ˛90 T90 /=3

Eine noch genauere und schnellere Regelung in Einstellregeln nach Latzel die Werte für einen
erhält man mit den Einstellregeln nach Lat- PID-Regler dann bestimmt werden.
zel (1993), da die Sprungantwort genauer model- Auch wenn diese Einstellregeln sehr häufig
liert werden kann. Hierzu werden die Zeiten T10 , eingesetzt werden, stellen sie nicht immer ein
T50 und T90 ermittelt, nach denen die Strecken- Optimum dar. Sie sind natürlich eine sehr gute
sprungantwort 10, 50 und 90 % ihres stationären Hilfestellung, wenn die Streckenparameter nicht
Endwerts erreicht hat. Aus dem Koeffizienten bekannt sind und die Regelung auf der Basis einer
 D T10 =T90 werden aus Einstellregeln nach Messung dimensioniert werden muss. Wenn aber
Latzel die Wichtungsfaktoren ˛10 , ˛50 und ˛90 die Regelstrecke mathematisch zu beschreiben
zur Bestimmung der Streckenzeitkonstante TS D ist, führt eine genaue Diskussion mit anschlie-
.˛10 T10 C ˛50 T50 C ˛90 T90 /=3 abgelesen. Aus ßender Simulation meistens zu besseren Lösun-
Tab. 10.5 können die Werte für einen PI- und gen.
10 Elektronische Regler 527

10.4 Zur Übung 10.5 Weiterführende Literatur

Ü 10-1: Allpass-Schaltung  Angermann A., Beuschel M„ Rau M., Wohl-


farth, U.: (2011) Matlab - Simulink – State-
flow. Oldenbourg Wissenschaftsverlag.
C
ua
R  Chien, K. L., Hrones, J. A., Reswick, J. B.:
ue
u1 u2
(1952) On the automatic control of generali-
R C
zed passive systems, Trans. ASME 74, S. 175–
185.
Gegeben ist das nebenstehenden RC-Netzwerk.  Frey T., Bossert, M.: (2008) Signal- und Sys-
Geben Sie die Übertragungsfunktion an und skiz- temtheorie. Vieweg+Teubner Verlag.
zieren Sie das Bodediagramm. Geben Sie den  Girod, B., Rabenstein, R., Stenger, A.: (2007)
Verlauf der Ausgangsspannung ua .t/ bei einem Einführung in die Systemtheorie. 2. Auflage,
Eingangsspannungssprung von 0 auf 5 V an. Teubner Verlag
(ue .t/ D 5 V  ".t/)  Latzel, W.: (1993) Einstellregeln für vorge-
gebene Überschwingweiten, at - Automatisie-
Ü 10-2: Bodediagramm Die Bauteile im PID- rungstechnik 41, S. 103–113.
Regler aus Abb. 10.7 rechts sind zu R1 D  Lunze, J.: (2012) Regelungstechnik 1. 6. Auf-
10 k, R2 D 4;7 k, RInt D 100 k, CDif D lage, Springer Verlag.
CInt D 1 nF, festgelegt. Skizzieren Sie das Bode-  Lutz, H., Wendt, W.: (2005) Taschenbuch der
diagramm für die drei Fälle Regelungstechnik. Verlag Harri Deutsch.
a) RP D 0;5 M, RDif D 0 ,  Mann H., Schiffelgen, H., Froriep, R.: (2009)
CInt kurzgeschlossen Einführung in die Regelungstechnik. 8. Aufla-
b) RP D 0;5 M, RDif D 100 k, ge, Hanser Verlag.
CInt kurzgeschlossen  Orlowski, P.: (2011) Praktische Regelungs-
c) RP D 0;5 M, RDif D 100 k, technik. 9. Auflage, VDI-Springer Verlag.
CInt D 100 nF  Reinisch, K.: (1996) Analyse und Synthese
Wie groß sind jeweils die Zahlenwerte für die kontinuierlicher Steuerungs- und Regelungs-
Reglerparameter KP , TV und TN ? systeme. Verlag Technik
 Schulz, G.: (2010) Regelungstechnik 1.
Ü 10-3: Reglerdimensionierung Für das Bei- 4. Auflage, Oldenbourg Wissenschaftsverlag.
spiel in Kaskadenregelung am ist der Spannungs-  Weber, H., Ulrich, H.: (2011) Laplace-,
regler für den Leerlauf dimensioniert worden. Fourier- und z-Transformation. 9. Auflage,
Der Ausgang des Tiefsetzstellers wird jetzt aber ViewegCTeubner Verlag.
mit einem Widerstand Ra D 1  abgeschlos-  Winde, M.: (2009) Systematische Bewertung
sen. Skizzieren Sie das Bodediagramm für den und Ertüchtigung von industriellen Regelkrei-
offenen Spannungsregelkreis mit den bestimmten sen in verfahrenstechnischen Komplexen, Dis-
Reglerparametern. Wie groß sind Durchtrittfre- sertation an der Universität Bochum.
quenz und Phasenreserve für diesen Fall? Dimen-  Ziegler, J., G., Nichols, N., B.: (1942) Opti-
sionieren Sie den Regler neu, so dass sich bei mum settings for automatic controllers, Trans.
dieser Belastung wiederum ein Phasenrand von ASME 64, S. 759–768.
53° ergibt (gleiche Dynamik). Wie groß ist jetzt
die Durchtrittfrequenz? Welche Folgerung ziehen
Sie aus den Unterschieden?
Grundlagen der digitalen
Schaltungstechnik 11

Jürgen Gutekunst

11.1 Zahlensysteme Argumente, die einen negativen Exponenten ha-


ben (in Gl. 11.2 beispielsweise X1 Y 1 : : :),
Der Umgang mit Zahlen im täglichen Leben ergeben in jedem Zahlensystem die Nachkomma-
beschränkt sich in der Regel auf das dezimale zahlen. Der Umgang mit den so entstandenen
Zahlensystem, wie es aus der Schulzeit bekannt Gleitkommazahlen und die Handhabung im bi-
ist. Trotz der enormen Leistungsfähigkeit des De- nären Zahlensystem werden in Abschn. 11.1.4.2
zimalsystems gibt es in der digitalen Schaltungs- ausgeführt.
technik Problemstellungen, die nur durch den In der Digitaltechnik kennt man nur die bei-
Einsatz binärer Zahlensysteme sinnvoll gelöst den Zustände „wahr“ und „nicht wahr“ (Ab-
werden können. Die Bildung der Zahlensysteme schn. 11.1.2). Für das Argument X bleiben somit
unterliegt einer Systematik gemäß folgender Dar- nur die beiden Zahlenwerte 0 und 1, weshalb man
stellung: hier von einem binären Zahlensystem spricht.
! Nach Gl. 11.1 ergibt sich die Basis damit zu 2.
X i 2 N Davon ausgehend reicht dies vollkommen aus,
ZD Xi Y i : (11.1)
i
0 X <Y um ein Zahlensystem aufzubauen, das in seinem
Leistungsumfang dem bekannten Dezimalsystem
X ist dabei das Argument und kann sich nur in nichts nachsteht. Somit gilt:
im Bereich der Exponentenbasis bewegen. Es ist
stets kleiner als Y .0 X < Y /. Y gibt die Ba- I Im binären Zahlensystem ist die Basis stets 2.
sis und damit das Zahlensystem an, in dem man Das Argument einer jeden Stelle kann den
sich befindet. Im gewohnten Dezimalsystem ist Wert „0“ oder „1“ annehmen.
die Basis Y D 10. Das Argument X kann dem- Tabelle 11.1 zeigt eine Übersicht über die
nach die Werte 0 bis 9 annehmen. Nach Gl. 11.1 gebräuchlichsten Zahlensysteme, die auf eine bi-
lässt sich jedes Zahlensystem zu einer ganzzahli- näre Darstellung zurückgeführt werden, im Ver-
gen Basis definieren. gleich zum Dezimalsystem. Dabei ist die Wer-
Löst man die Summenformel nach Gl. 11.1 tigkeit der ersten vier Stellen (Y 0 bis Y 3 ) der
auf, so ergibt sich für die einfache Umrechnung einzelnen Zahlensysteme sowie die allgemeine
in das gewohnte dezimale Zahlensystem: Darstellungsform aufgetragen. Die letzte Spalte
gibt die Summenschreibweise der einzelnen Zah-
Z D : : : X3 Y 3 C X2 Y 2 C X1 Y 1
(11.2) lensysteme wieder.
C X0 Y 0 C X1 Y 1 : : : Speziell im Dualsystem (Abschn. 11.1.1)
nennt man das Argument X auch „Bit“, ein Kurz-
J. Gutekunst () wort, das aus dem englischen binary digit (binäre
E-Mail: jgutekunst@web.de Einheit) hergeleitet wird.
© Springer-Verlag GmbH Deutschland 2017 529
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_11
530 J. Gutekunst

Tab. 11.1 Übersicht über die Zahlensysteme


Wertigkeit der Argumente Xi nach Gl. 11.2 Summengleichung
P
Allgemeine Darstellung Y3 Y2 Y1 Y0 X Yi
3 2 Pi i i
Zahlensysteme: 10 10 101 100 i Xi 10
Dezimalzahl
Wert Wert Wert Wert Wert
Dezimal 1000 100 10 1
P
Dualzahl 23 22 21 20 i Xi 2i
Wert Wert Wert Wert Wert
Dual Dezimal 1000B 8 100B 4 10B 2 1B 1
P
Oktalzahl 83 82 81 80 i Xi 8i
Wert Wert Wert Wert Wert
Oktal Dezimal 1000O 512 100O 64 10O 8 1O 1
P
Hexadezimalzahl 163 162 161 160 i Xi 16i
Wert Wert Wert Wert Wert
Hex. Dezimal 1000H 4096 100H 256 10H 16 1H 1
Für alle Zahlen gilt: Xi D 1.

Setzt man in die Gl. 11.2 die Basis der ein- 11.1.1 Duales Zahlensystem
zelnen Zahlensysteme ein, so erhält man eine
einfache Umrechnung in das Dezimalsystem. Zur Das duale Zahlensystem ist das einfachste Zah-
Veranschaulichung soll beispielsweise die dezi- lensystem, das sich realisieren lässt. Es wird zur
male Zahl ZD D 269;3D und die binäre Zahl Basis 2 gerechnet, so dass nach Gl. 11.1 das Ar-
ZB D 0101;0B nach Gl. 11.2 in ihre Argumente gument die Werte 0 und 1 annehmen kann. (Da
mit entsprechender Wertigkeit aufgelöst werden: die Argumente stets kleiner als die Basis sein
müssen, bleiben für das Dualsystem lediglich die
ZD D 269;3D Zahlen 0 und 1 übrig. Würde man ein Zahlensys-
ZD D : : : 0  103 C 2  102 C 6  101 C 9  100 tem mit der Basis 1 wählen, könnte das Argument
C 3  101 C 0  102 C : : : nur noch den Wert 0 annehmen, womit sich kein
Zahlensystem mehr aufbauen lässt). Durch das
ZD D : : : 0 C 200 C 60 C 9 C 0;3 D 269;3D Einsetzen der Basis 2 in Gl. 11.1 erhält man für
das Dualsystem
Für Dualzahlen gilt entsprechend
0 1
ZB D 0101;0B i 2N
X B C
ZB D : : : 0  23 C 1  22 ZD Xi 2 i : @ 0 X <2 A (11.3)
C 0  21 C 1  20 C 0  21 C : : :
i
also X 2 Œ0;1

ZB D : : : 0 C 4 C 0 C 1 C 0 D 5D
Im dualen Zahlensystem findet eine Aufteilung
Alle weiteren, nicht aufgeführten Stellen haben der Zahlenreihen, wie sie beispielsweise im He-
stets das Argument „0“, so dass diese Stellen kei- xadezimalsystem durchgeführt wird, nicht statt.
nen Beitrag zum Zahlenwert leisten. Die Zahlenkolonnen unterliegen somit keinen
Das binäre Zahlensystem ist der Oberbegriff Grenzen. Eine acht Bit breite Dualzahl hat bei-
für alle Zahlensysteme, die schließlich auf ei- spielsweise die Argumente D0 bis D7, mit denen
ne 0=1-Darstellung zurückgreifen, oder aus ei- ein dezimaler Zahlenumfang von 0 bis 255 darge-
ner solchen erwachsen sind (siehe hierzu das stellt werden kann. Die Wertigkeit der Argumente
Oktalsystem und das Hexadezimalsystem in Ab- ergibt sich aus ihrer Stelle, wie Tab. 11.2 verdeut-
schn. 11.1.2). licht.
11 Grundlagen der digitalen Schaltungstechnik 531

Tab. 11.2 Zahlenbereich einer 8-Bit-Dualzahl


Most  Least
Significant Bit Significant Bit
MSB LSB
D7 D6 D5 D4 D3 D2 D1 D0 Argument
27 26 25 24 23 22 21 20 Wertigkeit
Dezimalwert
0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 1 1
0 0 0 0 0 0 1 0 2
0 0 0 0 0 0 1 1 3
0 0 0 0 0 1 0 0 4
0 0 0 0 0 1 0 1 5
0 0 0 0 0 1 1 0 6
0 0 0 0 0 1 1 1 7
:: :: :: :: :: :: :: :: ::
: : : : : : : : :
0 1 1 1 1 1 1 1 127
1 0 0 0 0 0 0 0 128
1 0 0 0 0 0 0 1 129
:: :: :: :: :: :: :: :: ::
: : : : : : : : :
1 1 1 1 1 1 0 1 253
1 1 1 1 1 1 1 0 254
1 1 1 1 1 1 1 1 255

Aus Tab. 11.2 geht auch hervor, dass das Bit Dualzahlen haben eine große Bedeutung für
mit der höchsten Wertigkeit stets in der linkendie Adressierung von Speicherzellen, in denen sie
Spalte eingetragen wird. Dieses Bit wird als Most
heute schon bis zu einer Breite von 32 Bit ein-
Significant Bit (MSB) bezeichnet. Dagegen befin-
gesetzt werden. Dies bedeutet einen dezimalen
det sich das niederwertigste Bit (D0) in der amZahlenumfang von 0 bis 232 1 oder rund 4,3 Mil-
liarden (bei der Adressierung spricht man auch
äußersten rechten Rand liegenden Spalte. Es wird
als Least Significant Bit (LSB) bezeichnet. von 4 Giga-Byte-Adressraum (Abschn. 12.3)).
Die größtmögliche Zahl Zmax , die bei einerEin weiteres Einsatzfeld für duale Zahlen sind
bekannten Anzahl k von Argumenten darstellbar digitale=analoge Schnittstellen (Kap. 10).
ist, lässt sich durch folgende einfache Gleichung Beispielsweise finden heute in den CD-Spie-
berechnen: lern D=A-Wandler mit einer Breite von bis zu
Zmax D 2k  1 (11.4) 20 Bit Verwendung, entsprechend einem Zahlen-
umfang von 1.048.576. Auch bei Steuerungen,
Große Dualzahlen werden oft in Felder von wie sie in Werkzeugmaschinen vorkommen, wer-
8, 16 oder 32 Bit zusammengefasst. Man spricht den die Einstellwerte als Dualzahlen behandelt.
dann von einem Byte, Word oder Double Word
(Long Word). Dabei gilt:
11.1.2 Hexadezimales Zahlensystem
I 1 Byte ist die Zusammenfassung von 8 Bit.
1 Word ist die Zusammenfassung von 16 Bit. Die Darstellung von großen Dezimalzahlen im
1 Word besteht aus 2 Byte. 1 Double Word ist die dualen Zahlensystem ist unübersichtlich und feh-
Zusammenfassung von 32 Bit. 1 Double Word lerträchtig. Deshalb hat man einzelne Bits zusam-
besteht aus 4 Byte. mengefasst und auf der Basis des Darstellungs-
532 J. Gutekunst

Tab. 11.3 Darstellung der dezimalen Zahlen 0 bis 9 im Tab. 11.4 Darstellung des Wertebereiches des Argumen-
oktalen Zahlensystem tes einer Hexadezimalzahl
Duale Darstellung Oktalzahl Duale Darstellung Hexadezimalzahl
D5 D4 D3 D2 D1 D0 O1 O0 Argument D3 D2 D1 D0 H0 Argument
25 24 23 22 21 20 81 80 Wertigkeit 23 22 21 20 160 Wertigkeit
Dezimalzahl Dezimalzahl
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
0 0 0 0 0 1 0 1 1 0 0 0 1 1 1
0 0 0 0 1 0 0 2 2 0 0 1 0 2 2
0 0 0 0 1 1 0 3 3 0 0 1 1 3 3
0 0 0 1 0 0 0 4 4 0 1 0 0 4 4
0 0 0 1 0 1 0 5 5 0 1 0 1 5 5
0 0 0 1 1 0 0 6 6 0 1 1 0 6 6
0 0 0 1 1 1 0 7 7 0 1 1 4 7 7
0 0 1 0 0 0 1 0 8 1 0 0 0 8 8
0 0 1 0 0 1 1 1 9 1 0 0 1 9 9
1 0 1 0 A 10
1 0 1 1 B 11
1 1 0 0 C 12
1 1 0 1 D 13
bereiches dieser Bitgruppen ein neues Zahlensys- 1 1 1 0 E 14
tem aufgebaut. 1 1 1 1 F 15
Auf diese Weise entstand das oktale Zahlen-
4 Bit D Halbbyte
system, das 3 Bit zusammenfasst und somit zur
Basis 8 (23 ) gerechnet wird.

0 1 ist 16. Es gilt


X i 2N
B C 0 1
ZD Xi 8 i : @ 0 X <8 A (11.5) i 2N
X B C
i also X 2 Œ0;7
ZD Xi 16i : @ 0 X < 16 A (11.6)
i
also X 2 Œ0;15

Die Zusammenfassung von 3 Bit ermöglicht die


Darstellung der Zahlen 0 bis 7, also acht Zustän- Bei der Zusammenfassung von 4 Bit spricht man
de (oktal). Für die dezimalen Zahlen 0 bis 9 ist auch von einem Halbbyte oder Nibble. Dieses
im oktalen Zahlensystem bereits eine zweistellige Nibble kann genau diese 16 Zahlen (von 0 bis
Zahl notwendig, wie Tab. 11.3 zeigt. Doch die- 15D ) darstellen.
se Gruppen von 3 Bit erwiesen sich als schlechte
Teiler, wenn es sich um 8, 16 oder 32 Bit, wie bei I Unter Halbbyte oder Nibble versteht man die
Mikroprozessoren, handelt. Aus diesem Grund Zusammenfassung von 4 Bit. Damit können
soll nicht weiter auf das Oktalsystem eingegan- 16 Zustände dargestellt werden.
gen werden.
Als sinnvolle Teilung zeigte sich die Zusam- Da die Dezimalschreibweise einer Ziffer nur
menfassung von 4 Bit des Dualsystems. Durch von 0 bis 9 reicht, werden die Zahlen 10 bis 15
die Darstellungsmöglichkeit von nunmehr 16 Zu- durch die Buchstaben A bis F dargestellt, um
ständen (24 D 16), spricht man hier vom Hexa- so eine einstellige Zahl zu gewährleisten. Ta-
dezimalsystem (10 Ziffern von 0 bis 9 und 6 Buch- belle 11.4 zeigt das Halbbyte einer vierstelligen
staben von A bis F), das in der Rechnertechnik Dualzahl (D0–D3) sowie die 16 möglichen Wer-
sowie bei der Programmierung nicht mehr weg- te des Argumentes X der Hexadezimalzahl nach
zudenken ist. Die Basis dieses Zahlensystems Gl. 11.12.
11 Grundlagen der digitalen Schaltungstechnik 533

Tab. 11.5 Umwandlung einer Dezimalzahl in eine Hexadezimalzahl


Schritt Rest (dezimal) Teiler Ergebnis Bemerkung
1 2045 163 0H 163 (4096) ist keine Teilmenge von 2045
2 2045 162 7H 162 (256) geht 7 mal in 2045
3 253 161 FH 16 geht 15 mal (D FH ) in 253
4 13 – DH DH entspricht dem dezimalen Rest 13
2045D ! 07FDH

Auf diese Weise lassen sich beispielsweise Lösung


16 Bit breite Dualzahlen durch eine vierstellige Durch die Anwendung von Gl. 11.12, die wie
Hexadezimalzahl darstellen (16 Bit D 4 Nibble Gl. 11.2 aufgelöst wurde, erhält man
bzw. 4 Halbbytes).
Wie beim Dezimalsystem erfolgt auch hier
105C;4H D X3  163 C X2  162 C X1  161
beim Überschreiten des Darstellungsbereiches
ein Übertrag auf die nächst höhere Basisgruppe. C X0  160 C X1  161
Allerdings ist dies bei den Hexadezimalzahlen 105C;4H D 1  4096 C 0  256 C 5  16
erst bei FH , also 15D der Fall. Die Zahl 16 stellt
C 12  1 C 4  0;0625
sich somit zu 10H dar. Hier wird bereits ein
Problem deutlich, das sich beim Umgang mit ver- 105C;4H D 4188;25D
schiedenen Zahlensystemen stellt. Eine Zahl ist
durch ihre Ziffernfolge alleine nicht eindeutig be- Die Überführung einer Dezimalzahl in eine
schrieben. Beispielsweise kann die Ziffernfolge Hexadezimalzahl erfordert etwas mehr Auf-
10 in den verschiedenen Zahlensystemen folgen- wand.
de Dezimalwerte besitzen:

Dezimalsystem: 10D D 10D Beispiel 11.1-2


Oktalsystem: 10O D 8D Es soll die dezimale Zahl 2045D in eine hexa-
dezimale Zahl umgewandelt werden.
Hexadezimalsystem: 10H D 16D
Dualsystem: 10B D 2D
Lösung
Bei Verwendung verschiedener Zahlensysteme Man sucht die passenden Teiler zur Basis 16
ist deshalb eine Kennzeichnung der Zahlen uner- (163 , 162 , 161 , 160 ) und bestimmt die Teilmen-
lässlich. Dies kann durch einen Index geschehen gen mit der dezimalen Zahl (mit dem größten
(wie in diesem Buch) oder durch Anhängen des Teiler beginnend). Dies lässt sich schrittweise
betreffenden Buchstabens (z. B. 07F2 H). In den nach Tab. 11.5 durchführen.
meisten Programmiersprachen werden hexadezi-
male Zahlen durch ein vorangestelltes $-Zeichen
gekennzeichnet. Eine Kennzeichnung des Zah- Rechnen mit hexadezimalen Zahlen Die Re-
lensystems kann auch durch die Angabe des de- chenoperationen mit Hexadezimalzahlen unter-
zimalen Basiswertes erfolgen (z. B. 10H D 1016 ). liegen denselben Regeln, die von den Dezimal-
Bei der Kennzeichnung ist Sorgfalt geboten, da zahlen her bekannt sind. Auch hier wird bei Über-
dies eine der häufigsten Fehlerquellen ist. schreiten des Darstellungsbereiches ein Übertrag
zur nächst höheren Stelle notwendig:
Beispiel 11.1-1
Es soll die hexadezimale Zahl 105C,4H in eine I Erreicht ein Argument den Basiswert, so erfolgt
dezimale Zahl umgerechnet werden. ein Übertrag auf die nächst höhere Stelle.
534 J. Gutekunst

Bei dezimalen Zahlen geschieht dieser Über- Multiplikation:


trag bei 9, bei Hexadezimalzahlen erfolgt dieser A 1 2H  4H
entsprechend bei FH (D 15D ). An den drei Grund-
2 8 4 8H
rechenarten, Addition, Subtraktion und Multipli-
kation lässt sich das leicht veranschaulichen: 1 0H  1 0 0H
Addition: 1 0H
2AH 0 0H
C 18H 0 0H
D 42H 1 0 0 0H
Multiplikation und Division lassen sich je-
Auch für die Subtraktion gelten dieselben Regeln
doch einfacher durch Hexadezimal-Rechner oder
wie im Dezimalsystem:
Multiplikations-Tabellen durchführen.
Subtraktion:
32 H
 1CH 11.1.3 BCD-Zahlensystem
D 16 H
Als Alternative zum Hexadezimalsystem, das
In Rechnersystemen wird oft eine Variante der sehr ungewohnt ist, hat man sich ein Zahlensys-
Subtraktion eingesetzt. Dazu bildet man das tem überlegt, das dem uns vertrauten Dezimal-
Zweierkomplement der abzuziehenden Zahl und system sehr nahe kommt, dennoch aber auf einer
addiert es zum Subtrahenden. (Das Zweierkom- binären Basis beruht: das Binär Codierte Dezi-
plement ist im Abschn. 11.1.4 ausführlich be- malsystem (BCD-System).
schrieben.) Zunächst erscheint dies kompliziert, Die Grundlage für das BCD-System ist das
vorteilhaft jedoch ist, dass der Rechner nun die duale Zahlensystem. Es werden, wie beim hexa-
eigentliche Subtraktion wie eine Addition aus- dezimalen Zahlensystem, vier Bit (also ein
führen kann. Nibble) zu einer Stelle zusammengefasst. Der
Subtraktion (Variante): Übertrag erfolgt bei 9 (wie beim Dezimalsystem).
Tabelle 11.6 zeigt die Zahlen 0 bis 9 sowie der
32 H 32H Übertrag auf die Zahl 10.
Weil der Übertrag bei 9 erfolgt, werden nicht
 1CH ! ZK D CE4H
alle möglichen Kombinationen ausgenutzt, die
1 16H D 16H sich mit vier Bit darstellen lassen. (Übertrag im
" Hexadezimalsystem bei 15D .) Der Vorteil in der
Übertragung wird BCD-Darstellung liegt jedoch in der gleichen
nicht berücksichtigt
Darstellung wie im Dezimalsystem: Fasst man
Multiplikation und Division lassen sich ebenfalls die 4 Bit Nibble oder Halbbyte) in obiger Weise
nach bekannter Weise durchführen, werden aber zusammen, besitzt jede Stelle dieselbe Wertig-
selten gebraucht. Dennoch sei hier der Vollstän- keit wie im Dezimalsystem. Aus diesem Grund
digkeit wegen ein Beispiel zur Multiplikation lassen sich auch die Rechenoperationen wie ge-
aufgeführt. wohnt durchführen.
Wie beim Dezimalsystem, werden auch beim BCD-Zahl, dual dargestellt:
Hexadezimalsystem bei der Multiplikation die 1000 0110 0001
Stellen des Multiplikanden einzeln mit dem Mul- 1: 2: 3: Nibble
tiplikator multipliziert, wobei ein eventuell ent- j j j
stehender Übertrag der vorigen Zahl natürlich 8 6 1 D
berücksichtigt werden muss. Der Übertrag erfolgt Die Kennzeichnung einer BCD-Zahl erfolgt
im Hexadezimalsystem bei der Zahl n  16 (n D ebenfalls mit einem „D“ für dezimal, da sie sich
1; 2; : : :). in dieser Darstellung nicht von einer Dezimal-
11 Grundlagen der digitalen Schaltungstechnik 535

Tab. 11.6 Das BCD-Zahlensystem „0“, wenn es sich um eine positive Zahl handelt,
BCD-Zahlensystem bei einer negativen Zahl den Wert „1“. Die ein-
fachste Art, eine negative Zahl darzustellen, ist
D3 D2 D1 D0 dezimaler
die Vorzeichen-Betrags-Darstellung (VBD):
Wert
0 0 0 0 0 I Bei der Vorzeichen-Betrags-Darstellung (VBD)
0 0 0 1 1 wird durch ein zusätzliches Bit das Vorzeichen
0 0 1 0 2 dargestellt. Dieses Vorzeichenbit ist 0 bei einer
positiven Zahl und 1 bei einer negativen.
0 0 1 1 3
0 1 0 0 4 Zur Veranschaulichung sei die dezimale Zahl
0 1 0 1 5 11 im Dualsystem sowohl positiv als auch nega-
0 1 1 0 6 tiv in der Vorzeichen-Betrags-Darstellung darge-
stellt:
0 1 1 1 7
1 0 0 0 8 Betrag

1 0 0 1 9 0 1 0 1 1B = 11D
1 0 0 0 0 10 Vorzeichenbit „0“, d.h. positive Zahl
1 1 0 1 1B = –11D
Übertrag auf die nächste
Stelle Vorzeichenbit „1“, d.h. negative Zahl

Es wird deutlich, dass sich die negative Zahl


zahl unterscheiden lässt. Allerdings muss auf der von der positiven Dualzahl 27D nicht unterschei-
dualen Zahlenebene die BCD-Kodierung eindeu- det. Bei der Verwendung eines Vorzeichenbits
tig gekennzeichnet werden. muss deshalb der Entwickler für Eindeutigkeit
Durch den eingeschränkten Darstellungsbe- sorgen.
reich BCD-kodierter Zahlen hat das BCD-Zah- Für die Verarbeitung in Prozesssteuerungen
lensystem in der Mikrorechnerprogrammierung oder in Signalverarbeitungs-Rechnern hat sich
praktisch keine Bedeutung. Doch überall dort, wo obige Darstellung von negativen Zahlen als un-
die Schnittstelle Mensch=Maschine besteht, dient geeignet erwiesen. In diesen Fällen wird die Zahl
es zur Umsetzung in die uns vertraute dezima- als Zweierkomplement (ZK) dargestellt. Die Be-
le Darstellung: Beispielsweise bei der Anzeige deutung des Vorzeichenbits bleibt dabei erhal-
von Rechenergebnissen auf dem Taschenrechner ten: positive Zahlen werden mit einer führenden
oder bei der Eingabe von Zahlen. Ein sehr wich- „0“ gekennzeichnet, negative Zahlen mit „1“.
tiger Einsatzbereich sind Zähler jeglicher Art, Die nachfolgenden Bits bilden bei den negativen
ob Stückgutzähler oder Frequenzzähler. In allen Zahlen jedoch das Zweierkomplement zur positi-
Anwendungsfällen geht es darum, digital aufbe- ven Zahl. Unter dem Zweierkomplement versteht
reitete Informationen dem Benutzer in dezimaler man die Ergänzung der positiven Zahl auf die
Form anzuzeigen. Basis des Zahlensystems. So gilt für das Zwei-
erkomplement des Hexadezimalsystems:
11.1.4 Erweiterungen des binären
Zahlensystems I Das Zweierkomplement (ZK) einer Hexadezi-
malzahl ist die Ergänzung auf die Basis 16.
11.1.4.1 Negative Zahlen
Für die Darstellung negativer Zahlen dient ein Der Vollständigkeit wegen wird auch der Be-
weiteres Bit als Vorzeichenbit. Es besitzt den Wert griff des Einerkomplements erklärt. Es stellt die
536 J. Gutekunst

Differenz der bestehenden Zahl zur maximal dar- plement-Darstellung eindeutig kennzeichnet. Ta-
stellbaren Zahl dar und wird durch eine einfache belle 11.7 gibt die Zahlen einer 5 Bit breiten Zahl
Inversion in der binären Schreibweise gewon- und ihr Zweierkomplement wieder. Durch das
nen. Vorzeichenbit müssen 6 Bit vorhanden sein. Es
fällt auf, dass die Darstellung der Zahl null bei
I Das Einerkomplement (EK) einer Hexadezimal- den positiven Zahlen und im Zweierkomplement
zahl ist die Ergänzung zur höchsten Zahl 15. Es gleich ist. Aus diesem Grund ist es möglich,
ergibt sich aus dem Inversen der Dualzahl. die negative Zahl 32D mit nur fünf Bits darzu-
stellen.
Um den Umgang mit den Komplementzahlen
zu veranschaulichen, soll das Zweierkomplement 11.1.4.2 Festkomma-
zur hexadezimalen Zahl 9H gesucht werden: und Gleitkommazahlen
In den obigen Ausführungen wurde stets von
1001B D 9H
der Festkomma-Darstellung einer Zahl ausge-
Das Zweierkomplement zur Zahl 9H errechnet gangen, bestehend aus einer bestimmten Anzahl
sich aus der Ergänzung zur Basis 16. Dies ergibt von Vorkommastellen und Nachkommastellen. Im
eine Differenz von 7H : Allgemeinen arbeitet man im hexadezimalen und
binären Zahlensystem ohne Nachkommastellen.
ZK: 0111B D 7H : Dies hat den Nachteil, dass der Zahlenbereich
recht begrenzt ist, aber für Steuerungszwecke
Zur Probe kann man die Zahl und deren Zweier- ausreicht. Auf dem Zahlenstrahl in Abb. 11.1 ist
komplement addieren; es muss sich die Zahl null im oberen Teil der Bereich von dualen Zahlen in
sowie ein Übertrag ergeben, wie folgende Rech- Abhängigkeit ihrer Breite aufgetragen. Eine 16-
nung zeigt: Bit-Zahl erreicht demnach ihren maximalen Wert
1001B D 9H bei 216  1, also bei 65.535.
0111B D 7H Eine wesentliche Erweiterung des Zahlenbe-
10000B D 10H reiches bringt das Hinzufügen eines Exponen-
– Übertrag –
ten. Man spricht dann auch von einer Gleitkom-
mazahl, da durch den Exponenten keine festen
Da das Argument der Hexadezimalzahl nur Nachkomma- oder Vorkommastellen mehr defi-
vier Bit breit ist, kann der Übertrag durch die- niert sind. Der Aufbau einer binären Gleitkom-
se einstellige Zahl nicht mehr dargestellt wer- mazahl ist die eine Dezimalzahl gleich:
den, so dass das Ergebnis dieser Addition null
ist. Die Bildung des Zweierkomplements wird
rechnertechnisch aus dem Inversen der positiven
Zahl gebildet, zu dem noch „1“ addiert wird.
Es wird also das Einerkomplement um 1 er-
höht:
(11.7)
1001B Ausgangszahl
0110B Inverse zur positiven Zahl In der Regel wird eine andere Schreibweise
benutzt:
(Einerkomplement)
1B Addition von 1
0111B ZK der positiven Zahl.
(11.8)
Die Verwendung von Zahlen im Zweierkom-
plement wird stets in Verbindung mit einem Vor- Der Exponent ist dabei die Hochzahl, die an-
zeichenbit vorgenommen, das die Zweierkom- gibt, wie oft die Basis mit sich selbst multipliziert
11 Grundlagen der digitalen Schaltungstechnik 537

Tab. 11.7 Negative Dualzahlen in der Zweierkomplement-Darstellung


Positive Dualzahlen Negative Dualzahlen inZweierkomplement-Darstellung
Dezimalzahl D5 D4 D3 D2 D1 D0 Dezimalzahl D5 D4 D3 D2 D1 D0
VZ 24 23 22 21 20 VZ 24 23 22 21 20
0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 1 1 1 1 1 1 1 1
2 0 0 0 0 1 0 2 1 1 1 1 1 0
3 0 0 0 0 1 1 3 1 1 1 1 0 1
4 0 0 0 1 0 0 4 1 1 1 1 0 0
5 0 0 0 1 0 1 5 1 1 1 0 1 1
6 0 0 0 1 1 0 6 1 1 1 0 1 0
7 0 0 0 1 1 1 7 1 1 1 0 0 1
8 0 0 1 0 0 0 8 1 1 1 0 0 0
9 0 0 1 0 0 1 9 1 1 0 1 1 1
10 0 0 1 0 1 0 10 1 1 0 1 1 0
11 0 0 1 0 1 1 11 1 1 0 1 0 1
12 0 0 1 1 0 0 12 1 1 0 1 0 0
13 0 0 1 1 0 1 13 1 1 0 0 1 1
14 0 0 1 1 1 0 14 1 1 0 0 1 0
15 0 0 1 1 1 1 15 1 1 0 0 0 1
16 0 1 0 0 0 0 16 1 1 0 0 0 0
17 0 1 0 0 0 1 17 1 0 1 1 1 1
18 0 1 0 0 1 0 18 1 0 1 1 1 0
19 0 1 0 0 1 1 19 1 0 1 1 0 1
20 0 1 0 1 0 0 20 1 0 1 1 0 0
21 0 1 0 1 0 1 21 1 0 1 0 1 1
22 0 1 0 1 1 0 22 1 0 1 0 1 0
23 0 1 0 1 1 1 23 1 0 1 0 0 1
24 0 1 1 0 0 0 24 1 0 1 0 0 0
25 0 1 1 0 0 1 25 1 0 0 1 1 1
26 0 1 1 0 1 0 26 1 0 0 1 1 0
27 0 1 1 0 1 1 27 1 0 0 1 0 1
28 0 1 1 1 0 0 28 1 0 0 1 0 0
29 0 1 1 1 0 1 29 1 0 0 0 1 1
30 0 1 1 1 1 0 30 1 0 0 0 1 0
31 0 1 1 1 1 1 31 1 0 0 0 0 1
0 0 0 0 0 0 32 1 0 0 0 0 0
VZ D Vorzeichen

werden muss (z. B. ist 23 D 2 2 2). Die Mantisse Abbildung 11.1 unten zeigt den Zahlenbereich
entspricht dem Argument der Zahlensysteme. Da der Gleitkommazahlen in Abhängigkeit von ihrer
es sich jedoch um eine Gleitkommazahl handelt, Mantissen Breite und der Breite des Exponenten.
ist der Darstellungsbereich der Mantisse nicht Wird der Exponent gleich null gesetzt, so ent-
nur auf die ganzen Zahlen beschränkt, sondern spricht diese Gerade genau dem Zahlenbereich
deckt den gesamten reellen Zahlenbereich inner- der Festkommazahlen. Dies wird in der oberen
halb des benutzten Zahlensystems ab. Dabei kann Bildhälfte dargestellt.
die Mantisse auch ein Vielfaches der Basis anneh- Gleitkommazahlen können im Zweierkomple-
men, wie Beispiel 11.1-3 zeigt. ment dargestellt werden. Dies erweitert den Zah-
538 J. Gutekunst

der Mantisse annimmt. In Abb. 11.2 sind die


Wertebereiche einer 10 Bit breiten Mantisse in
Abhängigkeit des Exponenten dargestellt. Der
Exponent nimmt dabei die Werte 3, 4 und 5 ein,
einschließlich des Vorzeichenbits.
Bewegt sich die Zahl im Wertebereich der
Mantisse, ist es immer möglich, den Exponenten
null werden zu lassen. Wie beim Dezimalsystem
beeinflusst die Kommastelle den Exponenten, wie
das folgende Beispiel zeigt.

Beispiel 11.1-3
Der Begriff Gleitkommazahl soll an einer de-
zimalen Zahl und an einer binären Zahl ver-
anschaulicht werden. Dazu soll der Wert der
Zahlen konstant bleiben, der Exponent sich
aber in Abhängigkeit der Kommastelle än-
dern.
Abb. 11.1 Darstellungsbereich von Dualzahlen und Gleit-
kommazahlen
Lösung
Am deutlichsten kann das bei den dezimalen
Zahlen gezeigt werden:

108D D 108  100D D 10;8  101D


(11.9)
D 1;08  102D D 0;108  103D :

Dass dies auch für das binäre Zahlensystem


gilt, zeigt die Dualzahl

0110 1100  2000


B D 0110 110;0  2B
001
(11.10)
Abb. 11.2 Gleitkommazahlen mit einer Mantissenbreite D 0110 11;00  2010
B
von 10 Bit einschließlich Vorzeichenbit

Aus diesem Beispiel wird der Begriff Gleit-


lenbereich nochmals erheblich, es muss jedoch, kommazahl deutlich. Beide Zahlen stellen die
wie bereits erwähnt, das führende Bit als Vorzei- Zahl 108D dar. Rückt man bei der binären Dar-
chenbit eingesetzt werden. Die Basis des Expo- stellung das Komma hinter das Vorzeichenbit,
nenten ist 2. So kann der Exponent im obigen so erhält man die normalisierte Darstellung der
Beispiel als größte positive Zahl 01111B (d. h. Gleitkommazahl.
15D ) einnehmen. Die führende 0 gibt an, dass es
sich um eine positive Zahl handelt. Zur Basis 2 Normalisierung von binären Gleitkommazah-
gerechnet ergibt sich ein maximaler Multiplika- len Die Mantisse einer normalisierten Gleit-
tor von 32.767 (D 215  1). Die größte negative kommazahl im Zweierkomplement besteht aus
Zahl erhält man aus dem Zweierkomplement zu einem Vorkommabit, das zugleich das Vorzei-
10000B (d. h. 16D ). chenbit ist, und einer bestimmten Anzahl von
Die Mantisse wird dann mit 65:536 Nachkommabits, die von der Mantissenbreite ab-
(D 216 ) gewichtet. Der kleinste Exponent kann hängen. Diese Form der Darstellung verlagert
natürlich 0 sein, wodurch die Zahl stets den Wert den „Grobwert“ der Zahl in den Exponenten
11 Grundlagen der digitalen Schaltungstechnik 539

und den „Feinwert“ in die Mantisse. Die Man-


tisse einer normalisierten Zahl stellt somit kei-
ne Vielfachen der Exponentenbasis mehr dar.
Aufgrund des einzigen Vorkommabits, das zu-
dem noch das Vorzeichen repräsentiert, kann die
Mantisse in dieser Darstellung nie größer als 1
werden.
Am Beispiel einer 16 Bit breiten Mantis- Bei der Normalisierung wird der Exponent um
se kann diese den folgenden höchsten positiven den Betrag verringert, um den die Mantisse nach
Wert einnehmen: links verschoben wird. Die nachfolgenden Stellen
werden mit „0“ aufgefüllt. Wird eine Zahl „ent-
0;111 1111 1111 1111B normalisiert“, bedeutet dies stets einen Verlust an
Genauigkeit:
Dies entspricht 0;9999695D. Die größte negative
0;111 0101 0001 0011 E 00010
Zahl der Mantisse erhält man durch das Zweier-
komplement D 0;94642334  22 D 3;658569336 I

entnormalisiert:
1;000 0000 0000 0000B
0; 001 1101 0100 0100 E 00100
und hat den dezimalen Wert 1. Die kleinste Zahl D 0;23059082  24 D 3;689453125 :
in dieser Darstellung ist
Bei dieser Entnormalisierung hat die Ausgangs-
zahl einen Genauigkeitsverlust von 0,030883789.
0;000 0000 0000 0001B D 0;0000305D
Das bedeutet: Höchstmögliche Genauigkeit er-
reicht man stets mit normalisierten Zahlen.
bzw. Für negative Zahlen gilt entsprechend dassel-
be: Das erste Nachkommabit muss sich von dem
1;111 1111 1111 1111B D 0;0000305D Vorzeichen (eine Eins) unterscheiden, ist also ei-
ne „0“. Zusammenfassend gilt:
Die größte darstellbare Zahl wird also vom Expo-
nenten bestimmt, da die Mantisse näherungswei- I Eine normalisierte Mantisse liegt dann vor,
se 1 ist. wenn sich das 1. Nachkommabit vom Vorzei-
Bei einer normalisierten Mantisse werden chenbit unterscheidet.
die Nachkommastellen optimal ausgenutzt. Dies
wird bei positiven Zahlen dann erreicht, wenn die Mit den Gleitkommazahlen lassen sich alle re-
erste Nachkommazahl „1“ ist. Die Mantisse er- ellen Zahlen darstellen, also auch sehr kleine.
reicht dabei ihre höchste Genauigkeit und bewegt Abbildung 11.3 zeigt deutlich, dass die Menge
sich im Bereich von 0,5 bis 1. Um eine nor- der Zahlen kleiner 1 genauso groß ist wie die
malisierte Gleitkommazahl zu erhalten, wird das Menge der Zahlen größer 1. Der Grund dafür
Zusammenspiel zwischen Exponent und Kom- liegt darin, dass der Exponent ebenso viele po-
mastelle, wie es bereits in Beispiel 11.1-3 erläu- sitive wie negative Werte annehmen kann.
tert wurde, ausgenutzt: Die zu normalisierende
Zahl wird so lange an das Komma herangescho-
ben, bis die erste Nachkommastelle eine 1 ist. In 11.2 Kodes
gleicher Weise verringert sich der Exponent um
die Anzahl der geschobenen Stellen. Dass dabei Kodes lassen sich nicht als Zahlen nach Gl. 11.13
der Wert gleich bleibt, zeigt folgender Normali- beschreiben. Sie haben eine begrenzte Anzahl
sierungsvorgang: von Elementen, die durch Kodierung aus einer
540 J. Gutekunst

Abb. 11.3 Zahlenbereich


einer Gleitkommazahl

Abb. 11.4 Grundprinzip


der Kodierung
2 0010
1001
5
0

10
0101
9 1111

Zeichenvorrat 1 Kodierungsregeln Zeichenvorrat 2

eindeutige, reflektierende Kodierung eindeutige, nicht reflektierende Kodierung

vorhandenen Zahlenmenge entstehen. Die Kodie- rat 2 beispielsweise eine Anzeigelampe steuert.
rungsregeln legen dabei fest, wie der Übergang Diese kann nun im Zeichenvorrat 1 durch die Ele-
von einem Zeichenvorrat zu einem zweiten Zei- mente „0“ und „10“ aktiviert werden.
chenvorrat geschieht (Abb. 11.4). Die Mehrzahl der Kodes sind jedoch eindeuti-
Erfolgt die Zuweisung eines Elements im Zei- ge, reflektierende Kodes. Die wichtigsten Vertre-
chenvorrat 1 einem Element des Zeichenvor- ter sind in Tab. 11.8 zusammengestellt.
rats 2, so spricht man von einer eindeutigen oder In Tab. 11.8 unterscheidet man in redundante
reflektierenden Kodierung, da aus dem entstan- und nicht redundante Kodes. Bei nicht redun-
denen Kodewort das Ausgangselement wieder danten Kodes wird der Darstellungsbereich des
bestimmt werden kann. In Abb. 11.4 rot ge- zugrunde liegenden Zahlensystems maximal aus-
kennzeichnet ist auch ein Kodewort, das zwar genutzt. Bei redundanten Kodes gibt es auch
eindeutig, aber nicht reflektierend ist. Es wird Kodewörter, die nicht benutzt sind. Mit deren Hil-
beispielsweise durch zwei voneinander unabhän- fe lassen sich Fehler, die bei der Kodebildung
gige Ausgangselemente (0 und 10) erzeugt und oder Kodeübertragung entstanden sind, erkennen
ergibt 1111. und sogar korrigieren. Im Abschn. 11.2.4 wird
Nicht reflektierende Kodes sind in der Regel auf diese Besonderheit ausführlich eingegangen.
eng mit ihrem Anwendungsgebiet verknüpft. Sie
haben stets eine Verkleinerung des Zeichenvor-
rats zur Folge und werden deshalb zur Optimie- 11.2.1 Gray-Kode
rung eines bestehenden Zeichenvorrats benutzt.
Zur Verdeutlichung sei angenommen, dass in Das duale Zahlensystem, wie es in Abschn.
Abb. 11.4 das Kodewort „1111“ im Zeichenvor- 11.1.1 beschrieben ist, hat einen Nachteil: Beim
11 Grundlagen der digitalen Schaltungstechnik 541

Tab. 11.8 Übersicht über die wichtigsten Kodes

Übergang von einer Dualzahl zur nächsten kön- rechts). Nicht abgedeckt ist bei der Darstellung
nen sich mehrere Bits ändern, wie folgendes dezimaler Zahlen der Übergang von 9 auf 0: Hier
Beispiel beim Übergang von 7 auf 8 zeigt: wechseln 3 Bits. Damit ist dieser Gray-Kode nicht
zyklisch. Durch eine kleine Modifikation nach

) Glixon konnte jedoch auch dieser Übergang ein-
7W 0 1 1 1 schrittig gemacht werden, so dass dieser Gray-
Wechsel von 4 Bits.
8W 1 0 0 0 Kode nun auch für die Darstellung der dezima-
 len Zahlen 0 bis 9 zyklisch ist. In Tab. 11.9 ist
diese Änderung grau gekennzeichnet. Der erwei-
Geschieht dieser Übergang nicht synchron, terte Gray-Kode nutzt alle 16 Kodeworte aus. Er
so können hier Fehler auftreten, die eine Ver- ist vom Basis-Kode (Tab. 11.9, links) ausgehend
fälschung des zu erkennenden Wertes ermögli- grundsätzlich zyklisch.
chen (in diesem Beispiel, wenn der Übertrag Der Gray-Kode wird hauptsächlich in Steue-
auf das vierte Bit deutlich nach dem „null“- rungen verwendet, wenn beispielsweise Stel-
Setzen der ersten drei Bits kommt). Um den lungen von Werkzeugschlitten oder Drehtei-
Fehler so klein wie möglich zu halten, sollte len festzuhalten sind. Abbildung 11.6 zeigt ein
sich bei jedem Übergang nur ein Bit ändern. Kodelineal, wie es bei der Positionsbestim-
Man spricht dann auch von einem einschrittigen mung eingesetzt wird. Bei der Winkelbestim-
Kode. mung wird eine kreisförmige Kodescheibe ein-
Unter einem einschrittigen Kode versteht man gesetzt, auf welcher der Gray-Kode von außen
einen Kode, der sich nur in einer Stelle zu seinen nach innen aufgetragen ist. Hierbei ist auf je-
benachbarten Zahlen unterscheidet. den Fall ein zyklischer Gray-Kode von Vorteil
Realisiert wurde dies im Gray-Kode (E. (Abb. 11.5).
G RAY, 1835 bis 1901) nach Tab. 11.9.
Beim Gray-Kode, der die dezimalen Zahlen
0 bis 9 darstellt, ändert sich von einer Zahl zur 11.2.2 Fernschreibe-Kode
nächsten stets nur ein Bit. In dieser Darstellung ist
er die Basis für den erweiterten Gray-Kode, der al- Der Fernschreibe-Kode oder auch Telegraphen-
le 16 möglichen Kodeworte ausnutzt (Tab. 11.9, Kode Nr. 2 (CCITT-Code No. 2) ist ein fünf-
542 J. Gutekunst

Tab. 11.9 Grundprinzip dezima


der Kodierung dezimal
ler Gray-Kodes
er Wert
Wert

0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 1 0 0 0 1 1
2 0 0 1 1 0 0 1 1 0 0 1 1 2
3 0 0 1 0 0 0 1 0 0 0 1 0 3
4 0 1 1 0 0 1 1 0 0 1 1 0 4
5 0 1 1 1 0 1 1 1 0 1 1 1 5
6 0 1 0 0 1 0 1 0 1 0 1 6
7 0 1 0 0 0 1 0 0 0 1 0 0 7
8 1 1 0 0 1 1 0 0 1 1 0 0 8
9 1 1 0 1 1 1 0 1 1 1 0 1 9
10 1 1 1 1 10

11 1 1 1 0 11

12 1 0 1 0 12

13 1 0 1 1 13

14 1 0 0 1 14

15 1 0 0 0 15

nicht zyklischer zyklischer Gray- zyklischer Gray-


Gray-Kode von Kode nach Kode für die
0 bis 9 Glixon Zahlen 0 bis 15

stelliger Kode, der durch die Doppelbelegung


von einzelnen Kodeworten statt nur 32 Kode-
worte rund 60 übertragen kann. Ermöglicht wird
dies durch eine Umschaltung von zwei gleich-
kodierten Spalten mit Hilfe von zwei Umschalt-
zeichen. Diese geben an, ob das nachfolgende
Kodewort der Buchstabenspalte oder der Zif-
fernspalte zuzuordnen ist. So können 26 Al-
phazeichen (eine Unterscheidung zwischen Groß
und Kleinbuchstaben erfolgt bei diesem Kode
nicht), 10 Dezimalziffern, 11 Satzzeichen und
5 Sonderzeichen (drei davon sind frei definier-
bar) übertragen werden. Ebenso stehen Kodes
für den Wagenrücklauf, den Zwischenraum und
für den Zeilenvorschub zur Verfügung. Das Null-
wort (00000) wird bei diesem Kode nicht be-
nutzt. In Tab. 11.10 ist dieser Kode zusammen-
Abb. 11.5 Kreisteilung eines Winkelgebers in Gray-
Kode. Werkfoto: TWK gefasst.
11 Grundlagen der digitalen Schaltungstechnik 543

Tab. 11.10 Fünfstelliger Fernschreibe-Kode nach CCITT 11.2.3 ASCII-Kode


Nr. 2
Kode Nummer Buchstaben Ziffern Dual-Kode
Für die Datenübertragung und zur Kopplung di-
1 A – 11000
gitaler Geräte dient der ASCII-Kode (American
2 B ? 10011
Standard Code for Information Interchange). Er
3 C : 01110
besteht aus einem 8-Bit-Wort (Byte), bei dem
4 D WD 10010
das MSB (Most Significant Bit) das Paritäts-
5 E 3 10000
bit (Prüfbit) ist, und die anderen sieben Bits
6 F SZ 10110
7 G SZ
ein Zeichen darstellen. So sind neben den Zah-
01011
8 H SZ len 0 bis 9 auch sämtliche Buchstaben (groß
00101
9 I 8 und klein) vorhanden sowie eine Reihe von
01100
10 J KL Sonderzeichen. Aus diesem Grund wird der
11010
11 K ( ASCII-Kode auch vorwiegend in der Textverar-
11110
12 L ) beitung zur Erzeugung der Arbeitsdateien ver-
01001
13 M . wendet.
00111
14 N , 00110 Wird das Paritätsbit genutzt, so können mit
15 O 9 00011
den sieben verbleibenden Bits 128 Zeichen dar-
16 P 0 01101
gestellt werden (Tab. 11.11). Dies ist der Stan-
17 Q 1 11101
dard-ASCII-Zeichensatz. Bei gerader Paritäts-
18 R 4 01010
prüfung wird das MSB auf „0“ gesetzt, wenn die
19 S ‘ 10100
Anzahl der „l-en“ in den verbleibenden 7 Bits
20 T 5 00001
gerade ist, andernfalls auf „1“. Bei ungerader
21 U 7 11100
22 V D Paritätsprüfung ist dies gerade umgekehrt. Die
01111
23 W 2 Paritätsprüfung dient vor allem der Fehlererken-
11001
24 X / nung bei der Übertragung von ASCII-Dateien
10111
25 Y 6 (z. B. für serielle Druckerschnittstellen). Verzich-
10101
26 Z C tet man auf eine Paritätsprüfung, so wird das
10001
27 WR Prüfbit auf „1“ gesetzt.
00010
28 ZL 01000 Der erweiterte ASCII-Zeichensatz (Tab. 11.12)
29 Bu 11111
verzichtet ebenfalls auf die Paritätsprüfung. Er
30 Zi 11011
verwendet das höchstwertige Bit (MSB), um
31 Zwr 00100
vom Standard-ASCII-Zeichensatz auf die Er-
32 —-* 00000
weiterung umzuschalten (Standard-Zeichensatz:
MSB D 0, erweiterter Zeichensatz: MSB D 1).
Diese Variante ist vor allem in der Textver-
arbeitung von Bedeutung. Dadurch wird Platz
Die einzelnen Abkürzungen in Tab. 11.10 be- geschaffen, um länderspezifische Sonderzeichen
deuten: darzustellen. Für Deutschland sind dies beispiels-
KL Klingel weise sämtliche Umlaute in groß und klein
WD „wer da?“ (ä, Ä, ö, Ö, ü, Ü) sowie das scharfe ß (z. B.
WR Wagenrücklauf ß D ASCII-Kode 225, steht auch für Beta). Aber
ZL Zeilenvorschub auch spanische, griechische und viele andere Zei-
ZWR Zwischenraum chen stehen zur Verfügung. Dies zeigt deutlich,
SZ Sonderzeichen dass die Erweiterung des ASCII-Satzes nicht ge-
— unbenutzt normt ist und deshalb völlig unterschiedlich sein
Nullwort. kann.
544 J. Gutekunst

Tab. 11.11 ASCII-Tabelle nach CCITT-Kode Nr. 5


D7 D Parity Bit oder ungenutzt
Höherwertigeres Nibbel Niederwertigeres Nibbel
D6 0 0 0 0 1 1 1 1
D5 0 0 1 1 0 0 1 1
D4 0 1 0 1 0 1 0 1
D3 D2 D1 D0 0 1 2 3 4 5 6 7
0 0 0 0 0 NUL DLE (TC7) SP 0 @ P ‘ p
0 0 0 1 1 SOH (TC1) DC1 1 1 A Q a q
0 0 1 0 2 STX (TC2) DC2 “ 2 B R b r
0 0 1 1 3 ETX (TC3) DC3 # 3 C S c s
0 1 0 0 4 EOT (TC4) DC4 $ 4 D T d t
0 1 0 1 5 ENQ (TC5) NAK (TC8) % 5 E U e u
0 1 1 0 6 ACK (TC6) SYN (TC9) & 6 F V f v
0 1 1 1 7 BEL ETI (TC10) ’ 7 G W g w
1 0 0 0 8 BS (FE0) CAN ( 8 H X h x
1 0 0 1 9 HT (FE1) EM ) 9 I Y i y
1 0 1 0 A LF (FE2) SUB  ; J Z j z
1 0 1 1 B VT (FE3) ESC C > K [ k {
1 1 0 0 C FF (FE4) FS (IS4) , < L n l [
1 1 0 1 D CR (FE5) GS (IS3) - D M ] m }
1 1 1 0 E SO RS (IS2) . > N n
1 1 1 1 F SI US (IS1) / ? O – o DEL

Die Abkürzungen für die Steuerzeichen haben FF Format feed Papiervorschub


folgende Bedeutung: FS File separator Hauptgruppen-
Trennung
ACK Acknowledge Rückmeldung
GS Group separator Gruppen-Trennung
BEL Bell Klingel
HT Horizontal tabulation Horizontal-Tabulator
BS Backspace Rückschritt
IS Information separator Informationstrennung
CAN Cancel Ungültig
LF Line feed Zeilenvorschub
CR Carriage return Wagenrücklauf
NAK Negative acknowledge Negative Rückmel-
DC Device control Steuerzeichen für dung
Gerätesteuerung
NUL Null Füllzeichen
DEL Delete Löschen
RS Record separator Untergruppen-
DLE Data link escape Datenübertragungs- Trennung
umschaltung
SI Shift in Rückschaltung
EM End of medium Ende der Aufzeich-
SO Shift out Dauerumschaltung
nung
SOH Start of heading Kopfanfang
ENQ Enquiry Stationsaufforderung
SP Space Leerzeichen
EOT End of transmission Ende der Datenüber-
tragung STX Start of text Textanfang
ESC Escape Umschaltung SUB Substitute character Substitution
ETB End of transmission Ende des Datenüber- SYN Synchronous idle Synchronisierung
block tragungsblocks TC Transmission control Übertragungssteuerung
ETX End of text Textende US Unit separator Teilgruppen-Trennung
FE Format effector Formatsteuerung VT Vertical tabulation Vertikal-Tabulator
11 Grundlagen der digitalen Schaltungstechnik 545
Tab. 11.12 Erweiterter ASCII-Zeichensatz
546 J. Gutekunst

Abb. 11.6 Messlineal im Gray-Kode (Ausschnitt)

11.2.4 Redundante Kodes entstehen mit sehr hoher Wahrscheinlichkeit min-


destens zwei gleiche Datenworte, die überein-
Redundante Kodes werden ebenfalls sehr häufig stimmen und die richtige Information beinhalten.
für die Datenübertragung eingesetzt. Wie beim Die oben aufgeführten Verfahren zur Fehlerer-
ASCII-Kode das Paritätsbit zur Fehlererkennung kennung und Fehlerkorrektur lassen sich auf jeg-
herangezogen werden kann (es ist ebenfalls re- liche Art der Übertragung anwenden, sind aber
dundant, da es zum Informationsinhalt nicht bei- nicht besonders effektiv. Die Theorie der fehler-
trägt), so sind diese redundanten Kodes speziell erkennenden und korrigierenden Kodes geht von
dazu entwickelt worden, Fehler zu erkennen und der Tatsache aus, dass bei einem voll ausgenutz-
gegebenenfalls zu korrigieren. Letzteres ist je- ten Kode ein Fehler in einem Kodewort ein neues
doch nur dann möglich, wenn die Redundanz auf Kodewort erzeugt. Also muss sich ein Kode, bei
die Fehlerstelle aufmerksam macht. dem ein Fehler erkannt werden soll, mindestens
in zwei Stellen des Kodewortes unterscheiden.
I Wird in einem Kode mehr als nur die Informa- Zwischen den benutzten Kodeworten liegen also
tion übertragen, so ist dieser redundant. Die- unbenutzte, die auf einen Fehler hinweisen. Die-
se Redundanz kann dazu verwendet werden, ser Abstand wird auch als Hammingdistanz dmin
Fehler zu erkennen und gegebenenfalls zu kor- bezeichnet, die auf den Grad der erkennbaren und
rigieren. korrigierbaren Fehler zurückschließen lässt. Ei-
ne Hammingdistanz von beispielsweise dmin D 2
Die Redundanz sollte natürlich in einem sinn- liegt dann vor, wenn sich das nächste Kodewort
vollen Verhältnis zur übertragenen Information in zwei Stellen unterscheidet.
stehen. Dies hat für die Erkennung und Korrektur
von Fehlern zur Entwicklung bestimmter Kodes
geführt.
11.2.5 Fehlererkennende Kodes
Grundsätzliche Verfahren zur Kodesicherung
Zur Erkennung oder Korrektur eines Fehlers ist Zur einfachen Fehlererkennung muss wenigstens
Redundanz notwendig. Will man einen Fehler ein Bit zusätzlich vorhanden sein. Am Beispiel
nur erkennen, so besteht eine einfache Möglich- des ASCII-Kodes ist dies das Paritäts-Bit D7.
keit darin, die übertragene Information zu wie- Durch ein solches Paritäts-Bit lässt sich jede
derholen (50%ige Redundanz). Durch einfachen Kodierung zur Fehlererkennung ergänzen. Am
Vergleich ergibt sich bei richtiger Übertragung Beispiel der Dualzahlen von 0 bis 15 wird dies
Übereinstimmung, im anderen Fall eine Fehler- gezeigt (Tab. 11.13).
meldung. Eine Korrektur ist damit nicht möglich. Das Paritäts-Bit D4 (auch Prüfbit genannt) ist
Bei fehlerkorrigierenden Kodes muss die Red- die Quersumme der Bits DO bis D3. Bei einer
undanz noch weiter erhöht werden. Eine Mög- ungeraden Anzahl von Einsen wird das Paritäts-
lichkeit besteht darin, die Information insgesamt Bit „1“, bei einer geraden Anzahl „0“. So spricht
dreimal zu senden (67%ige Redundanz). Dabei man auch von einer geraden Ergänzung durch
11 Grundlagen der digitalen Schaltungstechnik 547

Abb. 11.6 (Fortsetzung)

Tab. 11.13 Dualzahlen mit Paritäts-Bit Tab. 11.14 2-aus-5-Kodes


Paritäts-Bit Dualzahlen Quersumme
D4 D3 D2 D1 D
0 0 0 0 0 0
1 0 0 0 1 0
1 0 0 1 0 0
0 0 0 1 1 0
1 0 1 0 0 0
0 0 1 0 1 0
0 0 1 1 0 0
1 0 1 1 1 0
1 1 0 0 0 0
0 1 0 0 1 0
0 1 0 1 0 0
1 1 0 1 1 0
0 1 1 0 0 0
1 1 1 0 1 0
1 1 1 1 0 0
0 1 1 1 1 0

das Paritäts-Bit (engl.: even parity), im anderen


Fall von einer ungeraden Ergänzung (odd parity).
Auf der Empfangsseite wird dagegen die der Bezeichnung ablesen lässt, handelt es sich da-
Quersumme über alle fünf Bits gebildet, D0 bis bei um fünfstellige Kodes, bei denen stets zwei
D3 und Paritäts-Bit D4. Wurde der Kode rich- Stellen „1“ und die restlichen „0“ sind. Die Feh-
tig übertragen, so ergibt die Quersumme stets null lererkennung beruht bei diesen Kodes ebenfalls
(s. Tab. 11.13). auf der Geradzahligkeitsprüfung: Bei richtigem
Ein Kode mit Paritätsprüfung wurde dann Empfang der Datenworte muss die Quersumme
richtig übertragen, wenn seine Quersumme am stets null ergeben, da stets zwei Bits gesetzt sind.
Empfangsort bei gerader Paritätsprüfung null er- Wird während der Übertragung ein Bit verfälscht,
gibt. so entsteht in jedem Fall eine ungerade Anzahl
In obigem Beispiel in Tab. 11.13 wurden die von Einsen, die erkannt wird.
Dualzahlen 0 bis 15 durch ein Prüfbit ergänzt. Es Beispiele für 2-aus-5-Kode sind der Walking-
entstand so ein dualergänzter Kode, der statt vier Kode und der 7-4-2-1-0-Kode. Beide Kodes sind
nunmehr fünf Stellen besitzt. in Tab. 11.14 gegenübergestellt. Beim Walking-
Es gibt noch eine ganze Reihe fünfstelliger Kode werden zwei Bit-Paare (in Tab. 11.14 ge-
Kodes, wobei die 2-aus-5-Kodes eine besonde- kennzeichnet) beim Übergang auf die nächste
re Bedeutung haben. Wie sich auch bereits aus Zahl um zwei Stellen weitergeschoben.
548 J. Gutekunst

Es entsteht so der Eindruck, dass diese Paare baren Fehler gilt


durch die Zahlen 0 bis 9 laufen (engl.: walking).
Der 7-4-2-1-0-Kode (Tab. 11.14, rechts) soll FE max D dmin  1 (11.11)
an dieser Stelle als Vertreter weiterer 2-aus-5-
Kodes stehen, deren Kodierung sich aus der Wer- Die Korrektur eines Kodes ist möglich, wenn
tigkeit der benutzten Stellen ergibt. In diesem Fall die fehlerhafte Kodezahl eindeutig einer gülti-
haben die einzelnen Bits die Wertigkeit 7, 4, 2, 1 gen Zahl im Koderaum zugeordnet werden kann.
und 0. Durch Setzen von zwei Bits lassen sich alle Der notwendige Korrekturradius rk des Korrek-
Zahlen von 1 bis 9 darstellen. Das Kodewort für turraumes ergibt sich gemäß
null ist eine Ausnahme und ergibt sich aus dem
von den Zahlen 1 bis 9 nicht genutzten Kodewort. dmin
rk < (11.12)
2

11.2.6 Fehlerkorrigierende Kodes Zur Korrektur eines Fehlers ist also mindestens
eine Hammingdistanz von dmin D 3 notwendig,
Sollen Fehler nicht nur erkannt, sondern auch da sonst der Korrekturradius kleiner als 1 wird.
korrigiert werden, so muss die Redundanz weiter Zur Korrektur eines Fehlers ist mindestens ei-
erhöht werden. Ein Zusammenhang zwischen der ne Hammingdistanz von dmin D 3 erforderlich.
Redundanz und der möglichen Zahl der erkenn- Abbildung 11.7 zeigt zwei Kodewörter mit
baren und korrigierbaren Fehler hat Hamming einer Hammingdistanz von dmin D 3 und den da-
(R. H AMMING, amerikanischer Mathematiker) zugehörigen Koderaum.
in seinen Gleichungen festgelegt. Der Abstand Tritt bei dem Beispiel in Abb. 11.7 ein Fehler
zweier benachbarter Kodewörter im Koderaum mit dem Gewicht 1 auf (Verfälschung des Kodes
wird auch als Hammingdistanz dmin bezeichnet: in einer Stelle), so wird er richtig zum nächsten
Kodewort hin korrigiert. Er liegt innerhalb des
I Unter Hammingdistanz dmin versteht man den durch den Korrekturradius beschriebenen Kor-
Abstand zwischen zwei Kodewörtern. rekturraums. Ein Doppelfehler (Gewicht D 2)
führt hingegen stets zu einer falschen Korrektur,
Für dmin D 1 bedeutet dies, dass sich die da der Fehler den für ihn gültigen Korrekturraum
Kodewörter nur in einer Stelle unterscheiden, wie verlässt und in den Einzugsbereich eines anderen
beispielsweise der Gray-Kode. Bei dmin D 2 un- gültigen Kodewortes fällt.
terscheiden sich die Kodewörter in zwei Stellen, Abbildung 11.8 zeigt den Koderaum für die
wie dies bei den 2-aus-5-Kodes der Fall ist. Hammingdistanz dmin D 4. Hier werden maximal
Bei dmin D 1 kann ein Fehler weder erkannt bis zu drei Fehler in einem Kodewort erkannt.
noch korrigiert werden, da eine Verfälschung Richtig korrigiert werden können jedoch eben-
des Kodewortes immer zu einem neuen gülti- falls nur einfache Fehler, da ein Doppelfehler auf
gen Kodewort führt. Wird hingegen ein Kode mit der Schnittlinie beider Korrekturräume liegt und
dmin D 2 in einer Stelle gestört (man spricht hier deshalb nicht mehr eindeutig zugeordnet werden
auch von einem Fehler mit dem Gewicht 1), so kann. Der zum Korrekturraum gehörende Korrek-
führt dies stets zu einem ungültigen Kodewort, so turradius rk ist demnach stets kleiner als die hal-
dass dieser Fehler erkannt wird. Deshalb gilt: be Hammingdistanz. Abbildung 11.8 veranschau-
Zur Erkennung eines einfachen Fehlers ist licht den Inhalt der Gl. 11.12.
mindestens eine Hammingdistanz von dmin D 2 Die maximale Anzahl der korrigierbaren Feh-
erforderlich. ler FK max wird durch den Korrekturradius be-
Erhöht man die Hammingdistanz, so können stimmt und lässt sich aus Gl. 11.12 direkt entneh-
entsprechend des erweiterten Koderaums auch men
Fehler mit einem höheren Gewicht erkannt wer- dmin
FK max < (11.13)
den. Für die maximale Anzahl FE max der erkenn- 2
11 Grundlagen der digitalen Schaltungstechnik 549

Abb. 11.7 Korrekturraum


und Korrekturradius bei
einer Hammingdistanz von
dmin D 3

Abb. 11.8 Korrekturraum


und Korrekturradius bei
einer Hammingdistanz von
dmin D 4

Da FK max nur ganze Zahlen annehmen kann, lässt durchgeführt werden soll, ergibt sich zu
sich aus dieser Ungleichung für dmin die Gl. 11.14
formulieren: FE D dmin  2  FK  1 (11.15)

dmin D 2  FK max C 1 (11.14) Dabei gilt


FE FE max (11.16)
Die Anzahl der erkennbaren Fehler (FE ), wenn
nicht alle korrigierbar sind oder wenn nicht die und
maximale Anzahl von Korrekturen (also nur FK ) FK FK max : (11.17)
550 J. Gutekunst

Beispiel 11.2-1 Bei diesen erkannten Fehlern handelt es sich


Zur Veranschaulichung der Zusammenhänge um Fehler mit dem Gewicht 2 und 3. Durch
der Gl. 11.19 bis 11.25 soll ein Kode mit einer die Einschränkung des Korrekturraums wird al-
Hammingdistanz von dmin D 5 angenommen so Platz geschaffen, um höherwertigere Fehler zu
werden. Ermittelt werden soll die maximale erkennen.
Anzahl der erkennbaren Fehler (FE max ) und Um diese Anforderungen an die Fehlererken-
der korrigierbaren Fehler (FK max ) sowie die nung und -korrektur bei den bereits bekannten
Anzahl der erkennbaren Fehler (FE ). Kodes anzuwenden, müssen entsprechend Kon-
trollstellen k zu den vorhandenen Nutzbits m
Lösung hinzugefügt werden. Man erhält so ein Kodewort
Nach Gl. 11.19 errechnet sich die maximale N , das aus folgenden Stellen besteht:
Anzahl der erkennbaren Fehler (wenn keine N DmCk (11.18)
korrigiert werden) zu FE max D dmin  1 D 4.
Das bedeutet, dass alle Kodewörter, die Der so entstandene Hamming-Kode gehört damit
zwischen zwei gültigen Kodewörtern liegen, zu den Gruppenkodes, da er sich aus einer Infor-
als Fehler erkannt werden. Es können also mationsgruppe (m) und einer Kontrollgruppe (k)
Fehler mit einem Gewicht von 4 noch erkannt zusammensetzt.
werden. Der Korrekturradius ist dabei gleich Wie viele Kontrollstellen an einen Kode ange-
null. fügt werden müssen, hängt von der Hamming-
Bei Korrektur erhält man nach Umstellen distanz dmin ab und damit von dem Gewicht
von Gl. 11.15 die maximale Anzahl der korri- der korrigierbaren Fehler. Sollen beispielsweise
gierbaren Fehler: alle einfachen Fehler korrigiert werden, so ist
dmin D 3 (Abb. 11.7). Das bedeutet, dass sich
dmin  1 ein Kodewort beim Übergang auf das nächste in
FK max D D2
2 drei Stellen unterscheiden muss. Für einen Ein-
Bit-Kode (m D 1) müssen demnach 2 Kontroll-
Darüber hinaus können nach Gl. 11.15 keine
bits hinzugefügt werden, um diese Bedingung zu
weiteren Fehler FE mehr erkannt werden. Es
erfüllen. Der Hamming-Kode besteht dann aus
gilt
N D 3 Stellen. Aber bereits bei einem Kode
mit m D 2 reichen die beiden Korrekturstellen
FE D dmin  2  FK  1 D 0
nicht mehr aus. In diesem Fall muss k D 3 ge-
bei FK D FK max wählt werden (Tab. 11.15). Der Zusammenhang
ergibt sich allgemein für eine Hammingdistanz
von dmin D 3 zu
Aus diesem Beispiel können folgende wichti-
gen Schlüsse gezogen werden: m D 2k  k  1 : (11.19)
Bei einer Hammingdistanz von dmin D 5 kön-
nen maximal Fehler mit einem Gewicht von 2 Für eine Hammingdistanz von dmin D 4 gilt
richtig korrigiert werden. Fehler mit einem Ge- m D 2k1  k : (11.20)
wicht von beispielsweise 3 würden in einen an-
deren Korrekturraum fallen und deshalb falsch In Tab. 11.15 sind die Nutzbits und die notwen-
korrigiert werden (Abb. 11.8). Soll die Korrek- dige Anzahl der Korrekturstellen bei den Ham-
tur nur bei einem Fehlergewicht von 1 erfolgen mingdistanzen dmin D 3 und dmin D 4 nach
(Einschränkung des Korrekturraums), so können Gl. 11.19 und 11.20 gegenübergestellt, ebenso
dafür weitere Fehler erkannt werden: die daraus resultierende Gesamtwortbreite.
Tabelle 11.15 zeigt deutlich, dass die Kode-
FE D dmin  2  FK  1 D 2 sicherung bei großen Wortbreiten durch verhält-
bei FK D 1 nismäßig wenige Kontrollstellen erreicht werden
11 Grundlagen der digitalen Schaltungstechnik 551

Tab. 11.15 Zusammenhang zwischen Nutzbits, Kontroll- ter für gasförmige und flüssige Medien, die bei
bits und Wortbreite nach Hamming geringem Druck arbeiten). In der Elektrotechnik
dmin D 3 dmin D 4 lassen sich damit digitale Schaltungen beschrei-
m k N m k N ben.
1 2 3 1 3 4 Die Boole’sche Algebra kennt zwei zulässige
2 3 5 2 4 6 Zustände:
3 3 6 3 4 7
4 3 7 4 4 8 Wahr D logisch 1;
5 4 9 5 5 10 z. B. Spannung vorhanden
6 4 10 6 5 11 (11.22)
7 4 11 7 5 12
Nicht wahr D logisch 0;
8 4 12 8 5 13 z. B. Spannung nicht vorhanden
9 4 13 9 5 14
10 4 14 10 5 15 Da ein Element der Boole’schen Algebra die-
11 4 15 11 5 16 se beiden Zustände einnehmen kann, spricht man
26 5 31 26 6 32 auch von binären Elementen (Abschn. 11.1). Es
57 6 63 57 7 64 gibt drei binäre Basisfunktionen, die NICHT-
120 7 127 120 8 128 Funktion (Negation), die UND-Funktion (Kon-
m Nutzbits, k Kontrollbits, N gesamte Wortbreite
junktion) und die ODER-Funktion (Disjunktion).

NICHT-Funktion Die NICHT-Funktion besitzt


kann. Bei 57 Nutzbits sind lediglich 6 Kontroll- nur eine Eingangsvariable (hier E), welche die
stellen notwendig (entspricht einer Redundanz beiden Zustände logisch 1 und logisch 0 ein-
kleiner 10%). Zur Sicherung eines Halbbytes nehmen kann. In Abhängigkeit davon nimmt der
(4 Bit) ist dagegen eine Redundanz von annä- Ausgang A genau den entgegengesetzten Zu-
hernd 50% notwendig. stand ein. Eine negierte Variable wird durch einen
Wird in Gl. 11.19 und 11.20 die Hammingdi- Querstrich über dem Buchstaben gekennzeich-
stanz eingearbeitet, so ergibt sich net.
Die mathematische Beschreibung nach Boole
m D 2k.dmin 3/  Œk  .dmin  3/
 1 : ergibt A D EN (gesprochen: A ist gleich E-quer
(11.21) oder A ist gleich E-nicht).
Die Wahrheitstabelle der NICHT-Funktion
gibt die Verknüpfung von Eingang (E) und Aus-
11.3 Grundlagen der Boole’schen gang (A) wieder:
Algebra
E A
11.3.1 Binäre Verknüpfungen 1 0
0 1
Die Boole’sche Algebra geht auf den briti-
schen Mathematiker und Philosophen Boole (G. UND-Funktion Bei der UND-Funktion müssen
B OOLE, 1815 bis 1864) zurück. Es handelt sich alle Eingangsvariablen den Zustand logisch 1
dabei um einen Formalismus, mit dem man in einnehmen, wenn der Ausgang ebenfalls „wahr“
der Lage ist, logische Aussagen und Funktionen wird. Die Zahl der Eingangsvariablen beträgt da-
zweier Zustände zu beschreiben. Da es sich da- bei mindestens zwei (E1 ; E2 ; : : : ; En ).
bei stets um Schaltvorgänge handelt, spricht man Der Boole’sche Ausdruck für zwei Eingangs-
auch von Schaltalgebra. Ihre Anwendung fin- variablen lautet:
det man neben der Elektrotechnik beispielsweise A D E1  E2
auch in der Pneumatik (Regelung und Steuerung
durch Druckluft) und Fluidik (Strömungsschal- (gesprochen: A ist gleich E1 und E2 ).
552 J. Gutekunst

Tab. 11.16 Gegenüberstellung von Konjunktion und Disjunktion


Zahl der Konjunktion Disjunktion
Eingänge Symbol Symbol
2 A A B Y Y A A B Y Y
& Y 0 0 0 1 ≥ Y 0 0 0 1
B 0 1 0 1 B 0 1 1 0
1 0 0 1 1 0 1 0
A A –
– 1 1 1 0 ≥ Y 1 1 1 0
& Y
B B
3 A A B C Y Y A A B C Y Y
0 0 0 0 1 0 0 0 0 0
B & Y 0 0 1 0 1 B ≥1 Y 0 0 1 1 1
0 1 0 0 1 0 1 0 1 1
C 0 1 1 0 1 C 0 1 1 1 1
A 1 0 0 0 1 1 0 0 1 1
1 0 1 0 1 A 1 0 1 1 1
– –
B & Y 1 1 0 0 1 B ≥1 Y 1 1 0 1 1
1 1 1 1 0 1 1 1 1 1
C C
4 A A B C D Y Y A A B C D Y Y
0 0 0 0 0 1 0 0 0 0 0 1
B 0 0 0 1 0 1 B 0 0 0 1 1 0
& Y 0 0 1 0 0 1 ≥1 Y 0 0 1 0 1 0
C 0 0 1 1 0 1 C 0 0 1 1 1 0
D 0 1 0 0 0 1 D 0 1 0 0 1 0
0 1 0 1 0 1 0 1 0 1 1 0
A 0 1 1 0 0 1 A 0 1 1 0 1 0
0 1 1 1 0 1 0 1 1 1 1 0
B – 1 B – 1
0 0 0 0 1 ≥1 Y 0 0 0 1 0
& Y 1
C 0 0 1 0 1 C 1 0 0 1 1 0
1 0 1 0 0 1 1 0 1 0 1 0
D 1 0 1 1 0 1
D 1 0 1 1 1 0
1 1 0 0 0 1 1 1 0 0 1 0
1 1 0 1 0 1 1 1 0 1 1 0
1 1 1 0 0 1 1 1 1 0 1 0
1 1 1 1 1 0 1 1 1 1 1 0

Als Verknüpfungssymbol für die UND-Funk- Sind in einer Konjunktion alle Eingangsvaria-
tion wird in den Boole’schen Gleichungen das blen vertreten, so spricht man von einer Vollkon-
Mal-Zeichen () verwendet. Die Wahrheitstabel- junktion. Dabei ist es gleich, ob die Eingangsva-
le für zwei Eingangsvariablen ist der Tab. 11.16, riablen in positiver Form oder in ihrer negierten
links, zu entnehmen. In dem ebenfalls darge- Form vorliegen, sie müssen jedoch einmal in der
stellten Schaltsymbol ist die UND-Verknüpfung Konjunktion vorkommen. Die Vollkonjunktion
durch das &-Zeichen gekennzeichnet. Des Weite- ist bei der Erstellung von Verknüpfungsgleichun-
ren sind in Tab. 11.16 auch die Wahrheitstabellen gen eine wichtige Voraussetzung (Beispiele zur
für 3 und 4 Eingangsvariablen zusammengestellt. Boole’schen Algebra in Abschn. 11.3.3):
Die UND-Verknüpfung wird in der Schalt-
algebra auch als Konjunktion bezeichnet. I Unter einer Vollkonjunktion versteht man die
UND-Verknüpfung aller Eingangsvariablen,
I Unter einer Konjunktion versteht man die unabhängig davon, ob sie negiert oder nicht
UND-Verknüpfung von Eingangsvariablen. negiert vorliegen.
11 Grundlagen der digitalen Schaltungstechnik 553

ODER-Funktion Bei dieser Funktion wird der Tab. 11.17 Wahrheitstabelle der Exklusive-ODER-Ver-
Ausgang dann „wahr“, wenn eine der Ein- knüpfung
gangsvariablen (E1 ; E2 ; : : : ; En ) den Zustand lo- Eingangsvariable Ausgangs- Verknüpfungssymbol
gisch 1 eingenommen hat. Auch hier sind min- variable
E1 E2 A
destens zwei Eingangsvariablen erforderlich, um E1
0 0 0
die ODER-Funktion zu erfüllen. Der Boole’sche 0 1 1 =1 A
Ausdruck für zwei Eingangsvariablen lautet: 1 0 1 E2
1 1 0
A D E1 C E2

(gesprochen: A ist gleich E1 oder E2 ). unabhängig davon, ob sie negiert oder nicht
Tabelle 11.16, rechts, zeigt die Wahrheits- negiert vorliegen.
tabellen für 2, 3 und 4 Eingangsvariablen
einer ODER-Verknüpfung. In der algebrai- Eine Sonderform der ODER-Verknüpfung ist
schen Schreibweise wird die ODER-Verknüp- die Exklusive-ODER-Verknüpfung. Im Gegensatz
fung durch das Plus-Zeichen (C) wiedergegeben. zur obigen ODER-Funktion handelt es sich hier-
Die Symbolik der Schaltzeichen verwendet da- bei um ein „ausschließliches“ ODER, auch An-
für
1. Die ODER-Verknüpfung bezeichnet man tivalenz genannt. Die Antivalenz ist nur dann
auch als Disjunktion. erfüllt, wenn sich die Eingangsvariablen unter-
scheiden.
I Unter einer Disjunktion versteht man die
ODER-Verknüpfung von Eingangsvariablen. I Unter Antivalenz versteht man eine ausschlie-
ßende (exklusive) ODER-Verknüpfung, bei der
Außer der Verknüpfung positiver Terme erhält der Ausgang nur dann wahr wird, wenn sich die
die Disjunktion auch eine besondere Bedeutung Eingangsvariablen unterscheiden.
bei der negativen Logik. Unter negativer Logik
versteht man Ereignisse, die bei dem Zustand „0“ Die Wahrheitstabelle zur Antivalenz zeigt
wahr sind. Dies ist gerade die Umkehrung von Tab. 11.17.
Gl. 11.22. Da bei einer Disjunktion der Ausgang Das Antivalenzgatter kann naturgemäß nur
stets positiv ist, wenn einer der Eingänge posi- zwei Eingangsvariablen miteinander verknüpfen,
tiv ist, so kann dieser nur dann „0“ werden, wenn da sein Ausgang stets nur dann wahr wird, wenn
auch alle Eingänge „0“ sind. Fasst man dies als sich die Eingangsvariablen unterscheiden. Bei
wahr auf, stellt die positive Disjunktion in nega- drei Eingangsvariablen haben in einem binären
tiver Logik eine UND-Verknüpfung dar. So kann Zahlensystem mindestens zwei denselben Zu-
über die Negation ein Zusammenhang zwischen stand, so dass in diesem Fall keine Antivalenz
Konjunktion und Disjunktion hergestellt werden. definiert werden kann.
Den Beweis hierzu liefern die Gesetze von De In der Schaltalgebra wurde für die Antivalenz
Morgan (Abschn. 11.3.2). In Tab. 11.16 kommt das Verknüpfungszeichen ˚ eingeführt (Plus-
dies bei der Betrachtung der negierten Ausgangs- Zeichen im Kreis). Die Verknüpfung selbst kann
variablen Y ebenfalls zum Ausdruck. Sie kann aus den bereits bekannten UND- und ODER-
nur dann den Wert „1“ annehmen, wenn alle Ein- Verknüpfungen hergeleitet werden:
gangsvariablen der Disjunktion null sind.
Kommen in einer Disjunktion alle Eingangs- A D .E1  E2 / C .E1  E2 / (11.23)
variablen einmal vor, gleich ob negiert oder nicht A D E1 ˚ E2 (11.24)
negiert, so spricht man auch hier von einer Voll-
disjunktion Beide Gleichungen erfüllen die Wahrheitstabelle
nach Tab. 11.17.
I Unter einer Volldisjunktion versteht man die Bei der Boole’schen Verknüpfung steht dem-
ODER-Verknüpfung aller Eingangsvariablen, nach das –Zeichen für die UND-Operation, das
554 J. Gutekunst

Abb. 11.9 Boole’sche


Verknüpfungen in der
Übersicht

C-Zeichen für die ODER-Operation und das ˚- Assoziativgesetz Das Assoziativgesetz erlaubt
Zeichen für die Antivalenz. die Vertauschung der Reihenfolge von gleichran-
Die Umsetzung dieser Verknüpfungen erfolgt gigen Operatoren:
in der Digitaltechnik durch die entsprechen-
den Gatter: UND-Gatter, ODER-Gatter, NICHT- A C B C C D .A C B/ C C
Gatter und Antivalenz-Gatter.
D A C .B C C / und
Allgemein werden dafür die englischen Be- (11.26)
zeichnungen AND, OR, Inverter und EXOR ver- A  B  C D .A  B/  C
wendet. Eine Zusammenstellung dieser Basis- D A  .B  C /
funktionen findet sich in Abb. 11.9 sowie in
Abschn. 12.1.6. Distributivgesetz Das Distributivgesetz ermög-
licht das Ausmultiplizieren von Klammeraus-
drücken. Dabei ist auf die Rangfolge der Opera-
11.3.2 Gesetze von Boole toren zu achten. Es gilt:
und De Morgan
A  .B C C / D A  B C A  C oder
Diese grundlegenden Verknüpfungen gehorchen
denselben Rechenregeln, wie sie aus der Algebra .A C B/  .A C C / D A C B  C
bekannt sind. Boole hatte dies als erster unter- (11.27)
sucht und sie in den folgenden Gesetzen der
Schaltalgebra (Boole’sche Algebra) zusammen- I .A C B/  .A C C / D A C B  C ,
gefasst. da A  A C A  C C A  B C B  C D A C B  C
Da alle Variablen mit A multipliziert werden,
11.3.2.1 Gesetze der Schaltalgebra bleibt A als bestimmende Variable übrig (siehe
Absorptionsgesetz).

Kommutativgesetz Das Kommutativgesetz be-


sagt, dass die Reihenfolge der Variablen ver- Absorptionsgesetze Die Absorptionsgesetze
tauscht werden kann. Es gilt: sind das wichtigste Mittel bei der Vereinfachung
von Gleichungen (siehe Distributivgesetz). Durch
A C B D B C A und
(11.25) sie ist festgeschrieben, unter welchen Bedingun-
AB DB A: gen Variable zu Konstanten werden, sich auslö-
11 Grundlagen der digitalen Schaltungstechnik 555

schen oder sich selbst wiedergeben: und zu optimieren. Dazu stellt man zuerst eine
Wahrheitstabelle auf, die alle möglichen Zustän-
A C 0 D AI ACADA de der Eingangsvariablen und die sich dabei er-
A C1 D 1I A C AN D 1 gebenden Ausgangszustände enthält. Greift man
sich nun die Terme (Gleichungsausdruck) heraus,
A 0 D 0I A  AN D 0
(11.28) die ein positives Ergebnis liefern (im nachfolgen-
A  1 D AI A C .A  B/ D A den Beispiel Y D 1), kommt man zur ODER-
A  A D AI A  .A C B/ D A Normalform: Der Ausgang Y ist nur dann wahr,
A C AN  B D A C B wenn eine bestimmte Konjunktion der Eingän-
ge vorliegt. Wenn mehrere dieser Konjunktionen
Doppelte Negierung Wird eine Variable zwei- den Ausgang Y wahr werden lassen können, wer-
fach negiert, so heben sich die Negierungen auf. den diese mit Disjunktionen verbunden. Da die
Somit gilt: Wahrheitstabelle alle Eingangsvariablen wieder-
gibt, sind die Konjunktionen stets Vollkonjunktio-
ADA (11.29)
nen. So kann zusammenfassend gesagt werden:
Dies gilt auch dann, wenn die Variable mehr-
fach negiert ist. Beispielsweise reduziert sich eine I Die ODER-Normalform besteht aus Vollkon-
dreifache Negierung der Variablen A auf eine ein- junktionen, die durch Disjunktionen (ODER)
fache Negierung miteinander verbunden sind.

11.3.2.2 Gesetze von De Morgan Dabei kann die ODER-Normalform auch nur
Der englische Mathematiker De Morgan (D E aus einer einzigen Vollkonjunktion bestehen,
M ORGAN, 1806 bis 1871) hat über die Negati- wenn kein weiterer Term ein positives Ergebnis
on eine Beziehung der ODER-Verknüpfung zur am Ausgang liefert.
UND-Verknüpfung hergestellt. Sie resultieren in
den beiden Gesetzen von De Morgan. Beispiel 11.3-1
Mit Hilfe von Tab. 11.18 soll die ODER-
Erstes Gesetz von De Morgan Negiert man Normalform der Ausgangsvariablen Y gefun-
eine ODER-Verknüpfung, so ist dies einer UND- den werden. Diese soll anschließend mit den
Verknüpfung gleich, bei der die einzelnen Ele- Gesetzen der Boole’schen Algebra vereinfacht
mente negiert sind. werden.

A C B C C C : : : D AN  BN  CN  : : : (11.30) Lösung
Das Beispiel enthält vier Vollkonjunktionen,
Zweites Gesetz von De Morgan Negiert man bei denen der Ausgang Y D 1 wird. Ihre
eine UND-Verknüpfung, so ist dies einer ODER- Oder-Verknüpfung führt in folgenden Schrit-
Verknüpfung gleich, bei der die einzelnen Ele- ten zur ODER-Normalform:
mente negiert sind.
Y D .AN  B  CN  D/
A C B C C  : : : D AN  BN  CN C : : : (11.31)  
C AN  B  C  D
  (11.32)
Der Beweis findet sich im Abschnitt weiter unten, C A  B  CN  D
der die Anwendung der Gesetze von De Morgan C .A  B  C  D/
erläutert.
Zur Verdeutlichung wurden in dieser ODER-
ODER-Normalform Mit diesen Grundgesetzen Normalform die vier Vollkonjunktionen in
ist man in der Lage, das Verhalten einer Schal- Klammern gesetzt. Nach dem Distributivge-
tung vom Eingang zum Ausgang zu beschreiben setz kann die Variable D ausgeklammert wer-
556 J. Gutekunst

Tab. 11.18 Konjunktionstabelle zu Beispiel 11.3-1 Negationen vorkommen. Diese Negationen kön-
Eingangsvariablen Ausgangs- Vollkonjunktionen nen aufgelöst werden und ermöglichen auf diese
variable Weise Umrechnungen von NOR-Schaltungen und
A B C D Y NAND-Schaltungen (NOR D NOT – OR, NAND
0 0 0 0 0 D NOT – AND, d. h. die Ausgänge der Basisver-
0 0 0 1 0 knüpfungen OR und AND sind negiert). Durch
0 0 1 0 0
eine einfache Wahrheitstabelle lässt sich die Gül-
0 0 1 1 0
tigkeit der Gesetze beweisen. Gleichung 11.35
0 1 0 0 0
zeigt den Beweis des 2. De-Morgan’schen Geset-
0 1 0 1 1 AB C D
0 1 1 0 0
zes für zwei Eingangsvariablen und Gl. 11.36 für
0 1 1 1 1 AB C D das 1. De-Morgan’sche Gesetz.
1 0 0 0 0
A B AB A  B AN BN AN C BN
1 0 0 1 0
1 0 1 0 0 0 0 0 1 1 1 1
1 0 1 1 0 0 1 0 1 1 0 1
1 1 0 0 0 1 0 0 1 0 1 1
1 1 0 1 1 AB C D
1 1 1 0 0 1 1 1 0 0 0 0
1 1 1 1 1 AB C D j j
N
AB DACB N
(11.35)
den, da sie in allen Vollkonjunktionen vorhan-
den ist: A B ACB A C B AN BN AN  BN
0 0 0 1 1 1 1
0 1 1 0 1 0 0
(11.33) 1 0 1 0 0 1 0
1 1 1 0 0 0 0
In den verbleibenden Konjunktionen kann die j j
Variable C durch das Absorptionsgesetz (C C A  B D AN C BN
CN D 1) eliminiert werden, ebenso die Variable (11.36)
A
Bei der Anwendung der Gesetze von De Morgan
in einer Gleichung können so Konjunktionen in
Disjunktionen und umgekehrt umgewandelt wer-
den. Beim Einfügen von Negationen ist darauf
Es wird zu achten, dass stets beide Gleichungsseiten in
Y DB D : (11.34) derselben Weise behandelt werden. So gilt bei-
spielsweise:
Die zunächst sehr kompliziert erscheinen-
de ODER-Normalform für die Wahrheitstabel- Y D AB Konjunktion,
le lässt sich nach der Anwendung der algebrai- YN D A  B Konjunktion auf
schen Regeln nach Boole durch eine UND-Ver- beiden Seiten negiert.
knüpfung der Variablen B und D realisieren.
YN D AN C BN Disjunktion nach dem
zweiten De Morgan-Gesetz.
Anwendung der De-Morgan’schen Gesetze
Die De-Morgan’schen Gesetze sind ein wich- Soll die Ausgangsvariable (hier Y ) nicht ne-
tiges Hilfsmittel in der Schaltalgebra bei der giert werden, so kann durch die doppelte Ne-
Optimierung von Gleichungen, in denen lange gation (Boole’sches Gesetz nach Gl. 11.29) der
11 Grundlagen der digitalen Schaltungstechnik 557

Wert einer Seite ebenfalls erhalten werden. Zur 11.3.3 Entwicklung einer Schaltung mit
Anwendung der De Morgan’schen Gesetze kann Hilfe der Boole’schen Algebra
diese nun aufgebrochen werden:
In diesem Abschnitt wird gezeigt, wie mit Hilfe
Y DAB Konjunktion, der Boole’schen Algebra eine einfache Schaltung
entwickelt werden kann. Die Aufgabe besteht
Y DAB doppelte Negation, darin, eine Verknüpfung zu finden, die das Zwei-
nichts hat sich geändert, erkomplement einer positiven Zahl in Abhän-
Y D AN C BN Disjunktion nach Aufbrechen gigkeit des Vorzeichen-Bits aus der Vorzeichen-
einer Negation und Anwendung Betrags-Darstellung bildet (zur Bedeutung des
des zweiten De Morgan’schen Zweierkomplements s. Abschn. 11.1.4.1, negati-
Gesetzes. ve Zahlen).
Die Darstellung negativer Zahlen, wie wir sie
Diese grundlegende Anwendung der De-Mor- bei digitalen Anzeigen sehen, erfolgt in der Vor-
gan’schen Gesetze hat in der Praxis eine große zeichen-Betrags-Darstellung, kurz VBD genannt.
Bedeutung. Damit kann ein Gleichungssystem an Die Zweierkomplement-Darstellung (ZKD) von
die gegebenen Voraussetzungen angepasst wer- negativen Zahlen erfolgt vorwiegend in Rechen-
den. Diese Randbedingungen können sein werken, da in diesem Fall die Subtraktion auf
 Vorgabe der Bauelemente (Konjunktion oder eine Addition zurückgeführt werden kann (Ab-
Disjunktion), schn. 11.1.4.1). Die Bildung des Zweierkomple-
 Vorgabe der Eingangsvariablen (negiert oder ments aus der Vorzeichen-Betrags-Darstellung
nicht negiert), erfolgt durch Invertieren des Betrags und der
 Vorgabe der Ausgangsvariablen (negiert oder Addition von eins. Dies bedeutet einen weite-
nicht negiert). ren Rechenschritt. Im Folgenden soll aufgezeigt
Bei der Berücksichtigung solcher Vorgaben wird werden, wie dieser zusätzliche Rechenschritt mit
man oft feststellen, dass nicht immer die Mini- Hilfe eines sequenziellen Netzwerkes umgangen
mallösung realisierbar ist. werden kann. Hierzu sind in Tab. 11.19 einige
Im nächsten Beispiel wird auf diese Randbe- Zahlen in der Vorzeichen-Betrags- und Zweier-
dingungen nochmals eingegangen. komplement-Darstellung gegenübergestellt.
Während sich bei der Vorzeichen-Betrags-
Darstellung nur das Vorzeichenbit ändert, wan-
Beispiel 11.3-2 delt sich bei der Zweierkomplement-Darstellung
Es soll die Gleichung Z D .E  FN / C .A C das gesamte Halbbyte (Nibbel). Lediglich bei der
BN C C / mit Hilfe der Gesetze von De Mor- Zahl 4 lassen sich die beiden Darstellungsweisen
gan in eine entsprechende Gleichung umge- nicht unterscheiden. Betrachtet man die positiven
wandelt werden, die nur noch Konjunktionen und negativen Zahlen des Zweierkomplements
enthält. etwas genauer (vergleiche hierzu Tab. 11.7), so
stellt man fest, dass die Bits vom niederwertigs-
Lösung
ten Bit her (dies ist stets das rechte Bit einer
Dualzahl) bis einschließlich der ersten „1“ bei-
Z D .E  FN / C .A C BN C C / behalten werden und der Rest invertiert wird.
doppelte Negation, Diese Tatsache soll im Folgenden ausgenutzt
werden, um eine Schaltung zu entwickeln, die
Z D .E  FN /  .A C BN C C / das Zweierkomplement bildet. Sie muss die ers-
zweites De Morgan’sches Gesetz, te „1“ vom linken Bit aus erkennen und die
nachfolgenden Bits durch einen steuerbaren In-
Z D .E  FN /  .AN  B  CN / verter in ihre umgekehrte Lage schalten. Die Vor-
zweites De Morgan’sches Gesetz. teile einer solchen Schaltung liegen darin, dass
558 J. Gutekunst

Tab. 11.19 Gegenüber- Dezimalzahl Vorzeichen-Betrags-Darstellung Zweierkomplement-Darstellung


stellung einiger Zahlen in (VBD) (ZKD)
der Vorzeichen-Betrags- C  C 
und Zweierkomplement-
4 0100 0100
Darstellung
4 1100 1100
6 0110 0110
6 1110 1010
7 0111 0111
7 1111 1001
Betrag Betrag Komplement

sie sehr schnell (2 bis 3 Gatterlaufzeiten, sie- Darstellung übernommen:


he nachfolgende Schaltungsbeispiele) und durch
die Verwendung von Standard-Bauteilen preis- A3 D D3 (11.38)
günstig ist. Der Nachteil besteht darin, dass bei
sehr großen Wortbreiten (> 16 Bit) der Hard-
wareaufwand und der damit verbundene Platzbe- Zur Aufstellung der Verknüpfungsgleichungen
darf enorm ansteigen. für A1 und A2 ist die obige Verknüpfungs-Tabel-
Die Schaltung wird folgendermaßen entwi- le für die Zahlen 0 bis 7 (Tab. 11.20) notwendig,
ckelt: Wenn man zunächst von obigen 4 Bit die alle Vollkonjunktionen der Eingangsvariablen
breiten Zahlen ausgeht, stellt man fest, dass das D0 bis D3 enthält.
rechte Bit (niederwertigste Bit) zu keiner Zeit Mit Hilfe dieser Tabelle lassen sich nun die
einer Inversion unterliegt, sondern lediglich die beiden ODER-Normalformen für die Ausgangs-
nachfolgenden Bits. Also wird das niederwertigs- variablen A1 und A2 erstellen. Das Vorzeichenbit
te Bit beim Übergang von der Vorzeichen-Be- D3 bzw. A3 wird dabei außer Acht gelassen,
trags-Darstellung in die Zweierkomplement-Dar- da es als konstant angesehen werden kann und
stellung stets übernommen, so dass gilt: somit keinen Beitrag zu der Verknüpfungsglei-
chung liefert. Mit Hilfe der Boole’schen Algebra
ergeben sich für A1 die folgenden vier Vollkon-
A0 D D0 : (11.37)
junktionen:

Da das Vorzeichenbit bei der Vorzeichen-Be-


A1 D .D0  D1  D2/ C .D0  D1  D2/
trags-Darstellung bereits invertiert ist (also auf 1),
wird dieses ebenfalls bei der Zweierkomplement- C .D0  D1  D2/ C .D0  D1  D2/

Tab. 11.20 Übergangstabelle für die Ausgangsvariablen A1 und A2


Negative Zahlen in der
Vorzeichen-Betrags-Darstellung Zweierkomplement-Darstellung
D3 D2 D1 D0 Dezimalwert A3 A2 A1 A0
1 0 0 1 –1 1 1 1 1
1 0 1 0 –2 1 1 1 0
1 0 1 1 –3 1 1 0 1
1 1 0 0 –4 1 1 0 0
1 1 0 1 –5 1 0 1 1
1 1 1 0 –6 1 0 1 0
1 1 1 1 –7 1 0 0 1
D3; A3 D Vorzeichenbit, A2 D f .D0; D1; D2/, A1 D f .D0; D1; D2/, A0 D D
11 Grundlagen der digitalen Schaltungstechnik 559

Abb. 11.10 Zweierkom-


plementschaltung nach
Gl. 11.44 und 11.46

A2 D .D0 C D1/  D2 C .D0 C D1/  D2


A2 D .D0 C D1/ ˚ D2 (11.42)

In diesem Beispiel wird deutlich, dass eine


A1 D .D0  D1/ C .D0  D1/ : (11.39) Zusammenfassung zu einer Exklusive-ODER-
Verknüpfung stets die Komplemente der gesam-
Diese Verknüpfung stellt nach Gl. 11.23 eine
ten Terme voraussetzt und nicht etwa die Kom-
EXCLUSIVE-ODER-Verknüpfung (Antivalenz)
plemente der einzelnen Variablen.
dar, da der Ausgang A1 nur dann wahr wird,
Abbildung 11.10 zeigt die realisierte Schal-
wenn D0 und D1 voneinander verschieden sind:
tung, die durch die Gl. 11.38, 11.39, 11.40
A1 D D0 ˚ D1 (11.40) und 11.42 beschrieben ist.

In gleicher Weise lässt sich die Verknüpfung der


Ausgangsvariablen A2 aus den Vollkonjunktio- 11.4 Minimierung nach
nen der Eingangsvariablen D0 bis D2 ableiten. Karnaugh-Veitch
Die ODER-Normalform ergibt für A2
11.4.1 Grundlagen
A2 D .D0  D1  D2/ C .D0  D1  D2/
C .D0  D1  D2/ C .D0  D1  D2/ Eine grafische Möglichkeit der Minimierung
von Gleichungen in der Schaltalgebra haben
Karnaugh und Veitch gefunden (oft auch kurz
„KV“ genannt). Die Vereinfachung beruht auf
den Gleichungen der ODER-Normalform (Ab-
schn. 11.3.2). Dabei wird jede mögliche Vollkon-
junktion in einem Feld dargestellt.
(11.41)
Ein Karnaugh-Veitch-Diagramm für eine Va-
Auch hier ergibt sich eine EXOR-Verknüpfung riable besteht aus ihrem positiven Wert und dem
zwischen D2 und der Verknüpfung von D0 Inversen. Eine Minimierung kann hier nicht vor-
und D1. Doch dazu muss in der zweiten Voll- genommen werden. Bei zwei Variablen sind vier
konjunktion mit Hilfe von den De Morgan’schen Vollkonjunktionen möglich, so dass das Dia-
Gleichungen zuerst folgende Umformung durch- gramm auch vier Plätze zur Verfügung stel-
geführt werden: len muss. Nennt man die Eingangsvariablen A
und B, so ergeben sich die möglichen Konjunk-
A2 D .D0 C D1/  D2 C .D0  D1/  D2 tionen nach Tab. 11.21, oben. Das Karnaugh-
560 J. Gutekunst

Tab. 11.21 Übersicht über die möglichen Vollkonjunktionen von 2, 3 und 4 Eingangsvariablen

Abb. 11.12 Eingangsvariablen als Koordinaten


Abb. 11.11 Karnaugh-Veitch-Diagramm für zwei Variable

Das Karnaugh-Veitch-Diagramm repräsentiert


Veitch-Diagramm ist in diesem Fall ein Quadrat
somit alle zutreffenden Vollkonjunktionen in gra-
mit vier Feldern (Abb. 11.11).
fischer Form. Ziel ist es, durch die Zusam-
Die Vollkonjunktionen in diesem Diagramm
menfassung von Vollkonjunktionen ein bestehen-
sind lediglich Platzhalter. An ihre Stelle tritt bei
des Gleichungssystem zu vereinfachen. Voraus-
der Schaltungssynthese (Entwicklung von Schal-
setzung dafür ist die Problemerfassung in der
tungen) eine „1“, wenn die Konjunktion zutrifft,
ODER-Normalform.
oder eine „0“, wenn die Konjunktion nicht zu-
trifft. Die Eingangsvariablen werden dabei als
Koordinaten an den Rand des Diagramms ge- Beispiel 11.4-1
schrieben. Die Zuordnung der Variablen zu den Ein Zustand Z soll nur dann eingenommen
Koordinaten eines Karnaugh-Veitch-Diagramms werden, wenn die Eingangsvariable A wahr
kann dabei beliebig erfolgen; lediglich die ne- und die Eingangsvariable B nicht wahr ist
gierte und die nicht negierte Form einer Variablen oder wenn beide Eingangsvariablen wahr sind.
müssen an derselben Seite stehen, wie Abb. 11.12 Es soll nach Karnaugh-Veitch vereinfacht wer-
zeigt. den.
11 Grundlagen der digitalen Schaltungstechnik 561

Abb. 11.13 Kennzeichnen der wahren Vollkonjunktionen Abb. 11.14 Zusammenfassung von zwei Vollkonjunktio-
durch eine „1“ nen zur Ausgangsvariablen Z

Lösung
Die ODER-Normalform ergibt sich zu

N C .A  B/
Z D .A  B/ (11.43)

Alle zutreffenden Vollkonjunktionen in Abb. 11.15 Vereinfachung nach Karnaugh-Veitch für den
Gl. 11.43 werden im KV-Diagramm durch Term M
eine „1“ dargestellt, der Rest wird mit „0“
aufgefüllt. Damit wird aus Abb. 11.12 die
Darstellung in Abb. 11.13. Die Zusammenfassung in Abb. 11.14 zeigt,
Welche Gleichung dargestellt wird, ver- dass die Zweiergruppe komplett innerhalb der
merkt man durch die Ausgangsvariable am Koordinate A liegt; die Variable B aber so-
Rand des KV-Diagramms, beispielsweise wohl negiert als auch nicht negiert auftritt.
rechts unten. Zur Vereinfachung der Glei- B fällt somit nach Regel 3 bei der Zusammen-
chung können nun benachbarte Felder zusam- fassung heraus, und Z aus Gl. 11.43 verein-
mengefasst werden. Dabei gelten folgende Re- facht sich zu
geln: ZDA (11.44)
Regel 1: Es dürfen nur Felder zusammenge-
fasst werden, die direkt aneinander-
stoßen, also eine gemeinsame Seite Beispiel 11.4-2
haben. Es soll die ODER-Normalform von
Regel 2: Es können nur 2, 4, 8, allgemein 2n
(n 2 N ) Felder zusammengefasst N C .AN  B/
N
M D .A  B/ C .A  B/ (11.45)
werden.
Regel 3: Der Inhalt dieser Gruppe ergibt sich
aus den Koordinaten des Karnaugh- nach Karnaugh-Veitch vereinfacht werden.
Veitch-Diagramms. Alle Koordina- Dazu stellt man das KV-Diagramm gemäß
ten, die dabei sowohl negiert als Abb. 11.15 auf, das drei Vollkonjunktionen
auch nicht negiert auftreten, können enthält.
entfallen. Die Vollkonjunktionen können in zwei
Regel 4: Die Ausgangsvariable wird durch Gruppen zusammengefasst werden. Die Ver-
die ODER-Normalform aller Grup- einfachung der ODER-Normalform ergibt
pen dargestellt. schließlich das Ergebnis
Die Anwendung dieser Regeln auf Bei-
spiel 11.4-1 zeigt Abb. 11.14. M D A C BN (11.46)
562 J. Gutekunst

Abb. 11.16 Karnaugh-Veitch-Diagramm für drei Variable

Abb. 11.18 Zylindermodell des Karnaugh-Veitch-Dia-


gramms für drei Eingangsvariable
Abb. 11.17 Erweiterte Nachbarschaftsbedingung

das Karnaugh-Veitch-Diagramm den Mantel ei-


11.4.2 Karnaugh-Veitch-Diagramm nes Zylinders dar. Das dreidimensionale Modell
für drei Eingangsvariable zeigt Abb. 11.18. Dabei wird deutlich, dass die-
se Randfelder auf dem Zylindermantel mit ei-
ner Seite aneinanderstoßen, woraus sich die er-
Bei drei Eingangsvariablen (z. B. A, B und C )
weiterte Nachbarschaftsbedingung aus der ersten
sind acht Vollkonjunktionen möglich, die durch
Karnaugh-Veitch-Regel (Regel 1) ableiten lässt.
ein Karnaugh-Veitch-Diagramm mit acht Feldern
Bei der Zusammenfassung von Koordinaten
repräsentiert werden (Abb. 11.16).
ist darauf zu achten, dass in einer Reihe nur eine
Die Vollkonjunktionen, die durch dieses Dia-
geradzahlige Anzahl von Feldern zusammenge-
gramm dargestellt werden, sind ebenfalls in
fasst werden kann.
Tab. 11.21 zusammengestellt. Sie ergeben sich
Regel 7: Eine Bildung der Gruppen über Ecken
ebenfalls aus den Schnittpunkten der Koordina-
ist nicht zulässig!
ten am Rand des Karnaugh-Veitch-Diagramms.
Abbildung 11.19 zeigt die Zusammenfassung ei-
Für die Vereinfachung gelten folgende ergänzen-
ner nicht zulässigen Gruppe.
de Regeln:
An zwei weiteren Beispielen soll der Umgang
Regel 5: Die größte Gleichungsvereinfachung
mit dem KV-Diagramm für 3 Eingangsvariable
erhält man, indem man die Gruppen so
gezeigt werden.
groß wie möglich bildet.
Regel 6: Die erweiterte Nachbarschaftsbedin-
gung erlaubt auch das Zusammenfas- Beispiel 11.4-3
sen von Gruppen über den Rand hin- Der Ausgang P ist eine Funktion der Ein-
weg. gangsvariablen A, B und C . Für P gilt die
Abbildung 11.17 zeigt die Anwendung der ODER-Normalform:
erweiterten Nachbarschaftsbedingung auf zwei
P D .A  B  CN / C .A  B  C /
Randfelder eines Karnaugh-Veitch-Diagramms
für drei Variable. C .AN  B  C / C .AN  B  CN / (11.47)
Fügt man die beiden Kanten, die durch die C .A  BN  CN / C .AN  BN  CN /
erweiterte Nachbarschaftsbedingung eine Zu-
sammenfassung erlauben, zusammen, so stellt Vereinfachen Sie nach Karnaugh-Veitch.
11 Grundlagen der digitalen Schaltungstechnik 563

Lösung
Die im Karnaugh-Veitch-Diagramm nach
Abb. 11.21 eingetragenen Vollkonjunktionen
lassen sich zu zwei Gruppen zusammenfassen,
die schließlich folgendes Ergebnis liefern:

Q D .B  CN / C .BN  C / :
Abb. 11.19 Unzulässige Zusammenfassung über Eck
Nach Gl. 11.31 handelt es sich dabei um ei-
ne Exklusive-ODER-Verknüpfung, die nach
Gl. 11.32 umgeformt werden kann zu

Q DB ˚C : (11.50)

11.4.3 Karnaugh-Veitch-Diagramm
Abb. 11.20 Karnaugh-Veitch-Diagramm für die Aus- für vier Eingangsvariable
gangsvariable P

Jede weitere Eingangsvariable hat eine Verdoppe-


lung der Karnaugh-Veitch-Koordinaten zur Fol-
ge. Bei vier Eingangsvariablen können somit
insgesamt 16 Vollkonjunktionen gebildet werden
(siehe auch Tab. 11.21, Variable A bis D). Allge-
mein lässt sich daraus für die Anzahl der benötig-
ten Plätze f folgende Beziehung ableiten:

Abb. 11.21 Karnaugh-Veitch-Diagramm für die Aus-


I Die Anzahl der Plätze f in einem Karnaugh-
gangsvariable Q
Veitch-Diagramm ist gleich der Anzahl der
möglichen Vollkonjunktionen und berechnet
sich aus der Anzahl n der Eingangsvariablen zu
Lösung
f D 2n .
In das Karnaugh-Veitch-Diagramm nach
Abb. 11.16 eingetragen ergeben sich für
Gl. 11.47 die Möglichkeiten, wie sie in Die Anordnung der Koordinaten bei einem
Abb. 11.20 dargestellt sind. Karnaugh-Veitch-Diagramm mit vier Variablen
Die Zusammenfassung vereinfacht Gl. 11.47 (oft auch 4 4-Karnaugh-Veitch-Diagramm ge-
zu nannt) erfolgt nach Abb. 11.22.
P D B C CN : (11.48) Für die Abbildung des Diagramms für vier Va-
riable in der Ebene gilt ebenfalls die erweiterte
Nachbarschaftsregel. Welche Überlegungen da-
Beispiel 11.4-4 bei angestellt werden, lässt sich wiederum am
Der Ausgang Q wird durch nachfolgende besten am dreidimensionalen Modell darstellen:
ODER-Normalform beschrieben. Sie soll mit Für vier Variable ergibt sich ein Kugelmodell,
Hilfe eines Karnaugh-Veitch-Diagramms ver- auf dessen Rückseite sich die Ecken des 4 4-
einfacht werden. Diagramms aus der Ebene treffen und somit in
die erweiterte Nachbarschaftsregel einbeziehen
Q D .A  B  CN / C .A  BN  C /
(11.49) lassen. Abbildung 11.23 zeigt deutlich, dass ei-
C .AN  BN  C / C .AN  B  CN / : ne diagonale Zusammenfassung von A  D oder
564 J. Gutekunst

Abb. 11.22 Karnaugh-Veitch-Diagramm für vier Variable Abb. 11.24 Nachbarschaftsbedingung für Variable an den
Kanten

Abb. 11.23 Zusammenführung der Eckfelder bei der Ku-


geldarstellung

Abb. 11.25 Anwendung der Nachbarschaftsbedingung


B  C nicht möglich sein kann, da sie keine auf die vier Eckfelder. Die Zusammenfassung erfolgt nicht
gemeinsamen Kanten haben (Verstoß gegen Re- diagonal
gel 1).
Darüber hinaus lässt sich die erweiterte Nach-
Diese Aussage verdeutlicht Abb. 11.26. In
barschaftsregel Regel 6 ebenfalls anwenden.
diesem KV-Diagramm sind nur drei Eckfelder
Sie kann hier über alle vier Kanten des KV-
belegt. Es können nur die Variablen, die in einer
Diagramms angewandt werden, wie Abb. 11.24
Reihe liegen, zusammengefasst werden.
zeigt.
Die nach Abb. 11.26 erlaubten Zusammenfas-
Die Erkenntnis aus Abb. 11.23 führt schließ-
sungen, hier G1 und G2 genannt, ergeben sich
lich zur Ausweitung der erweiterten Nachbar-
schließlich zu
schaftsbedingung auf die Eckfelder. Diese kön-
nen entsprechend Abb. 11.25 zusammengefasst G1 D A  CN  DN und
werden.
G2 D BN  CN  DN

I Die Zusammenfassung erfolgt nicht über die


Diagonale. Demnach können zwei Vollkon- 11.4.4 Karnaugh-Veitch-Diagramm
junktionen, die sich diagonal gegenüberste- für fünf Eingangsvariable
hen, nicht zusammengefasst werden. Es lässt
sich jedoch eine Zweiergruppe bilden, wenn Für das KV-Diagramm für fünf Variable wer-
die Vollkonjunktionen sich in einer Reihe befin- den 32 (D 25 ) Plätze, entsprechend 32 mögli-
den. chen Vollkonjunktionen, benötigt. Mit wachsen-
11 Grundlagen der digitalen Schaltungstechnik 565

Abb. 11.27 Dreidimensionales Modell eines Karnaugh-


Veitch-Diagramms für fünf Variable

Abb. 11.26 Zusammenfassung der zwei möglichen Ter-


me

den Variablenzahlen werden die KV-Diagramme


immer komplizierter und die Vorstellung im
Raum immer schwieriger. Wollte man das KV-
Diagramm für fünf Variable als eine geschlossene
Fläche darstellen, ähnlich der Kugel, die das drei-
dimensionale Modell des KV-Diagramms für vier
Variable ist, so müsste eine vierte Dimension hin-
zugefügt werden. Aus diesem Grund führt man Abb. 11.28 Karnaugh-Veitch-Diagramm für fünf Varia-
die höheren KV-Diagramme mit mehr als vier ble in einer Ebene gezeichnet
Variablen auf die Flächendarstellung des 4 4-
Diagramms zurück.
Bei 32 Vollkonjunktionen sind demnach zwei
dieser Tabellen notwendig. Um die weiteren
Möglichkeiten der Zusammenfassung zu verste-
hen, kann man sich die beiden Tabellen überein-
andergeschichtet vorstellen (Abb. 11.27).
Die neu hinzugekommene Variable E teilt
sich dabei auf die beiden Ebenen auf: eine der
Ebenen repräsentiert die Variable E (in diesem
Beispiel die obere Ebene), die andere die Variable Abb. 11.29 Zusammenfassung von vier Vollkonjunktio-
EN (in diesem Beispiel die untere Ebene). Diese nen in x- und z-Richtung
Art der KV-Darstellung ist für die Erstellung und
die Minimierung von Schaltfunktionen nicht ge-
schickt, da die hintere Hälfte verdeckt ist. Wohl Die Nachbarschaftsbedingung gilt auch für Fel-
wird uns aber diese Darstellung sehr hilfreich der, die in Abb. 11.27 übereinander liegen. Das
sein, wenn es um die Erweiterung der Möglich- bedeutet, dass nun auch in der dritten Dimensi-
keiten für die Zusammenfassung geht. on, also in z-Richtung, zusammengefasst werden
Üblicherweise werden die KV-Diagramme kann:
für fünf Variable nebeneinander gezeichnet Regel 8: Die Nachbarschaftsbedingung wird
(Abb. 11.28) und durch den Zusatz der fünften auch von Feldern, die übereinander
Variable E und EN bewertet. liegen, erfüllt.
Neben den Regeln 1 bis 7 gibt es hier eine wei- Verdeutlicht wird dies in Abb. 11.29 mit ei-
tere Möglichkeit, eine gültige Gruppe zu bilden: nem Schnitt durch die beiden Ebenen. Hierbei
566 J. Gutekunst

Abb. 11.30 Karnaugh-Veitch-Diagramm für die Variable


T in der Ebene
Abb. 11.31 Dreidimensionales Modell eines Karnaugh-
Veitch-Diagramms für sechs Variable

sind als Beispiel vier Vollkonjunktionen einge-


zeichnet, zusammengefasst sowohl in x- als auch
in z-Richtung. 11.4.5 Karnaugh-Veitch-Diagramm
Für das KV-Diagramm nach Abb. 11.28 be- für sechs und mehr
deutet dies, dass Elemente, die in der linken und Eingangsvariable
rechten Tafel dieselben Koordinaten (Positionen)
haben, ebenfalls zusammengefasst werden kön- Das KV-Diagramm für sechs Variable sei hier nur
nen. An einem einfachen Beispiel soll dies ge- exemplarisch für alle höheren KV-Diagramme er-
zeigt werden. läutert.
Abbildung 11.31 zeigt eine dreidimensionale
Beispiel 11.4-5 Abbildung der 64 notwendigen Felder. Für die
Die Ausgangsvariable T wird durch die fol- Auflösung dieser Struktur ist es vorteilhaft, die
genden sechs Vollkonjunktionen der Ein- einzelnen Ebenen durchzunummerieren und sie
gangsvariable A bis E beschrieben: nach der fünften und sechsten Variable F und E
aufzuzeichnen. Dies lässt sich dann analog zu vo-
T D .A  B  CN  D  E/ C .A  B  C  D  E/ rigen Beispiel in 4 zweidimensionalen Quadraten
C .A  BN  CN  D  E/ C .A  BN  C  D  E/ darstellen
N C .A  BN  C  D  E/
C .A  B  C  D  E/ N Die Aussagen, die für das Zweidimensionale
(11.51) gelten, können auch in der dritten Dimension an-
gewandt werden: Die Nachbarschaftsbedingung
Vereinfachen Sie nach Karnaugh-Veitch. nach Regel 8 wird durch die Regel 6 für die vir-
tuelle dritte Dimension erweitert:
Lösung Regel 9: Auch eine Zusammenfassung der Voll-
Wird Gl. 11.52 in das KV-Diagramm einge- konjunktionen über den Rand hinweg
tragen, so sind die Zusammenfassungen nach in z-Richtung (nach oben oder unten)
Abb. 11.30 möglich. ist zulässig.
Dick umrandet ist in Abb. 11.30 die Zu- Abbildung 11.32 zeigt die Zusammenfassung
sammenfassung über die beiden Ebenen E in z-Richtung am dreidimensionalen Modell. Die
und E,N also die Zusammenfassung von Voll- beiden Vollkonjunktionen in jeder Ebene haben
konjunktionen, die übereinanderliegen und so- dieselben Koordinaten, bezogen auf A, B, C
mit gleiche Koordinaten haben. Das Ergebnis und D.
ist schließlich Die Projektion von Abb. 11.32 in die Ebe-
ne ergibt nun das zweidimensionale Modell nach
T D .A  D  E/ C .A  C  D/ (11.52) Abb. 11.34. Jedes der Felder repräsentiert eine
11 Grundlagen der digitalen Schaltungstechnik 567

3. Vollkonjunktion: EN  F
4. Vollkonjunktion: EN  FN
Diese Vollkonjunktionen sind bei der Zusam-
menfassung in der z-Richtung zu beachten. Da
die Variable K über alle vier Ebenen zusammen-
gefasst wurde, entfällt die Gewichtung durch die
Vollkonjunktionen von E und F (beide kom-
men sowohl negiert als auch nicht negiert vor,
s. Gl. 11.47). Dasselbe trifft auch für die Varia-
ble B zu, so dass sich die Ausgangsvariable K
auf den Ausdruck
Abb. 11.32 Beispiel einer Zusammenfassung über alle K D AN  D  C
vier Ebenen (in z-Richtung)
vereinfachen lässt. K wird also durch eine Kon-
junktion (keine Vollkonjunktion) von drei Varia-
blen beschrieben.
Die Vereinfachung von ODER-Normalformen
mit Hilfe der Karnaugh-Veitch-Diagramme un-
terliegen bestimmten Gesetzmäßigkeiten. Wie
aus obigen Beispielen hervorgeht, ist das Ergeb-
nis einer Zusammenfassung stets eine Konjunkti-
on.

I Jede Zusammenfassung in einem Karnaugh-


Veitch-Diagramm führt zu einer einzigen Kon-
junktion, unabhängig davon, wie viele Vollkon-
junktionen zusammengefasst wurden.

Die Vereinfachung einer ODER-Normalform


nimmt mit der Anzahl der zusammengefass-
ten Vollkonjunktionen zu (s. Regel 5). So sind
für die Beschreibung der Ausgangsvariablen K
in Abb. 11.33 nur noch drei (statt ursprüng-
lich sechs) Eingangsvariable notwendig. Geht
Abb. 11.33 Zusammenfassung nach Abb. 11.32 in der
man allgemein davon aus, dass die Vollkon-
Ebene
junktionen aus M Variablen bestehen und das
KV-Diagramm eine Zusammenfassung von K
Vollkonjunktionen erlaubt, so ergibt sich für die
Vollkonjunktion aus sechs Variablen, so dass die
Anzahl der notwendigen Eingangsvariable N fol-
ODER-Normalform der Ausgangsvariablen K in
gender Zusammenhang:
diesem Beispiel aus acht Vollkonjunktionen zu
sechs Variablen besteht. N D M  ld K (11.53)
Jedes der vier 4 4-KV-Diagramme in
Abb. 11.33 erhält eine zusätzliche Gewichtung wobei ld der Zweierlogarithmus ist (logarithmus
durch die Variablen E und F und den daraus dualis).
möglichen Vollkonjunktionen: Auf das Beispiel in Abb. 11.33 angewandt,
1. Vollkonjunktion: E  F steht M für die 6 Eingangsvariablen und K
2. Vollkonjunktion: E  FN für die 8 zusammengefassten Vollkonjunktionen.
568 J. Gutekunst

Abb. 11.34 a Karnaugh-


Veitch-Diagramm für die
Ausgangsvariable O,
b Karnaugh-Veitch-
Diagramm für die
Ausgangsvariable P ,
c Karnaugh-Veitch-
Diagramm für die
Ausgangsvariable Q,
d Karnaugh-Veitch-
Diagramm für die
Ausgangsvariable R

Der Zweierlogarithmus ld von 8 ergibt den Wert 3 benötigt, so können diese, um größt-
(23 D 8), so dass nach der Zusammenfassung nur mögliche Zusammenfassungen zu er-
noch N D 3 Eingangsvariable in der Konjunkti- reichen, mit einer „1“ oder einer „0“
on vertreten sind. Gleichung 11.53 ist ein gutes besetzt werden.
Hilfsmittel, um festzustellen, ob eine Zusam- Diese Regel setzt allerdings voraus, dass die Ein-
menfassung auch tatsächlich der Minimallösung deutigkeit der Schaltung erhalten bleibt. Gekenn-
entspricht. zeichnet werden diese Felder mit einem Kreuz
Karnaugh-Veitch-Diagramme mit mehr als oder einem Stern, der als Platzhalter sowohl
6 Variablen werden zusehends unhandlicher. So „0“ als auch „1“ einnehmen kann. Im Allge-
hat bereits ein KV-Diagramm für sieben Variable meinen wird der Inhalt des Feldes (die entspre-
128 Felder, 8 Variable bringen es auf 256 Voll- chende Vollkonjunktion) mit einer „1“ als wahr
konjunktionen und damit auf ebenso viele Felder. gekennzeichnet. Dies erlaubt dann größtmögli-
che Zusammenfassungen, die nach Regel 5 und
Gl. 11.53 die größte Vereinfachung ergeben.
11.4.6 Beispiele zur
Karnaugh-Veitch-Minimierung Beispiel 11.4-6
Es sollen die Verknüpfungsgleichungen für
Der Umgang mit den Karnaugh-Veitch-Diagram- einen Kodewandler gefunden werden, der
men soll an zwei weiteren Beispielen unter Be- den BCD-Kode in einen Gray-Kode umwan-
achtung von Regel 10 gezeigt werden: delt. Anschließend sollen diese mit Hilfe
Regel 10: Werden nicht alle Vollkonjunktionen der Karnaugh-Veitch-Diagramme vereinfacht
in einem Karnaugh-Veitch-Diagramm werden.
11 Grundlagen der digitalen Schaltungstechnik 569

Lösung
Man stellt zunächst die beiden Kodes gegen-
über:

BCD-Kode Gray-Kode
Eingangsvariable Ausgangsvariable
A B C D O P Q R
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1 Abb. 11.35 Minimierte Gleichung für den BCD=Gray-
0 0 1 0 0 0 1 1 Kode-Wandler
0 0 1 1 0 0 1 0
0 1 0 0 0 1 1 0 für die Ausgangsvariablen folgende Vereinfa-
0 1 0 1 0 1 1 1
chungen:
0 1 1 0 0 1 0 1
0 1 1 1 0 1 0 0 O DA P DACB
1 0 0 0 1 1 0 0
1 0 0 1 1 1 0 1
Q D .C  B/ C .CN  B/
N
Q DC ˚B
Für jede Ausgangsvariable O, P , Q und N C .CN  D/
R D .C  D/
R muss die Verknüpfungsgleichung gefunden R DC ˚B
werden. Dazu werden die Vollkonjunktionen
herangezogen, bei denen die Ausgangsvaria- Die Schaltung zu diesem Beispiel zeigt
ble wahr ist: Abb. 11.35. Die logischen Funktionen wer-
den durch die Grundelemente der Boole’schen
O D .A  BN  CN  D/
N C .A  BN  CN  D/ :
Verknüpfung (Abb. 11.37) realisiert.
P D .AN  B  CN  D/
N C .AN  B  CN  D/
C .AN  B  C  D/N C .AN  B  C  D/ Beispiel 11.4-7
In einem Speicher wird eine Dekodierung
C .A  BN  CN  D/
N C .A  BN  CN  D/ :
benötigt, die vier Adressbereiche ansprechen
   
Q D AN  BN  C  DN C AN  BN  C  D soll. Dazu sollen die Signale CS1 bis CS4
   
C AN  B  CN  D C AN  B  CN  D : aus den Adressleitungen A8 bis A11 nach
Tab. 11.22 gewonnen werden. Zu beachten ist,
R D .AN  BN  CN  D/ C .AN  BN  C  D/
N
dass diese Signale negiert auftreten.
C .AN  B  CN  D/ C .AN  B  C  D/ N
C .A  BN  CN  D/ : Lösung
Da die Chip-Select-Signale (Auswahlsigna-
Für jede Ausgangsvariable legt man ein KV- le) aktiv low sind, also nur bei Erreichen
Diagramm an. Dabei werden die Vollkonjunk- des Wertes „0“ Gültigkeit haben, muss man
tionen nach obigen Gleichungen mit „1“ ein- hierbei von einer negativen Logik nach Ab-
getragen. Aus der Kode-Tabelle geht hervor, schn. 11.3.1 ausgehen. So werden in den fol-
dass nur zehn der 16 Felder benötigt wer- genden Gleichungen diejenigen Vollkonjunk-
den. Der BCD-Kode kennt nur die Zahlen 0 tionen herausgesucht, bei denen der Ausgang
bis 9, so dass die restlichen Felder nach der zu null wird:
Regel 10 frei definierbar sind, ohne dass der
BCD-Kode seine Eindeutigkeit verliert. Für CS1 D .A8  A9  A10  A11/
die Ausgangsvariablen ergeben sich somit die C .A8  A9  A10  A11/
KV-Diagramme gemäß Abb. 11.34a–d.
Durch die möglichen Zusammenfassun- C .A8  A9  A10  A11/
 
gen durch das KV-Diagramm ergeben sich C A8  A9  A10  A11
570 J. Gutekunst

Tab. 11.22 Übergangstabelle für Beispiel 11.4-7 und D D A8. Die Zusammenfassung ergibt für
Eingangsvariable Ausgangsvariable diese einfache Dekoderschaltung schließlich
A11 A10 A9 A8 CS4 CS3 CS2 CS1
0 0 0 0 1 1 1 0 CS1 D AN  BN D A11  A10
0 0 0 1 1 1 1 0
CS2 D AN  B D A11  A10
0 0 1 0 1 1 1 0
0 0 1 1 1 1 1 0 CS3 D A  BN D A11  A10
0 1 0 0 1 1 0 1
CS4 D A  B D A11  A10
0 1 0 1 1 1 0 1
0 1 1 0 1 1 0 1
0 1 1 1 1 1 0 1
1 0 0 0 1 0 1 1
1 0 0 1 1 0 1 1 11.5 Zur Übung
1 0 1 0 1 0 1 1
1 0 1 1 1 0 1 1 Ü 11.1-1 In einem Rechnersystem taucht die
1 1 0 0 0 1 1 1 Zahl 9 auf, ohne weitere Kennzeichnung. Wel-
1 1 0 1 0 1 1 1 chem der Zahlensysteme – hexadezimal, dezimal,
1 1 1 0 0 0 0 0
oktal – kann sie angehören?
1 1 1 1 0 0 0 0
CSn D f .A8; A9; A10; A11/, n D 1; : : : ; 4 Ü 11.1-2 Die Zahl 10 hat in den verschiedenen
Zahlensystemen unterschiedliche Werte. In wel-
chen Zahlensystemen kann sie vorkommen, und
CS2 D .A8  A9  A10  A11/ welchem dezimalen Wert entspricht dies?
C .A8  A9  A10  A11/
C .A8  A9  A10  A11/ Ü 11.1-3 Wandeln Sie die duale Zahl 01001101B
in eine dezimale Zahl um.
C .A8  A9  A10  A11/
CS3 D .A8  A9  A10  A11/ Ü 11.1-4 Die dezimale Zahl 999D soll in einem
C .A8  A9  A10  A11/ Rechnersystem als hexadezimale Konstante ver-
wendet werden. Wie lautet sie?
C .A8  A9  A10  A11/
C .A8  A9  A10  A11/ Ü 11.1-5 Quadrieren Sie die hexadezimale Zahl
CS4 D .A8  A9  A10  A11/ 14H . Überprüfen Sie mit Hilfe der dezimalen
Werte die Rechenoperation.
C .A8  A9  A10  A11/
C .A8  A9  A10  A11/ Ü 11.1-6 Warum kann die normalisierte Mantis-
C .A8  A9  A10  A11/ se einer positiven Gleitkommazahl nicht kleiner
als 0;5D werden?
Diese Vollkonjunktionen trägt man in ein
4 4-Karnaugh-Veitch-Diagramm ein. In die- Ü 11.2-1 Der Gray-Kode für die Zahlen 0 bis 9
sem Fall stehen jedoch an den Koordinaten- soll durch ein Paritäts-Bit ergänzt werden.
schnittpunkten aufgrund der negativen Lo- a) Bis zu welchem Gewicht können damit Fehler
gik „0“ und nicht wie bisher „1“. erkannt werden?
b) Können auch Fehler korrigiert werden?
Abbildung 11.36a–d zeigt die jetzt möglichen c) Stellen Sie die Tabelle für den dualergänzten
Zusammenfassungen. Gray-Kode auf.
Die Koordinaten der Diagramme haben dabei d) Überprüfen Sie das Ergebnis mit Hilfe der
die Zuordnung A D A11, B D A10, C D A9 Quersumme über alle 5 Bits.
11 Grundlagen der digitalen Schaltungstechnik 571

Abb. 11.36 a Karn-


augh-Veitch-Diagramm
für das Chip-Select-
Signal CS1, b Karnaugh-
Veitch-Diagramm für
das Chip-Select-Signal
CS2, c Karnaugh-Veitch-
Diagramm für das Chip-
Select-Signal CS3,
d Karnaugh-Veitch-
Diagramm für das Chip-
Select-Signal CS4

Ü 11.2-2 Zur Darstellung der Zahlen 0 bis 7 e) Wie viele Fehler können erkannt werden,
reicht ein dreistelliger Gray-Kode. wenn der Korrekturradius auf rk D 2 einge-
a) Ist dieser vom vierstelligen Gray-Kode ausge- schränkt wird?
hend zyklisch? f) Welches Gewicht haben diese Fehler?
b) Wenn nicht, welche Modifikation nach Glixon
ist notwendig? Ü 11.2-4 Bei einem Kode mit 11 Nutzbits sollen
alle einfachen Fehler korrigiert werden.
Ü 11.2-3 Zur Datenübertragung einer Richt- a) Welche Hammingdistanz ist notwendig?
funkstrecke wird ein Kode mit der Hammingdi- b) Wie viele Kontrollstellen ergeben sich?
stanz von dmin D 8 verwendet. c) Lässt sich damit auch noch ein Kode mit
a) In wie viel Stellen kann dieser Kode gestört 12 Nutzbits sichern?
werden, ohne dass ein neues gültiges Kode-
wort entsteht? Ü 11.3-1 Welche Gesetze beweisen, dass Dis-
b) Wie hoch ist das Gewicht eines solchen Feh- junktion und Konjunktion zusammenhängen?
lers?
c) Bis zu welchem Gewicht können Fehler korri- Ü 11.3-2 In einer Steuerschaltung werden die
giert werden? Variablen A bis E in folgender Weise verknüpft:
d) Können darüber hinaus noch weitere erkannt a) A  B  C  D  E
werden? b) A  D  E
572 J. Gutekunst

Abb. 11.37 Die Boole’-


schen Grundelemente

c) AN  BN C C  D  E c) Vereinfachen Sie diesen Ausdruck mit Hilfe


d) A  BN  CN  DN  E der Boole’schen Algebra.
e) A C B C C C DN C EN d) Zeichnen Sie die Schaltung mit den Grundele-
N N
f) .A C B)  .C C D C E/ menten nach Abb. 11.37 aus der Boole’schen
g) N N N
A  B  C  .D  E/ Algebra.
h) .A  B  C  D/ C EN
Bei welchen Verknüpfungen handelt es sich Ü 11.4-1 Wie viele Felder haben die Karnaugh-
um Vollkonjunktionen der Eingangsvariablen? Veitch-Diagramme für 7, 8, 10 und 12 Variable?

Ü 11.4-2 Zeichnen Sie die Karnaugh-Veitch-


Ü 11.3-3 Für eine 2 Bit breite Dualzahl (D0 und
Diagramme für folgende Verknüpfungen zweier
D1) soll ein „Prüfbitgenerator“ entwickelt wer-
Variablen: ODER-Verknüpfung, UND-Verknüp-
den. Dieser soll die gerade Ergänzung der Zahlen
fung und Exklusive-ODER-Verknüpfung. Stellen
bilden (vgl. Abschn. 11.2 Kodes).
Sie dazu auch die Wahrheitstabellen auf.
a) Stellen Sie die Übergangstabelle für das Prüf-
bit P auf.
b) Welche ODER-Normalform ergibt sich für P? Ü 11.4-3 Entwickeln Sie einen Kodewandler
c) Durch welche Verknüpfung lässt sich die ähnlich Beispiel 11.4-6, der den Gray-Kode
ODER-Normalform vereinfachen? (4 Bit breit) eines Winkelmessers in hexadezi-
male Zahlen umwandelt. Dabei sollen alle 16
möglichen Kodeworte benutzt werden. Stellen
Ü 11.3-4 Es soll eine Schaltung entwickelt wer- Sie dazu
den, die die Primzahlen 3, 5, 7, 11 und 13 eines a) die Übergangstabelle,
Halbbytes erkennen kann. b) das Gleichungssystem der Vollkonjunktionen
a) Stellen Sie dazu die Übergangstabelle für das sowie
Primzahlenbit PZ auf! c) die entsprechenden Karnaugh-Veitch-Dia-
b) Wie lautet die daraus abgeleitete ODER- gramme auf.
Normalform? d) Wie lauten die vereinfachten Gleichungen?

Abb. 11.38 Aufbau der Siebensegment-Anzeige


11 Grundlagen der digitalen Schaltungstechnik 573

Ü 11.4-4 Eine Sieben-Segment-Anzeige mit den 11.6 Weiterführende Literatur


Segmenten a bis g nach Abb. 11.38 kann die
Ziffern 0 bis 9 darstellen. Sie soll durch den  Beuth, K.: (2006) Elektronik 4, Digitaltechnik.
BCD-Kode angesteuert werden. Dazu ist das ent- Würzburg: Vogel Verlag.
sprechende logische Netzwerk zu entwickeln.  Kruse, S.: (2010) Einführung in die Boo-
a) Stellen Sie die Übergangstabelle für die Zah- le’sche Algebra. Taschenbuch, Grin Verlag.
len 0 bis 9 auf.  Lipp, M.: (2007) Grundlagen der Digitaltech-
b) Wie viele Gleichungen werden erwartet? nik, Oldenbourg Wissenschaftsverlag.
c) Stellen Sie das Gleichungssystem mit den  Philippow, E.: (1987) Taschenbuch der Elek-
Vollkonjunktionen auf und trotechnik, Band 1 und 2. München: Hanser
d) tragen Sie diese in die entsprechenden Kar- Verlag.
naugh-Veitch-Diagramme ein.  Philippow, E.: (2000) Grundlagen der Elektro-
e) Wie lauten die Gleichungen nach der Mi- technik. Leipzig: Akademische Verlagsgesell-
nimierung durch die Karnaugh-Veitch-Dia- schaft Geest & Portig.
gramme?  Pfaff, M.: (2011) Elektronik und Schaltungs-
technik, Fachbuchverlag Leipzig.
 Zuiderveen, E. A.: (1989) Handbuch der di-
gitalen Schaltungen. München: Franzis Ver-
lag.
Digitale Bauelemente
12
Jürgen Gutekunst

Unter digitalen Bauelementen versteht man Transistoren, wofür der Begriff Ultra Large Scale
Schaltkreise, die auf der Grundlage der Boole- Integration (ULSI) steht. Abbildung 12.1 gibt
schen Gleichungen (Abschn. 11.3) beruhen. Erst einen Überblick über die Integrationsdichte heu-
Mitte des letzten Jahrhunderts gelang es, meh- tiger Bauelemente.
rere Grundfunktionen auf einem einzigen Sili- Die zunehmende Integration komplexer Schal-
ciumplättchen zusammenzufassen. Es entstand tungen, für die früher mehrere Leiterplatten not-
eine monolithisch integrierte Schaltung, und das wendig waren, erfordern auch entsprechend mehr
Siliciumplättchen ging als Chip in den Sprach- Anschlusskontakte, Pins genannt. So integriert
gebrauch ein. Diese einfachen Booleschen Ver- man heute hochkomplexe Schaltungen in Gehäu-
knüpfungen bezeichnet man als Gatterfunktionen se, die mehr als 500 Pins besitzen können.
(engl.: Gates), da eine Information erst dann wei- Digitale Bauelemente werden in der Regel
terverarbeitet werden kann, wenn die Verknüp- nach Ihren Technologien bezeichnet, die sich
fungsfunktion erfüllt ist. Werden mehrere Chips meist hinter folgenden Abkürzungen verbergen:
in einem integrierten Schaltkreis (engl.: Integra- CMOS Complementary MOS
ted Circuit, IC) verwendet, so handelt es sich um ECL Emitter-Coupled-Logic
hybride Schaltkreise. FAST Fairchild-Advanced-Schottky TTL
HC(MOS) High-Speed-CMOS
I Unter einem monolithisch integrierten Schalt- HCT TTL kompatible HC-Bausteine
kreis versteht man die Zusammenfassung LSTTL Low-Power-Schottky TTL
mehrerer Gatterfunktionen auf einem Chip. MOS Metal-Oxide-Semiconductor
STTL Schottky TTL
Die ersten digitalen Schaltkreise umfassten
TTL Transistor-Transistor-Logik.
nur wenige Gatterfunktionen, wie UND und
Die Spannungen werden bei den Logikfami-
ODER, die auch noch heute in allen Logikfa-
lien im Allgemeinen mit dem Buchstaben „V “
milien zu finden sind. Diese Bausteine werden
angegeben (in manchen Datenbüchern auch mit
als Small Scale Integration (SSI)-Bauteile be-
dem Buchstaben „U “), wobei Indizes die angege-
zeichnet, da die Integrationsdichte auf dem Chip
bene Spannung bezeichnen. Die Festlegung und
gering ist. Steigt die Integrationsdichte, so spricht
Bezeichnungen der Spannungen für die logischen
man von Medium Scale Integration (MSI) und
Pegel zeigt Abb. 12.2.
Large Scale Integration (LSI) bis zu Very Large
Die einzelnen Spannungen bedeuten:
Scale Integration (VLSI). In neuester Zeit erlaubt
VIL Eingangsspannung für den Zustand
die Technik eine Integration von mehr als 1 Mio.
Low („0“)
J. Gutekunst () VIL max maximale Eingangsspannung für Low
E-Mail: jgutekunst@web.de VIL min minimale Eingangsspannung für Low

© Springer-Verlag GmbH Deutschland 2017 575


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_12
576 J. Gutekunst

Beispiele
Digitale Bauelemente

UND-/ODER Zähler Arithmetik- Mikro-


Gate Arrays
Gatter Dekoder Bausteine Prozessoren

Inverter Programmierbare Signal-


Multiplexer Speicher
Flip-Flops Logik Prozessoren
Komplexität

SSI MSI LSI VLSI ULSI

Abb. 12.1 Komplexität digitaler Bauelemente

VSS negative Betriebsspannung (meist


GND)
GND Ground, Bezugspotenzial (Masse)
VBB Hilfsspannung (Bias-Spannung).
Zeiten bezeichnet man mit dem Buchstaben
„t“ und den entsprechenden Indizes. Sie soll-
ten den Datenbüchern entnommen werden, da die
Bezeichnungen nicht einheitlich sind und dort in
aller Regel der direkte Bezug zur Pulsform dar-
gestellt ist. Die wichtigsten heißen:
tpd Propagation Delay, Gatterschaltzeit
Abb. 12.2 Definition der Spannungen bei den logischen
Pegeln 0 und 1 tr Pulsanstiegszeit (r: rising)
tf Abfallzeit (f: falling).

VIH Eingangsspannung für den Zustand


High („1“) 12.1 Logikfamilien
VIH max maximale Eingangsspannung für High
VIH min minimale Eingangsspannung für High Die mathematische Beschreibung der Ausgangs-
VOL Ausgangsspannung für den Zustand variablen in Abhängigkeit von der Eingangsva-
Low („0“) riablen erfolgt mit Hilfe der Booleschen Alge-
VOL max maximale Ausgangsspannung für Low bra (Abschn. 11.3). Zur Umsetzung dieser Glei-
VOL min minimale Ausgangsspannung für Low chungen in eine funktionierende Schaltung sind
VOH Ausgangsspannung für den Zustand entsprechende Bauelemente (z. B. die Basisele-
High („1“) mente UND, ODER, NICHT und Antivalenz)
VOH max maximale Ausgangsspannung für High notwendig. In der Digitaltechnik werden diese
VOH min minimale Ausgangsspannung für High Bauteile als Logikfamilie bezeichnet. Jede Logik-
VNM low Rauschspannungsabstand für Low familie besitzt spezielle technische Eigenschaf-
(NM D Noise Margin) ten, wie beispielsweise Geschwindigkeit, Takt-
VNM high Rauschspannungabstand für High frequenz und Leistungsaufnahme. Tabelle 12.1
VHL Störspannungsabstand stellt diese grundlegenden Eigenschaften der un-
VCC positive Betriebsspannung terschiedlichen Logikfamilien zusammen.
12 Digitale Bauelemente 577

Tab. 12.1 Schaltzeiten, Taktfrequenzen und Leistungsvergleich der Logikfamilien


Logikfamilie
Eigenschaften CMOS TTL LSTTL HC(T) STTL FAST ECL
Comple- Transistor- Low-Power- High-Speed- Schottky- Fairchild- Emitter-
mentary- Transistor- Schottky- CMOS TTL Advanced- Coupled-
MOS Logic TTL STTL Logic
Schaltge- 35 ns 10 ns 8 ns 8 ns 4 ns 3 ns 1,0 ns
schwindigkeit
Flip-Flop- 7 MHz 15 MHz 30 MHz 50 MHz 75 MHz 100 MHz 500 MHz
Taktfrequenz
Leistungs- 10 nW 10 mW 2 mW 25 nW 20 mW 4 mW 25 mW
aufnahme

In Tab. 12.1 sind die Logikfamilien von links


nach rechts nach abnehmenden Schaltgeschwin-
digkeiten geordnet. Die Schaltgeschwindigkeit
beschreibt die typische Verzögerungszeit, die
ein Puls am Eingang eines Gatters (z. B. eines
Inverters) bis zum Ausgang erfährt. In den Daten-
büchern ist diese Zeit mit propagation delay tpd
bezeichnet. Bei der Entwicklung der komplexen
digitalen Logik hat diese Zeit einen erheblichen
Einfluss auf die Verwirklichung logischer Schal-
tungen (darauf wird in Kap. 13 noch ausführlich
eingegangen). Direkt abhängig hiervon ist auch
die Flip-Flop (FF)-Toggle-Frequenz. Sie gibt an,
wie oft die logischen Schaltzustände 0 und 1 pro
Sekunde maximal geändert werden können.
Abb. 12.3 Geschwindigkeits-Leistungs-Diagramm der
Die Leistungsaufnahme der Bauteile ist von der Logikfamilien
maximalen Geschwindigkeit abhängig. Um ein
vergleichbares Maß zu erhalten, wird die Ruhe-
leistungsaufnahme (engl.: quiescent power) pro
Gatter ermittelt und gegenübergestellt. CMOS- Mit Hilfe von Abb. 12.3 lässt sich die Energie-
Bauteile (einschließlich der High-Speed-CMOS bilanz der Bauteile ableiten. Sie ist das Produkt
(HC)-Familie) erzielen dabei sehr geringe Wer- aus Geschwindigkeit und Leistung pro Gatter,
te (nur wenige Nanowatt), da die Leistungsauf- besser bekannt durch die englische Bezeichnung
nahme in Ruhe nur durch die Leckströme der speed-power-product und wird in Pico Joule
Gatekapazitäten bestimmt wird (Abschn. 12.1.3). (pJ) pro Gatter angegeben. Die Werte einiger
Ihre Leistungsaufnahme steigt mit zunehmender Logikfamilien sind in Tab. 12.2 zusammenge-
Schaltfrequenz, während die der anderen Famili- stellt.
en nahezu konstant bleibt. Die grafische Zusam- Neben diesen dynamischen Eigenschaften un-
menfassung der Tab. 12.1 ergibt das Abb. 12.3. terscheiden sich die Logikfamilien auch in ihren
Es ist deutlich zu erkennen, dass die Logikfami- Betriebsspannungen sowie deren Toleranzberei-
lien auf CMOS-Basis (CMOS 4000, HC=HCT chen. In Abb. 12.4 ist der meist genutzte Bereich
und AC=ACT) einen um mehr als vier Zehner- schraffiert dargestellt. Er liegt bei der Digital-
potenzen geringeren Leistungsbedarf pro Gatter technik typischerweise bei einer Spannung von
besitzen als beispielsweise LSTTL- oder FAST- 5 V („5 V-Schaltungstechnik“). Er wird von den
Bauteile. meisten Logikfamilien abgedeckt.
578 J. Gutekunst

Tab. 12.2 Geschwindigkeits-Leistungs-Produkt der Lo- Tab. 12.3 Logikpegel der einzelnen Familien
gikfamilien
Logikfamilie Pegel
Logikfamilie Geschwindigkeits- Typische Spannungen für
Leistungs-Produkt die logischen Zustände
HC=HCT 0,18 0 1
CMOS 0,25 TTL, STTL, LSTTL 0,5 V 3,5 V
TTL 150 FAST 0,4 V 3,5 V
FAST 255 CMOS 0,05 V 4,95 V
STTL 283 HC 0,1 V 4,9 V
LSTTL 363 HCT 0,1 V 4,9 V
ECL –1,7 V –0,9 V

Der Betrieb der verschiedenen Logikfamilien


an unterschiedlichen Spannungen sowie die ver-
schiedenen Technologien lassen eine gemischte
Verwendung nicht ohne weiteres zu. Entschei-
dend dafür sind die garantierten Ausgangspegel
für die logischen Zustände 0 und 1. Die Zu-
sammenstellung in Tab. 12.3 zeigt die typischen
Logikpegel 1 („High“) und 0 („Low“) der Logik-
familien.
Für die Betriebssicherheit gemischter digi-
taler Schaltungen sind jedoch die worst case-
Spannungspegel (z. B. VOH max und VIH min ) maß-
Abb. 12.4 Versorgungsspannung der unterschiedlichen gebend. Es wird deutlich, dass bei der Zusam-
Logikfamilien menschaltung unterschiedlicher Familien in den
meisten Fällen eine Pegelanpassung notwendig
ist. Speziell bei CMOS (Betrieb an einer Span-
Der weite Versorgungsspannungsbereich der nung > 5 V) und bei den ECL-Bauteilen (Be-
CMOS-Familie (Abb. 12.4) erlaubt beispielswei- trieb an negativer Spannung) ist dies nur mit
se ihren Einsatz in bereits vorhandenen elektro- entsprechenden Umsetzbauteilen möglich. Wie
nischen Schaltungen, ohne für die Logik eine die unterschiedlichen Logikfamilien zusammen-
zusätzliche Versorgungsspannung bereitzustellen geschaltet werden können, zeigt Tab. 12.4.
(z. B. in Maschinensteuerungen, die 12 V-Relais- Müssen nur kleine Spannungsdifferenzen aus-
Ausgänge besitzen). HC-Bauteile eignen sich geglichen werden, wie beispielsweise von TTL
sehr gut für batteriebetriebene Schaltungen, da auf HC, so kann dies im einfachsten Fall über
sie noch bei einer Betriebsspannung von 2 V ar- einen Widerstand erfolgen, der am Ausgang des
beiten und einen kaum messbaren Ruhestrom TTL-Gatters mit der C5 V-Versorgungsspannung
aufnehmen (Tab. 12.1). verbunden wird (engl.: pull-up).
Völlig aus dem Rahmen fällt hingegen die Eine weitere wichtige Größe für die Entwick-
ECL-Familie, die eine negative Versorgungsspan- lung logischer Schaltungen ist der Ausgangs-
nung benötigt. Daneben muss noch eine weitere strom. Von ihm ist es abhängig, wie viel weitere
Hilfsspannung zur Verfügung gestellt werden, so Gatter angesteuert werden können. In diesem
dass die ECL-Familie mit insgesamt drei Span- Zusammenhang spricht man vom Fan-Out des
nungspotenzialen versorgt werden muss. Die Gatters und gibt an, wie viel Eingänge seiner ei-
ECL-Bauteile haben jedoch die kürzesten Schalt- genen Familie angesteuert werden können. Die
zeiten aller Logikfamilien (Abschn. 12.1.5). dimensionslose Größe des Fan-Out ergibt sich
12 Digitale Bauelemente 579

Tab. 12.4 Verknüpfung unterschiedlicher Logikfamilien


Nach
Von HC HCT CMOS CMOS TTL ECL
(5 V) (6 bis 15 V)
HC Direkt Direkt Direkt 4104 Direkt 10124
HCT Direkt Direkt Direkt 4104 Direkt 10124
CMOS (5 V) Direkt Direkt Direkt 4104 Direkt 10124
CMOS (6 bis 15 V) 4049 oder 4049 oder 4049 oder Direkt 4049 oder Transistor
4050 4050 4050 4050
TTL „Pull-uP“- Direkt „Pull-uP“- 4104 Direkt 10124
Widerstand Widerstand
ECL 10125 10125 10125 Transistor 10125 Direkt

Abb. 12.5 Schaltelemente der RTL, DTL und TTL

als Quotient von maximalem Ausgangsstrom und 12.1.1 TTL


maximalem Eingangsstrom der anzusteuernden
Bausteine:
Die TTL-Familie (Transistor-Transistor-Logik)
kam 1964 auf den Markt und entwickelte sich
IO max bald zur verbreitetsten Logikfamilie. Sie war im
Fan-Out D : (12.1) Wesentlichen eine Weiterentwicklung der bis da-
II max
hin eingesetzten DTL- (Dioden Transistor Logik)
und RTL- (Widerstands; engl.: Resistor Transis-
Um vergleichbare Werte zu erhalten, erfolgt oft tor Logik) Familien, deren Schaltzustand von ei-
die Fan-Out-Angabe in Bezug auf LSTTL. Dabei nem aktiven Bauelement (Transistor) und einem
wird der allgemeine Ausdruck in Gl. 12.1 durch passiven Bauelement (Diode bzw. Widerstand)
II maxLSTTL ersetzt. bestimmt wurde (Abb. 12.5). Die Schaltzeiten,
Das Fan-In ist die normierte Eingangsbelas- die damit erreicht wurden, waren entsprechend
tung. Bezogen auf die eigene Familie ergibt sich langsam.
stets 1. Deshalb ist die Angabe des Fan-In nur Die RTL-Familie wurde als Chip nicht fortge-
dann sinnvoll, wenn der Bezug auf eine andere führt. Sie und die DTL-Familie haben praktisch
Logikfamilie erfolgt. Auch hier ist es in der Re- keine Bedeutung mehr und sind nach dem Er-
gel die LSTTL-Familie. Für das Fan-In gilt scheinen der TTL-Familie nicht mehr zu erhalten.
Bei der TTL-Familie werden beide Schaltzustän-
de durch aktive Bauelemente (deshalb Transis-
II max
Fan-In D : (12.2) tor-Transistor-Logik) realisiert. Dies ermöglicht
II maxLSTTL einen wesentlich schnelleren Wechsel der logi-
580 J. Gutekunst

Low-Pegel UL (logisch 0) des Ausgangs durch


die Sättigungsspannung von Kollektor zu Emit-
ter UCE sat bestimmt und beträgt UL D 0;2 V.
Der High-Pegel UH (logisch 1) ist neben der
Sättigungsspannung des oberen Transistors auch
vom Spannungsabfall am Widerstand und an der
Diode abhängig. Der Spannungsverlust am Wi-
derstand hängt vom Ausgangsstrom ab, so dass
gilt:

UH D VCC  UCE sat  R  Iaus  UD : (12.3)

Der High-Pegel eines Standard-TTL-Bauteils


schwankt demnach zwischen 3 V und 4,4 V. Die
Folge davon ist, dass der Ausgang bei einem
Low-Pegel stärker belastet werden kann als beim
High-Pegel, da die Sättigungsspannung UCE sat
über dem Transistor nahezu konstant bleibt, und
der Ausgangsstrom an keinem Widerstand einen
Spannungsverlust verursacht. Die mit zwei Tran-
sistoren aufgebaute Ausgangsschaltung wird als
Totem-pole-Ausgang (Totem (indianisch): Ver-
wandtschaft) bezeichnet (Abb. 12.7).

STTL Die Vorteile der Schottky-Diode (Ab-


schn. 2.5.2) wurden bei der Weiterentwick-
lung der TTL-Familie ausgenutzt. So entstand
die Schottky-TTL (STTL)-Familie mit wesentlich
Abb. 12.6 Eingangsbeschaltung der TTL-, LSTTL- und kürzeren Schaltzeiten. Sie konnten auf durch-
STTL-Bauteile schnittlich 5 ns halbiert werden. Allerdings stieg
dabei die Leistungsaufnahme pro Gatter auf
20 mW an. Zusätzliche Transistoren vor dem
schen Zustände 0 und 1, so dass Schaltzeiten von Totem-pole-Ausgang (Abb. 12.7, Mitte) sorgen
kleiner 10 ns erreicht werden. Die Leistungsauf- dabei für einen raschen Ladungsabfluss in der
nahme liegt bei 10 mW pro Gatter. Schon kurze Basiszone. Der Längswiderstand in der Kollek-
Zeit später wurden die Schottky-TTL (STTL)- torleitung beträgt hier nur 50 , so dass auch
und Low-Power-Schottky-TTL (LSTTL) vorge- im High-Zustand Schaltströme von 20 mA er-
stellt, die auf der Basis dieser Standard-TTL Bau- reicht werden. Die STTL-Familie war lange Zeit
steine entwickelt wurden. Die Zusammenstellung die schnellste Logikfamilie, die bei 5 V betrie-
in Abb. 12.6 zeigt oben den typischen Eingang ben werden konnte. FAST (Fairchild Advanced
eines Standard-TTL-Bauteils. Dabei erfolgt die Schottky TTL) und vor allem die seit 1988
Realisierung der Booleschen UND-Verknüpfung verfügbare AC-Familie (Advanced High Speed
durch einen Multiemitter-Transistor, der bis zu 8 CMOS) haben die Schottky-TTL nahezu völ-
Eingänge (Emitter) haben kann. lig verdrängt. Ein Hauptnachteil war der enorme
Bei allen Logikfamilien, mit Ausnahme von Leistungsbedarf dieser Bauteile, der bei komple-
ECL, werden die aktiven Elemente (Transisto- xeren Schaltungen entsprechend große Netzteile
ren) in die Sättigung geschaltet. So wird der voraussetzte.
12 Digitale Bauelemente 581

Abb. 12.7 Totem-pole-Ausgang der TTL-Familien (TTL, LSTTL und STTL)

LSTTL Die LSTTL (Low-Power-Schottky- Dabei gilt


TTL) ist eine Variante der STTL, die vor allem VNM Low Rauschspannungsabstand (noise mar-
wegen ihres geringen Leistungsbedarfs vorteil- gin) Low (Störfestigkeit)
haft ist. Der grundsätzliche Aufbau der typischen VNM High Rauschspannungsabstand High
Ein- und Ausgangselemente gleichen denen der VIL max höchste Eingangsspannung für den
Schottky-Bauteile, besitzen aber hochohmigere Low-Zustand
Widerstände (Abb. 12.6 und 12.7). Das Ergebnis VOL max höchste Ausgangsspannung des Low-
sind Schaltzeiten um 9 ns bei einer Leistungs- Zustandes
aufnahme von nur 2 mW pro Gatter, etwa einem VIH min niedrigste Eingangsspannung für den
Zehntel der STTL. Bis Ende der 80er Jahre waren High-Zustand
diese Bauteile die bevorzugte Logikfamilie. VOH min niedrigste Ausgangsspannung des
Wichtig für den Entwickler sind die Grenz- High-Zustandes.
spannungen und Spannungstoleranzen einer Lo- Für die TTL-Familien (TTL, STTL und
gikfamilie, wie sie in Abb. 12.8 zusammenge- LSTTL) ergeben sich nach Abb. 12.8 folgende
stellt sind. Die logische „0“ (Low-Zustand) der Werte:
TTL-Familien als Eingangssignal ist im Bereich VIL max D 0;8 V ;
von 0 V bis 0,8 V festgelegt, die logische „1“ VIH min D 2;0 V ;
(High-Zustand) von 2,0 V bis 5,0 V. Nur bei Ein- VOL max D 0;4 V ;
haltung dieser Toleranzbereiche (dabei sollte man
VOH min D 2;7 V :
nicht bis an die Grenzen gehen) ist die Funktion
der Bauteile gewährleistet. Für den Low- und High-Zustand folgen daraus
Der Rauschspannungsabstand (engl.: noise unterschiedliche Ergebnisse:
margin) der Bauteile ergibt sich aus der Dif-
ferenz der schlechtest möglichen Eingangsspan- NMLowTTL D 0;4 V ;
nung zur schlechtest möglichen Ausgangsspan- NMHighTTL D 0;7 V :
nung („worst case“-Bedingungen). Für die bei-
den Schaltzustände 0 und 1 ergibt sich demnach: Der Bereich zwischen den beiden Zuständen Low
und High ist nicht definiert und gilt auch als ver-
VNM Low D VIL max  VOL max ; (12.4) botener Bereich. Er ist ein Maß für die Störsicher-
heit der definierten Zustände (0 oder 1) und wird
und für den High-Zustand entsprechend deshalb als Störspannungsabstand VHL bezeich-
net. Er berechnet sich aus der minimalen Ein-
VNM High D VOH min  VIH min : (12.5) gangsspannung für den High-Zustand (VIH min )
582 J. Gutekunst

Abb. 12.8 Grenzspannungen von TTL, LSTTL und STTL

abzüglich der maximalen Eingangsspannung für die aber mit dem Erscheinen der CMOS-Familie
den Low-Zustand (VIL max ). ebenfalls vom Markt verschwand.
Der Störspannungsabstand von logischen Die Kennzeichnung der TTL-Familien (und
Schaltkreisen ergibt sich aus den worst-case- auch der High-Speed-CMOS, siehe unten) er-
Spannungen für die logischen Zustände „1“ folgt auf den Bauteilen mit den führenden Buch-
und „0“. staben 74yyxxx (Industrieller Temperaturbereich
von 40 ı C bis C85 ı C) oder 54yyxxx (mi-
VHL D VIH min  VIL max : (12.6) litärischer Temperaturbereich von 55 ı C bis
C125 ı C). Welcher der TTL-Familien der Bau-
Für die TTL-Familien ergibt sich mit den obigen teile zugeordnet werden muss, ergibt sich aus
Werten und Gl. 12.6 der Störspannungsabstand den nachfolgenden Buchstaben yy. Ist kein Buch-
zu stabe vorhanden, handelt es sich immer um die
VHL D VIH min  VIL max ; Standard-TTL-Familie. Die nachfolgenden Zif-
VHL D 2;0 V  0;8 V ; fern xxx sind ein Schlüssel für die Funktion, die
VHLTTL D 1;2 V : in dem Bauteil realisiert ist. Tabelle 12.5 zeigt
eine Zusammenstellung von Familien und ihre
Die Low-Power-Schottky-TTL haben die Stan- Kennzeichnung auf den Bauteilen.
dard-TTL-Bauteile, wie sie Anfang der 60er Jah-
re vorgestellt wurden, gänzlich verdrängt. Der
wesentlich geringere Leistungsbedarf half auch 12.1.2 FAST
die Kosten für die erforderlichen Stromversor-
gungen zu senken. Auch andere Varianten der Die FAST-Familie (Fairchild-Advanced-Schott-
Standard-TTL-Familie hatten nur eine geringe ky-TTL) war eine konsequente Weiterent-
Lebensdauer. So wurde neben den Low-Power- wicklung der STTL-Familie durch die Firma
Schottky-Bauteilen auch eine High-Power-Fa- Fairchild. Durch die Entwicklung des Isoplanar-
milie (HTTL) entwickelt, die die Leistungsauf- II-Transistors konnte die notwendige Grundflä-
nahme und Geschwindigkeit der STTL-Familie che drastisch verringert werden.
nahezu erreicht, aber ohne die Schottky-Tran- Abbildung 12.9 veranschaulicht die Reduzie-
sistoren und Dioden auskommt. Ebenso wurde rung der notwendigen Chip-Fläche für einen
auch eine langsame störsichere Logik (LSLTTL) integrierten Transistor bei FAST. Ein weiteres
und eine Low-Power-TTL-Familie (LTTL) auf Merkmal ist die Verwendung von Siliciumdioxid
der Basis der Standard-TTL-Bauteile entwickelt, als Isolator, was eine Verminderung der seitli-
12 Digitale Bauelemente 583

Tab. 12.5 Kennzeichnung der Logik-Familien auf den Bauteilen


Temperaturbereich
Baustein Industrieller Temperaturbereich Militärischer Temperaturbereich
(40 bis C85ı C) (55 bis C125ı C)
Standard-TTL 74 xxx 54 xxx
Schottky-TTL 74 S xxx 54 S xxx
Low-Power-Schottky-TTL 74 LS xxx 54 LS xxx
FAST 74 F xxx 54 F xxx
High-Power-TTL 74 H xxx 54 H xxx
Low-Power-TTL 74 L xxx 54 L xxx
High-Speed-CMOS 74 HC xxx 54 HC xxx
TTL-kompatible-High-Speed-CMOS 74 HCT xxx 54 HCT xxx

12.1.3 CMOS

Die Schaltelemente der CMOS-Familie sind


Feldeffekttransistoren (FET) auf MOS-Basis
(MOS D Metal Oxide Semiconductor). Diese
zeichnen sich durch einen extrem hohen Ein-
gangswiderstand und eine sehr niedrige Verlust-
leistung aus. Die Verwendung von komplemen-
tären FET (n-Kanal-FET und p-Kanal-FET, Ab-
schn. 3.1.1, Abb. 3.1) führte zur Entwicklung der
CMOS-Familie (Complementary MOS).
Ihr besonderes Kennzeichen ist die gerin-
ge Ruhestromaufnahme, die bei den Gattern bei
10 nW liegt. Der Grund liegt darin, dass stets
einer der beiden komplementären Transistoren
Abb. 12.9 Flächenbedarf des Isoplanar-II-Transistors
gesperrt ist und damit kein Strom fließen kann.
In Abb. 12.11 ist ein typischer Eingang für eine
chen Streukapazitäten zur Folge hat. Allein durch ODER-Verknüpfung zweier digitaler Signale A
die Flächenreduzierung sind diese Kapazitäten und B abgebildet, die schließlich zum Ergebnis
bereits sehr viel geringer als bei den herkömmli- P D A C B führt.
chen Bauteilen von STTL und LSTTL. Die Folge Die Übertragungskennlinie (Transfercharak-
davon ist eine höhere Grenzfrequenz und damit teristik) zeigt die Schaltschwelle genau in der
kürzere Schaltzeiten (Tab. 12.1). Mitte der Versorgungsspannungen. Ihre Schalt-
Die einzelnen Grenzspannungen in Abb. 12.10 charakteristik wird durch das Nachschalten von
gleichen im Wesentlichen den TTL-Spannungs- Buffern (Schaltstufen) wesentlich verbessert (in
bereichen. Lediglich die Ausgangsspannung für Abb. 12.11 gestrichelt eingetragen). Der Aus-
den High-Zustand liegt im ungünstigsten Fall bei gangsbuffer kann dabei aus mehreren Ausgangs-
VOH min D 2;5 V. stufen (Abb. 12.12) bestehen. Jeder dieser Aus-
Die Rauschspannungsabstände ergeben sich gangsstufen stellt einen Inverter dar, so dass
zu: eine nicht invertierende Ausgangsschaltung aus
VNM LowFAST D 0;4 V ; zwei Stufen besteht, eine invertierende Aus-
gangsschaltung aus drei Stufen.
VNM HighFAST D 0;5 V :
Ein weiterer Vorteil der CMOS-Familie ist ihr
Der Störspannungsabstand VHLFAST bleibt eben- großer Betriebsspannungsbereich (Abb. 12.13).
falls mit 1,2 V gleich. Von C3 V bis C15 V werden ihre Schalteigen-
584 J. Gutekunst

Abb. 12.10 Grenzspannungen der FAST-Bauteile

Abb. 12.11 Eingangsschaltung und Transfer-Charakteristik der CMOS-Bauteile bei verschiedenen Spannungen

Abb. 12.12 Invertierender


und nicht invertierender
Ausgang bei CMOS
12 Digitale Bauelemente 585

Abb. 12.13 Eingangsspannungsbereiche der CMOS-Bauteile

schaften garantiert. Die Ruheleistung von 10 nW 0,05 V über dem Massepotenzial. Die Rausch-
pro Gatter bleibt dabei konstant. Mit zunehmen- spannungsabstände ergeben sich somit:
der Spannung verkürzen sich jedoch die Schalt-
zeiten und verbessern sich auch die Rausch- bei 5 V: VNM LowCMOS D 0;95 V ;
spannungsabstände. In Abb. 12.13 sind die cha- V NM HighCMOS D 0;95 V I
rakteristischen Grenzspannungen für drei unter- bei 10 V: VNM LowCMOS D 1;95 V ;
schiedliche Versorgungsspannungen (5 V, 10 V VNM HighCMOS D 1;95 V I
und 15 V) wiedergegeben.
bei 15 V: VNM LowCMOS D 2;45 V ;
Die Störspannungsabstände nach Gl. 12.6 sind
demnach V NM HighCMOS D 2;45 V :

Der komplementäre Aufbau der Schaltstufen bei


VHLCMOS=5 V D 3 V ;
CMOS birgt einen Nachteil. Beim Umschalten
VHLCMOS=10 V D 6 V ; von einem logischen Zustand in den anderen tre-
VHLCMOS=15 V D 10 V : ten Stromspitzen bis zu mehreren mA auf und
zwar deshalb, weil beide Feldeffekt-Transistoren
Eine deutliche Verbesserung des Rauschspan- beim Umschalten kurzzeitig leitend sind und
nungsabstandes erreicht man durch den Ein- somit einen niederohmigen Pfad zwischen bei-
satz der CMOS-Bauteile, weil in diesen Fällen den Potenzialen bilden. Abbildung 12.14 zeigt
kein Längswiderstand wie bei den Totem-pole- den Drain-Strom ID während eines Schaltvor-
Ausgängen benötigt wird. Sämtliche FETs der gangs (drain, engl.: Abfluss. In diesem Fall ist
Ausgangsschaltung sind direkt mit einem Span- die Stromaufnahme während des Schaltens ge-
nungspotenzial (entweder Betriebsspannung oder meint).
Masse) verbunden. Der interne Spannungsab- Der n-Kanal MOS-FET bleibt unterhalb ei-
fall wird daher nur durch den Spannungsabfall ner Schwellspannung VS stets gesperrt, während
des durchgeschalteten FET bestimmt und liegt der p-Kanal MOS-FET durchgesteuert ist. Es
bei maximal 0,05 V. Somit liegt der High-Zu- kann kein Strom durch das komplementäre Paar
stand im schlechtesten Fall 0,05 V unter der Ver- fließen. Steigt die Eingangsspannung weiter, so
sorgungsspannung, der Low-Zustand höchstens werden beide komplementäre Transistoren in den
586 J. Gutekunst

Abb. 12.14 Drain-Strom ID als Funktion der Eingangs-


spannung Abb. 12.16 Leistungsaufnahme von CMOS-Bauteilen in
Abhängigkeit von der Frequenz

leitenden Zustand gesteuert und der Drain-Strom


steigt stark an. Erst wenn die Schwellspannung standswechsel zunimmt. Die mittlere Leistung
des p-Kanal MOS-FET überschritten ist, geht er ergibt sich aus der Fläche unter dieser Kur-
in den Sperrzustand über, und der Drain-Strom ve über der Zeit (gekennzeichneter Bereich in
wird wieder zu null. Abb. 12.14. Bei Taktfrequenzen um 1 MHz ist
Die so entstandenen Schaltstromspitzen be- die Leistungsaufnahme vergleichbar mit der des
lasten die Spannungszuführung zu den Bautei- Low-Power-Schottky-TTL und kann dann sogar
len. Um sie aufzufangen, ist die Verwendung in Abhängigkeit von der Betriebsspannung um
von Abblockkondensatoren direkt an den CMOS- den Faktor 10 schlechter werden.
Bauteilen unerlässlich. Dies geschieht oft auf Abbildung 12.16 zeigt die typische Leistungs-
der Unterseite der Leiterplatte, wie Abb. 12.15 zunahme mit höher werdenden Frequenzen. Zum
zeigt. Der Anschluss erfolgt mit Hilfe von Vergleich ist auch die Leistungsaufnahme der
Durchkontaktierungen, die direkt mit die Versor- Low-Power-Schottky-TTL mit aufgetragen, die
gungsspannung des CMOS Bausteins verbunden bis zum Erreichen der Grenzfrequenz nahezu
sind. konstant ist. Allerdings verbrauchen die LSTTL
Damit wird auch deutlich, dass die mittlere diese Leistung auch wenn sie nicht geschaltet
Stromaufnahme mit der Anzahl der logischen Zu- werden!

Abb. 12.15 Abblockkondensatoren an CMOS-Bauteilen


12 Digitale Bauelemente 587

Abb. 12.17 Grenzspannungen der HC-Bauteile

12.1.4 High-Speed-CMOS für werden die Funktionen der HC-Familie auch


noch bei einer Spannung von nur 2,0 V garantiert,
was den Einsatz in batteriebetriebenen Geräten
Anfang der 80er Jahre wurde eine neue Gene-
ermöglicht (dem kommt auch die geringe Leis-
ration der CMOS-Familie vorgestellt, die High-
tungsaufnahme entgegen).
Speed-CMOS- oder HC-Familie. Ziel hierbei
Die wichtigsten Spannungspegel dieser Bau-
war es, den geringen Ruheleistungsbedarf der
teile gibt Abb. 12.17 wieder. Der Eingangsspan-
CMOS-Familien beizubehalten, die Schaltge-
nungsbereich des Low-Zustandes ist von 0 V bis
schwindigkeit jedoch deutlich zu erhöhen.
20% der Betriebsspannung definiert. Bei 5 V ent-
Dies gelang durch neuartige Technologien
spricht dies VIL max D 1;0 V, was einer Erwei-
bei der Herstellung der MOS-FET-Transistoren.
terung gegenüber LSTTL um 0,2 V entspricht
Während bei der CMOS-Familie die Source und
(Abschn. 12.1.1).
Drain-Flächen vor der Gate Festlegung herge-
Ähnlich sind alle anderen Spannungen eben-
stellt und dabei entsprechende Toleranzen da-
falls in Abhängigkeit der Versorgungsspannung
zugegeben werden mussten, erfolgt dies bei
VCC definiert. Der High-Zustand wird bei Errei-
den HC-Bauteilen durch einen selbstjustieren-
chen von 70% der Versorgungsspannung garan-
den Prozess durch die Technologie der Ionen-
tiert (bei 5 V ist VIH min D 3;5 V), wobei der
Implantation. Durch dieses Verfahren konnte der
Ausgangspegel nur 0,1 V darunter liegt. Gleiches
Flächenbedarf um etwa ein Drittel vermindert
gilt für den Low-Zustand am Ausgang: er beträgt
werden. Die damit verringerten Streukapazitä-
über alle Spannungen maximal 0,1 V. Dement-
ten (sowohl durch die Platzeinsparung als auch
sprechend günstig sieht der Rauschspannungsab-
durch den wesentlich genaueren Herstellungspro-
stand der Signale aus. Es ist
zess) ermöglichen Schaltzeiten, die denen der
LSTTL-Familie entsprechen. Die maximale Flip- VNMLow D 0;9 V ;
Flop-Taktfrequenz liegt mit ca. 50 MHz sogar fast
VNMHigh D 1;4 V
doppelt so hoch wie bei den LSTTL-Bausteinen
(Tab. 12.1). (diese Angaben gelten für 5 V Versorgungsspan-
Die HC-Familie besitzt, ähnlich wie die nung). Allgemein gilt für die Rauschspannungs-
CMOS-Familie, einen breiten Versorgungsspan- abstände der HC-Familie:
nungsbereich (Abb. 12.4). Die geringere Span-
nungsfestigkeit (maximal 6 V) resultiert vor allem VNMLow D 0;2  VCC  0;1 ;
(12.7)
aus der verringerten Grundfläche der Gatter. Da- VNMHigh D .VCC  0;1/  0;7  VCC :
588 J. Gutekunst

Abb. 12.18 Eingangsschaltung und Transfer-Charakteristik der HC-Bauteile. a Typische Eingangsschaltung eines
HC Bausteins. b Transfer Charakteristik

Der Störspannungsabstand ergibt sich aus TTL-Familien garantieren jedoch eine minima-
Gl. 12.6. Auf die HC-Familie angewandt, erhält le Ausgangsspannung (worst case) von 2,5 V
man bzw. 2,7 V. Um diese Spannungslücke zu schlie-
ßen, wurde zusätzlich die HCT-Familie entwi-
UHL D 0;7  VCC  0;2  VCC ; ckelt.
(12.8)
UHL D 0;5  VCC : Bei der HCT-Familie steht der Buchstabe „T“
für den TTL-kompatiblen Eingang dieser Bautei-
Bei 5 V Betriebsspannung bedeutet dies eine le. Erreicht wird dies durch die Ankopplung der
Verdopplung des Störspannungsabstandes gegen- Eingangs-FET über eine Diode an die Versor-
über LSTTL. gungsspannung (Abb. 12.19).
Die Eingangsschaltung eines HC-Bauteils Mit dieser zusätzlichen Anpassung wird die
zeigt Abb. 12.18. Zwei Längswiderstände und HCT-Familie vollständig kompatibel zu den
zwei Dioden, von denen die zweite mit dem TTL-Familien. Allerdings wird durch die zusätz-
Widerstand verschmolzen ist, bilden eine Schutz- liche Schaltstufe die Schaltzeit (engl.: propaga-
schaltung gegen Überspannung durch statische tion delay) der Gatter geringfügig höher. Auch
Aufladung. geht der Störspannungsabstand VHL auf die Werte
Die Transfercharakteristik dieses Eingangs ist der TTL-Bauteile zurück (VHL D 1;2 V), wie aus
ebenfalls in Abb. 12.18 dargestellt. Die typische Abb. 12.20 zu entnehmen ist.
Umschaltspannung liegt bei 2,5 V. Unter ungüns- Eine enorme Steigerung erfährt jedoch der
tigen Bedingungen (z. B. hohe Umgebungstem- Rauschspannungsabstand des High-Zustandes.
peratur) kann diese jedoch von 2 V bis über 3 V Wie bei den HC-Bauelementen, liegt der High-
schwanken. Dieses Toleranzfeld ist im Schaubild Zustand des Ausgangs 0,1 V unter der Versor-
dargestellt. gungsspannung VCC . Davon abhängig ergibt sich
Die HC-Familie ist dabei, die sehr verbrei- nach Gl. 12.5:
teten LSTTL-Bauteile zu ersetzen. Doch dies
gelingt nicht ohne weiteres in allen Bereichen, VNM High D VOH min  VIH min ;
da die unterschiedlichen Eingangspegel Anpas- VNM HighHCT D .VCC  0;1/  2;0 :
sungsprobleme mit sich bringen. Dies gilt vor
allem für den High-Zustand. Dieser ist für Bei VCC D 5 V wird VNM HighHCT 2,9 V. Der
die HC-Familie bei 70% der Versorgungsspan- Versorgungsspannungsbereich der HCT-Familie
nung sichergestellt (für 5 V also bei 3,5 V). Die ist auf Grund der TTL-Kompatibilität einge-
12 Digitale Bauelemente 589

Abb. 12.19 Eingangsschaltung und Transfer-Charakteristik eines HCT-Bauteils. a Typische Eingangsschaltung eines
HCT-Bausteins. b Transfer Charakteristik

Abb. 12.20 Grenzspannungen der HCT-Bauteile

schränkt. Er liegt bei 4,5 V bis 5,5 V und lässt Sie sind durch ein nachfolgendes U (U: unbuf-
somit trotzdem eine höhere Toleranz als die TTL- fered) gekennzeichnet.
Bauteile zu (Abb. 12.4). Der Tri-State-Ausgang ist eine fünfstufige
Beispiele der gängigsten Ausgangsschaltun- Ausgangsschaltung (Abb. 12.21b), die durch eine
gen für HC- und HCT-Bauteile (sie unterschei- zusätzliche Steuerleitung hochohmig geschaltet
den sich nur durch die Eingangsschaltung) zeigt werden kann. Damit besitzt der Ausgang drei
Abb. 12.21. mögliche Schaltungszustände (Tri-State): Low-
Jeder Ausgang wird durch Schutzdioden ge- Pegel für die logische 0, High-Pegel für die logi-
gen statische Überspannungen von außen abgesi- sche 1 und hochohmig, was mit dem Buchstaben
chert. Die normale Ausgangsstufe (Abb. 12.21a) Z umschrieben wird. Der Z-Zustand des Aus-
stellt gleichzeitig eine Inverterstufe dar. Zur best- gangs erlaubt mehrere Ausgänge parallel auf eine
möglichen Entkopplung von Ein- und Ausgang Leitung zu schalten und zusammenzufassen.
werden in der Regel zwei (nicht invertierend) Den Open-Drain-Ausgang wendet man nur in
oder drei (invertierend) dieser komplementä- sehr wenigen Bauelementen an. Durch das Feh-
ren Schaltungen hintereinander geschaltet. Um len des komplementären Transistors kann dieser
kleinste Schaltzeiten zu erhalten, gibt es jedoch Ausgang nur die beiden Zustände 0 und Z einneh-
ein paar wenige Bauteile, die darauf verzichten. men (wenn der FET gesperrt ist, ist sein Ausgang
590 J. Gutekunst

Abb. 12.22 Rauschspannungsabstand bei HC=HCT und


LSTTL

Abb. 12.23 Störspannungsabstand bei HC, HCT und


Abb. 12.21 Ausgangsschaltungen der HC- und HCT- LSTTL
Bauteile. a Typische Ausgangsstufe der HCMOS-Bauele-
mente. b Tri-State-Ausgang. c Open-Drain-Ausgang ßer als VOH min der LSTTL, so dass im worst-
case-Fall die Funktion nicht garantiert werden
hochohmig). Der High-Zustand muss demnach kann. Diese Einschränkung kommt dem deut-
durch einen externen Widerstand (engl.: pull-up) lich besseren Störspannungsabstand zugute. Ab-
erzwungen werden. Dies kann zur Pegelumset- bildung 12.23 verdeutlicht, dass der Störspan-
zung (wenn der Widerstand an einer anderen nungsabstand durch die Eingangskompatibilität
Spannung als die Versorgungsspannung des Bau- von HCT und LSTTL gleich sein muss.
steins angeschlossen ist) verwendet werden. 1988 kam die Weiterentwicklung der HC=
Da die LSTTL-Bauteile häufig eingesetzt wer- HCT-Familien auf den Markt. Durch eine neu-
den, soll an dieser Stelle ein kurzer Vergleich artige Doppelmetallisierung konnte die Schalt-
mit der HC- und HCT-Familie erfolgen. In zeit halbiert und die Taktfrequenz bis auf
Abb. 12.22 sind die Rauschspannungsabstände 70 MHz erhöht werden. Diese Advanced-High-
von HC- und HCT-Bauteilen aufgezeigt. Dazu Speed CMOS (AC bzw. ACT für die TTL-kom-
eingezeichnet ist der Rauschspannungsabstand patiblen Bauteile)-Familien erreichen damit die
der LSTTL-Familie (grau). dynamischen Werte der Schottky-TTL-Familie.
Die einwandfreie Funktion der Schaltelemen- Sie werden in den 90er Jahren die Schottky-TTL
te ist nur dann gegeben, wenn VOH min größer bis auf wenige Ausnahmen vollkommen ersetzen,
als VIH min ist. Innerhalb der eigenen Familie da sie im Ruhezustand ebenfalls nur wenige Na-
trifft dies immer zu. Bei der Kombination von nowatt benötigen.
HCT und LSTTL wird diese Regel ebenfalls er- Die Schaltungsstruktur der AC=ACT-Bau-
füllt (rechte Seite). Doch bei HC und LSTTL teile entspricht in den Grundgattern denen von
(linke Seite) ist VIH min der HC-Familie stets grö- HC=HCT. Sie haben dieselben Störspannungs-
12 Digitale Bauelemente 591

Abb. 12.24 Differenz-Eingangsverstärker der ECL-Bauteile

und Rauschspannungsabstände wie HC=HCT in Taktfrequenzen von 30 MHz erzielt. Die 1968
Abb. 12.17 und 12.20. vorgestellte MECL III-Serie ist auch mehr als 20
Jahre später mit Taktfrequenzen über 500 MHz
und Schaltzeiten kleiner 1 ns die schnellste ver-
12.1.5 ECL fügbare Logik-Familie.
Diese enormen Schaltgeschwindigkeiten er-
Hinter der Abkürzung ECL (Emitter-Coupled- fordern abgeschlossene Signalleitungen (Trans-
Logic) verbirgt sich einst die schnellste Logik- mission-Lines), um Über- und Unterschwinger
familie. Praktisch hat sie heute auf Grund der durch Reflexionen zu vermeiden. Da diese in der
Weiterentwicklung der CMOS Bausteine keine Regel sehr niederohmig sind (50  bis 150 ),
Bedeutung mehr. Doch auf Grund der techni- müssen alle ECL-Bauteile in der Lage sein, eine
schen Besonderheiten soll der Vollständigkeit solche Übertragungsleitung zu treiben.
wegen in diesem Abschnitt auf diese Familie ein- Der Begriff emitter coupled („verbundene
gegangen werden. Emitter“) kommt vom Aufbau der Eingangs-
Ihr Hauptmerkmal ist, dass ihre Transistoren Differenz-Verstärker (Abb. 12.24).
im Gegensatz zu den anderen Logikfamilien nicht Sämtliche Eingangstransistoren sind emitter-
in die Sättigung gesteuert werden. seitig miteinander verbunden. Durch den gemein-
samen Emitter-Widerstand RE (779 ) fließt stets
I ECL war bis Ende der 90er Jahre die schnells- ein konstanter Strom. Sperren alle Eingangstran-
te Logikfamilie. ECL ist die einzige Logikfamilie, sistoren (Eingangspegel D 0), so muss der ge-
deren Schaltelemente (Transistoren) nicht in samte Strom durch den Differenz-Transistor T4
die Sättigung gesteuert werden. fließen. Dies ist nur möglich, wenn er durchge-
steuert ist, wobei seine Kollektorspannung eben-
Dieser ungesättigte Schaltvorgang hat den falls den Low-Pegel annimmt. Wird einer der
Vorteil, dass keine überschüssige Ladung ab- Eingangstransistoren T1 bis T3 durchgesteuert, so
transportiert werden muss, und somit die Schalt- nehmen diese den gesamten Strom auf und T4
zeiten sehr gering werden. sperrt. Der High-Zustand liegt nun auch am Aus-
Vorgestellt wurde diese Logikfamilie erstmals gang des Differenz-Verstärkers.
im Jahre 1962 von Motorola. Zu der Zeit wur- Man erkennt: Der Eingangs-Differenz-Ver-
den mit der MECL I-Serie (MECL: Motorola stärker wird stets vom Strom IE durch den Wider-
ECL) bereits Schaltzeiten von 8 ns und Flip-Flop- stand RE durchflossen. Diese konstante Strom-
592 J. Gutekunst

Die ECL-Familie fällt nicht nur durch ihre


unkonventionelle Schalttechnik aus dem Rah-
men herkömmlicher Logikfamilien, sondern auch
durch ihre Betriebsspannungen. Während die ers-
ten ECL-Bausteine noch externe Schaltungen
für Hilfsspannungen (Bias-Spannungen) benötigt
haben, sind diese bei den Mitte der 70er Jah-
re erschienenen 10 KH (Motorola)- und 100 KH
(Fairchild)-Familien im Bauteil integriert. Den-
Abb. 12.25 Emitterfolger-Ausgang der ECL-Bauteile noch sind für den optimalen Betrieb der Bauteile
folgende drei Spannungspotenziale notwendig:
speisung verhindert Störungen auf den Versor- VEE : negative Spannung des ECL-Bauteils
gungsleitungen, wie es beispielsweise bei der VCC : positive Spannung des ECL-Bauteils
CMOS-Familie der Fall ist. Auch eine statische VBB : Hilfsspannung (Bias-Spannung) für Ab-
und dynamische Betrachtung der Stromaufnahme schlusswiderstände.
von ECL-Schaltungen entfällt. Dabei unterscheidet man oft noch zwischen
Der Differenz-Verstärker (an der Bezeichnung VCC1 und VCC2 , um den Emitterfolgern am Aus-
„Verstärker“ kann man bereits erkennen, dass gang eine getrennte Spannung zur Verfügung zu
es sich um ein nicht gesättigtes Schaltelement stellen. Da sich aber die höchste Störunemp-
handelt) hat einen sehr hochohmigen Ausgang. findlichkeit ergibt, wenn VCC auf Massepotenzial
Um 50--Leitungen zu betreiben, wird die- liegt, werden in der Regel beide Anschlüsse mit-
sen Differenz-Verstärkern ein Emitterfolger nach einander verbunden. Die schnellsten Schaltzeiten
Abb. 12.25 nachgeschaltet. erzielt man bei folgenden Spannungen:
Durch diese niederohmige Ausgangsschaltung
ergibt sich ein hohes Fan-Out für die ECL- VEE D 5;2 V ;
Familie. Es liegt bei 25. Dies bedeutet, dass ein VCC D GND (Ground: Masse) ;
ECL-Ausgang bis zu 25 ECL-Eingänge ansteu- VBB D 2;0 V :
ern kann. Da der Emitter des Ausgangs innerhalb
des Bauteils nicht verschaltet ist, spricht man Eine weitere Besonderheit der ECL-Familie ist
von einem Open-Emitter-Ausgang. Er erfordert ihr geringer Spannungshub zwischen den logi-
einen externen Widerstand, der durch den Lei- schen Zuständen 0 und 1. Er beträgt lediglich
tungswiderstand der Übertragungsleitung und de- 0,8 V. Bei obigen Versorgungsspannungen liegen
ren Anpassung gegeben ist. Um von unnötigen die logischen Pegel bei 0;9 V (High-Zustand)
Verlusten abzusehen, hat man auf interne Pull- und bei 1;7 V (Low-Zustand). Dies macht bei
Down-Widerstände verzichtet. der gemischten Verwendung mit anderen Logik-
Bei den ECL-Bauteilen werden in der Re- familien Pegelumsetzer notwendig (Tab. 12.4).
gel beide Ausgangsmöglichkeiten des Differenz- Abbildung 12.26 zeigt die Spannungsbereiche
Verstärkers ausgenutzt. So erhält man an den der ECL-Bauteile.
zusammengefassten Kollektoren der Eingangs- Der sehr niedrige Spannungshub am Ausgang
Transistoren T1 bis T3 die invertierte ODER- hat einen verminderten Störspannungsabstand
Verknüpfung der Eingänge (liegt an einem der zur Folge. Bei hochohmigen Leitungswiderstän-
Transistoren ein High-Pegel, so dass er durch- den würde ständig die Gefahr durch Störungen
gesteuert wird, so nimmt das Kollektorpotenzial bestehen, wie beispielsweise Übersprechen und
einen Low-Pegel an). Durch einen nachgeschalte- Schaltspitzen. Aus diesem Grund ist es wich-
ten Emitterfolger liegt die negierte Verknüpfung tig, niederohmige Leitungen zu benutzen. Bei
am Ausgang. Da sämtliche Bauteile negierte und Leitungsimpedanzen von 50  bis 150  haben
nicht negierte Ausgänge zur Verfügung stellen, Störeinstreuungen dann nur noch einen geringen
erübrigt sich ein spezielles Inverter-Bauteil. Einfluss.
12 Digitale Bauelemente 593

Abb. 12.26 Grenzspannungen der ECL-Familie

12.1.6 Schaltzeichen Schaltzeichen der modernen Entwicklungswerk-


und Gehäuseformen zeuge (Computer Aided Engineering, CAE) ent-
sprechen heute nur zum Teil dem Symbolsatz
nach IEC bzw. DIN.
Die Wiedergabe der verschiedensten Schaltungen
Parallel hierzu setzten sich in Deutschland
auf einem Chip fasst man durch ein Schaltsymbol
auch die sogenannten „Brötchen“-Symbole nach
zusammen. Dabei bedeuten die Schaltsymbole
der veralteten DIN-Norm DIN 40 170 durch. Sie
sowohl einfache Gatterschaltungen (z. B. UND,
unterscheiden sich vor allem bei den Gatterbau-
ODER, EXOR) als auch komplexe Funktionen,
steinen, bei denen, ähnlich wie die amerikanische
wie beispielsweise Zähler, Addierer bis hin zu
Symbolik, verschiedene grafische Elemente ver-
Mikroprozessoren. Die Vielfalt der Schaltsymbo-
wendet werden. Für viele sind danach heute noch
le wird dabei ständig durch neue Bauteile ergänzt.
die Bezeichnung der Bauteile und die Angabe
Zur Vereinheitlichung alter und neuer Sym-
von Stromläufen übersichtlicher, was ein Aus-
bole wurde Mitte der 70er Jahre in den USA
sterben dieser veralteten Symbole bislang verhin-
von der International Electrotechnical Commissi-
dert hat.
on (IEC) eine sehr zweckmäßige Symbolsprache
In Tab. 12.6 sind die wichtigsten Schaltzei-
entwickelt, die das Deutsche Institut für Normung
chen integrierter Grundschaltungen zusammen-
(DIN) übernommen hat. Das Basiselement für
gestellt.
jede Funktion ist hierbei ein Rechteck, das auf
einer Seite (in der Regel links) sämtliche Ein-
gänge zusammenfasst und auf der gegenüberlie- Gehäusebauformen Die meisten Bauteile wer-
genden die Ausgänge. Die angegebene Funktion den in unterschiedlichen Gehäuseformen ange-
wird durch entsprechende Kurzzeichen beschrie- boten. Die häufigste Bauform heute ist das
ben (Tab. 12.6). Dual-In-Line (DIL)-Gehäuse. Für die digitalen
Außer dieser streng reglementierten Symbolik Schaltkreise hat es in seiner kleinsten Ausfüh-
finden in Deutschland noch zwei weitere Sym- rung 2 7 Pins (Anschlüsse), wobei von einem
bolreihen Anwendung. Dies sind vor allem die DIL14-Gehäuse gesprochen wird. Das Raster-
amerikanischen Symbole, die auf Grund ständig maß der Pins (Pinabstand) beträgt dabei 2;54 mm
steigender, computerorientierter Entwicklungs- (1=10 Inch). DIL-Gehäuse werden bis zu höchs-
methoden weite Verbreitung erlangt haben. Die tens 68 Pins eingesetzt, da sonst die Gehäuse-
594 J. Gutekunst

Tab. 12.6 Schaltzeichen der gebräuchlichsten Gatterfunktionen


Funk- Schaltsymbolik Logische Wahrheits- Beispiele für Bausteine
tion DIN=IEC Alte Norm Amerikanisch Verknüpfung
tabelle CMOS TTL ECL
Inver- N
A D Y, A Y 74 HC 04 74 LS 04
ter N DY
A 0 1 74 AC 04 74 S 04
1 0 74 HC 04 74 F 04
AND Y D AB A B Y 74 HC 08 74 LS 08 MC 10104
0 0 0 74 AC 08 74 S 08
0 1 0 74 ACT 08 74 F 08
1 0 0 74 08
1 1 1
NAND N D AB
Y A B Y 74 HC 00 74 00
0 0 1 74 AC 00 74 LS 00
0 1 1 74 ACT 00 74 ALS 00
1 0 1 74 S 00
1 1 0
OR Y D ACB A B Y 74 HC 32 74 LS 32 MC 10103
0 0 0 74 AC 32 74 ALS 32
0 1 1 74 F 32
1 0 1
1 1 1
NOR N D ACB
Y A B Y 74 HC 02 74 02 MC 10102
0 0 1 74 HCT 02 74 LS 02
0 1 0 74 AC 02 74 S 02
1 0 0 74 AC 02
1 1 0
EXOR Y D A˚B A B Y 74 HC 86 74 LS 86 MC 10113
0 0 0 74 HC 386 74 S 86
0 1 1 74 AC 86
1 0 1
1 1 0
3-fach Y D ABC A B C Y MC 10118
AND 0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 0
1 1 1 1
3-fach YDACBCC A B C Y HC 4075 MC 10210
OR 0 0 0 0 MC 10 H
0 0 1 1 210
0 1 0 1 MC 10 H
0 1 1 1 211
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 1

größe nicht mehr ausreicht und die Gehäuse- boten. Diese erlauben auf Grund ihrer kompakten
kosten zu hoch würden. Hochkomplexe Bautei- Bauform eine hohe Bestückungsdichte auf den
le (mit mehr als 100 Pins) besitzen daher ein Leiterplatten. In Abb. 12.27 sind die wichtigsten
Gehäuse, auf dessen Unterseite die Pins in ei- Gehäuseformen aufgezeigt.
nem Feld angeordnet sind (PGA-Gehäuse, Pin Flat-Pack-Gehäuse werden vor allem in der
Grid Array). Auch PLCC-Gehäuse (Plastic Lea- SMD-Technik (Surface Mounted Device) einge-
ded Chip Carrier) lassen durch einen Pinabstand setzt (Abschn. 1.9.3). Sie ermöglichen höchste
von 1;27 mm (1=20 Inch) an allen vier Kanten Packungsdichten auf den Leiterplatten und ge-
sehr hohe Pinzahlen zu. Inzwischen werden diese währleisten auch durch ihre kurzen Verbindungs-
PLCC-Gehäuse auch für die Gatterbauteile ange- wege ein Höchstmaß an Störsicherheit.
12 Digitale Bauelemente 595

Abb. 12.27 Beispiele für Gehäuseformen integrierter Schaltungen

Für höchste Integrationsdichten und höchste lerschaltungen. In dem nachfolgenden Abschnitt


Anschlussdichte werden Ball-Grid-Array (BGA) soll darauf eingegangen werden.
Gehäuse verwendet. Dabei sind alle Anschlüsse Auf Grund ihrer Bedeutung werden Flip Flops
an der Unterseite des Gehäuses in einem Raster und Zähler als zusätzliche Grundelemente be-
(Grid) angeordnet und mit Lotkugeln ausgestattet trachtet.
(Ball). Die dazugehörige Pad-Geometrie auf der
Leiterplatte sorgt dafür, dass beim Aufschmel-
zen der Lotkugeln eine Zentrierung des Gehäu-
12.2.1 Flip Flops
ses durch die Oberflächenspannung des Lotes
erfolgt. Dieser Fertigungsprozess erlaubt eini-
ge Erfahrung, da ein Nachlöten der schlechten Flip Flops kennt man bereits seit Anfang des
Verbindungen nicht möglich ist. BGA-Gehäuse vergangenen Jahrhunderts. Sie beschreiben eine
haben heute schon mehr als 500 Kontaktstellen. Schaltungsfunktion, die durch einen Rückkopp-
In Abb. 12.44 sind die Speicherbausteine in die- lungszweig in einen stabilen Zustand führt. Dabei
ser Technik ausgeführt. wurde das Schaltelement zunächst aus Röhren
gebildet, später aus einfachen Transistoren. In
der digitalen Schaltungstechnik übernimmt die
Schaltfunktion schließlich ein Gatter, beispiels-
12.2 Flip Flops und Zähler
weise ein UND Gatter oder ein ODER Gatter
(Abschn. 12.1).
Die in Abschn. 12.1 hinreichend beschriebenen
Grundfunktionen sind die Bauelemente für jede
Art von digitaler Schaltung. Ganz erhebliche Be- I Durch die Rückkopplung seines Ausgangs
deutung haben die davon abgeleiteten Flip Flops kann ein Flip Flop zwei stabile Zustände ein-
und in der Folge die darauf basierenden Zäh- nehmen: logisch „0“ oder logisch „1“.
596 J. Gutekunst

Abb. 12.28 RS Flip Flop a b c


aus zwei UND Gatter
S & Q S
S 1S Q
R
R 1R Q Q
R & Q
Q

Abb. 12.29 Zustandsdia- a b c


gramm eines Flip Flops
S & S
nach einem negativen Set & Q
S 1S Q R
Impuls C C1 C C
R 1R Q
& & Q Q
R Q

Abb. 12.30 RS Flip Flop a b c


mit Takteingang sowie D D
das zugehörige Statusdia- & & Q D
gramm D 1D Q
E
Q Q
& & Q
E E D Q

Abbildung 12.28 zeigt den einfachen Aufbau I Ein Flip Flop speichert eine Zustandsinformati-
eines Flip Flops mit zwei UND Gatter mit inver- on ab: 1-bit Speicher.
tierendem Ausgang. Die Ausgänge werden dabei
über kreuz rückgekoppelt und erzwingen den sta-
bilen Zustand. RS Flip Flops werden häufig mit eine Taktein-
gang verknüpft. Dabei werden die beiden Set und
Reset Signale nur dann wirksam, wenn das Takt-
RS Flip Flop Diese einfachste Version eines signal diese frei schaltet. Abbildung 12.29 zeigt
Flip Flops wird auf Grund der beiden Eingän- neben dem Schaltsymbol (a) die dazu notwendige
ge R und S auch als RS Flip Flop bezeichnet Verknüpfungslogik (b) sowie das Pulsdiagramm
(RS D Reset=Set Flip Flop). Man spricht auch (c).
von einem ungetakteten oder asynchronen Flip Abbildung 12.30 zeigt eine weitere Möglich-
Flop. keit, das Flip Flop gezielt zu steuern.
Wird am SN Eingang eine „0“ angelegt solan- Diese Art der Taktverknüpfung wird auch oft
ge der RN Eingang auf „1“ ist, schaltet das obere als Enable bezeichnet, da nur während der High
UND Gatter ab und der Ausgang geht auf „1“, Periode des Steuereingangs E die Verknüpfung
da er negiert ist. Als Folge sind beide Eingänge gültig ist. Für E D 0 bleibt der Zustand des
des unteren Gatters auf „1“, so dass die ne- Eingangs D bedeutungslos. Das setzen des Flip
gierte UND Verknüpfung folgerichtig eine „0“ Flops erfolgt in diesem Beispiel durch E D 1
ergibt. Zurückgekoppelt auf das obere Gatter er- und D D 0, da durch die Invertierung des oberen
zwingt diese „0“ eine stabile „1“ am Ausgang. NAND Gatters die Setzbedingung des nachge-
Die Ausgänge Q und Q N nehmen demnach die Zu-
schalteten Flip Flops gegeben ist.
stände „1“ und „0“ ein.
Dieser Zustand bleibt stabil, auch wenn „0“
am Set Eingang wieder zurückgenommen wird. Synchrone Flip Flops Voraussetzung für syn-
Über den Reset Impuls R kann das Flip Flop chrone Flip Flops ist die Fähigkeit, den Ein-
wieder in seine Ausgangslage zurück gekippt gangszustand zu einer definierten Taktflanke zu
werden. Auch dieser Zustand verharrt, bis ein übernehmen. In diesem Fall spricht man auch von
nächster Set Impuls angelegt wird. einem taktflankengesteuerten Flip Flop.
12 Digitale Bauelemente 597

a
D d
& d
&
&
& Q

&
&
& Q
D &
C 1 1
c c

b c

c
D 1D Q

d
C Q
c

Abb. 12.31 Flankengesteuertes Master-Slave Flip Flop

Die aktive Flanke kann dabei die ansteigende erwartenden Ereignis verbunden und der Daten-
Flanke oder die abfallende Flanke des Taktsi- eingang D auf beispielsweise logisch „1“ gesetzt.
gnals seins und ist von der verwendeten Schal- Erfolgt nun ein Flankenwechsel am Takteingang,
tung abhängig. Es erfolgt eine Differenzierung wird der Zustand des D-Eingangs übernommen
der Flanke unter Ausnutzung von Laufzeiten (sie- und abgespeichert. So lassen sich auch sehr kurze
he auch Abschn. 13.2). Die in Abb. 12.31 verein- Ereignisse „einfangen“.
fachte Schaltung nach dem Master-Slave Prinzip,
d. h. es sind 2 Flip Flop Kerne hintereinander ge-
schaltet, zeigt die Gewinnung des Schaltpunktes Toggle Flip Flop Darüber hinaus werden takt-
aus der abfallenden Flanke. Aus dem zugehörige flankengesteuerte Flip Flops in Zähler verwendet.
Pulsdiagramm ist zu erkennen, dass der Ein- Die einfachste Anwendung ist dabei als Takttei-
gangszustand von D mit jeder abfallenden Flanke ler durch eine Rückkopplung des Ausgangs Q N
übernommen wird um am Ausgang Q anliegt. auf den D Eingang. Mit jedem Taktimpuls wird
Flankengesteuerte Flip Flops haben eine große das Flip Flop umgesteuert, was ihm den Namen
Bedeutung beim Speichern von kurzen oder ein- Toggle Flip Flop aus dem Englischen eingebracht
maligen Ereignissen. Dazu wird der Takteingang hat. Abbildung 12.32 zeigt die Rückkopplung so-
nicht mit dem Takt selbst, sondern mit dem zu wie das daraus abgeleitete Pulsdiagramm.
598 J. Gutekunst

a
D
d d
&
&
&
&
C 1 Q

&
&
&
D &
1 Q
c c

b c

(D)

D Q
C

C Q Q

(Q)

Abb. 12.32 Rückgekoppeltes Flip Flop als Frequenzteiler

a b c
J
& J
&
& Q
J 1J Q K
C C1 C
C
K 1K Q
& Q Q
&
K &
Q

Abb. 12.33 Funktionsweise des JK Flip Flops

JK-Master-Slave Flip Flop JK Flip Flops ha- Werden die beiden JK Eingänge auf „0“ ge-
ben ähnlich dem taktgesteuerten RS Flip Flop setzt, wird der letzte aktuelle Stand des Q Aus-
zwei Vorbereitungseingänge, die mit JK bezeich- gangs beibehalten. Es erfolgt keine Änderung
net werden. Ein JK Flip Flop ist immer flanken- mehr. Den Zusammenhang veranschaulicht auch
gesteuert und wird daher oft auch als JK-Master- nochmals Tab. 12.7.
Slave Flip Flop bezeichnet.
Der wesentliche Unterschied zum RS Flip
Flop ist die erweiterte Möglichkeit, beide Steue- 12.2.2 Zähler
rungseingänge auf „1“ zu setzen. In diesem Fall
arbeitet das JK Flip Flop als Toggle Flip Flop Flip Flops wie im Abschnitt zuvor beschrieben
und kann ebenfalls als Frequenzteiler eingesetzt sind die Grundbausteine für jede Zähleranwen-
werden. Abbildung 12.33 zeigt das Schaltsymbol dung. Dabei unterscheidet man grundsätzlich in
sowie das Zustandsdiagramm von Ein- und Aus-  Asynchrone Zähler und
gängen.  synchrone Zähler.
12 Digitale Bauelemente 599

Tab. 12.7 Ausgangsverhalten in Abhängigkeit der beiden Wechsel des nachfolgenden Flip Flops erst er-
Eingänge J und K folgen kann, wenn das Vorherige einen stabilen
Eingang J Eingang K Ausgang Q nach Taktwechsel Zustand erreicht hat. Abbildung 12.35 verdeut-
0 0 Q wird beibehalten licht diesen Zusammenhang.
0 1 0 Wie deutlich zu sehen ist, addieren sich die
1 0 1 Verzögerungszeiten bis zum letzten Bit. Praktisch
1 1 Q D C=2, Toggle Mode
bedeutet dies, dass der Zählerstand erst gültig ist,
nachdem das letzte Bit geschaltet wurde, bzw.
Q0 Q1 Q2 Q3
nachdem die Gesamtverzögerungszeit des Zäh-
lers abgelaufen ist.
Takt T T T T
Q t-valid D n  tpd-FlipFlop Œns
(12.9)
Q0 Q1 Q2 Q3

Abb. 12.34 Asynchroner Zähler mit 4 Bit Wobei gilt:

n D Anzahl der Flip Flops im Zähler


Asynchrone Zähler haben in der Technik nahezu
keine Bedeutung mehr, sollen aber der Vollstän- tpd-FlipFlop D Durchlaufzeit (Propagation
digkeit halber hier ebenfalls zur Verdeutlichung Delay) je Flip Flop
des Zählvorganges beschrieben werden. Q t-valid D Zeit, zu der das Ergebnis
frühestens gültig ist (typischer
Asynchrone Zähler Asynchrone Zähler werden Weise in Nanosekunden)
in der Regel mit Hilfe der Toggle Flip Flop auf-
gebaut. Dabei bildet jede Flip Flop Stufe einen Synchrone Zähler Dieser Nachteil wird durch
Frequenzteiler, so dass durch Hintereinander- synchrone Zähler vermieden. Dabei erhalten al-
schalten eine Frequenzteilerkette entsteht. Jeder le Zähl-Flip-Flops den Taktimpuls zur gleichen
Zwischenabgriff repräsentiert dabei eine binäre Zeit und übernehmen den durch das vorherige
Zählerstelle. Abbildung 12.34 zeigt den einfa- Flip Flop gegebenen Eingangszustand gleichzei-
chen Aufbau eines asynchronen Zähler für 4 Bit tig. Abbildung 12.36 verdeutlicht dies.
und die zugehörigen Ausgänge Q0 bis Q3. Ein synchroner Zähler kann nur mit takt-
Q0 wird dabei als LSB oder Least Significant flankengesteuerten Flip Flops aufgebaut werden.
Bit bezeichnet, Q3 ist demnach das Most Signifi- Zum Zeitpunkt der aktiven Taktflanke – und nur
cant Bit, also das Bit mit der höchsten Wertigkeit. für diesen kurzen Augenblick – werden die Reset-
Diese einfache Zählerkette kann die Ereignisse Bedingungen an den Eingängen übernommen.
von 0 bis 15 zählen, wie Tab. 12.8 zeigt. Da in der Regel alle Flip Flops des synchro-
Ein wesentlicher Nachteil asynchroner Zähler nen Zählers dieselbe Verzögerungszeit haben,
ist das ungleichmäßigeSchalten der Ausgänge. steht das Ergebnis quasi gleichzeitig nach ei-
Jedes Flip Flop hat eine Verzögerung, so dass der ner Durchlaufzeit zur Verfügung, wie Gl. 12.10

Tab. 12.8 Zählerzustände des 4-Bit Zählers


Takt 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
Flanke " " " " " " " " " " " " " " " "
Q0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
Q1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1
Q2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1
Q3 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1
Zählerstand 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
600 J. Gutekunst

Abb. 12.35 Asynchrone


Ausgangszustände Takt

Q0
1x t pd

Q1
2x t pd
Q2
3x t pd
Q3
4x t pd

Abb. 12.36 Synchroner Q0 Q1 Q2 Q3


Zähler
“1”
& &
1J 1Q 1J 1Q 1J 1Q 1J 1Q

Takt C1 C1 C1 C1

1K 1K 1K 1K
R R R R

Reset

Abb. 12.37 Pulsdiagramm T3 T7


eines synchronen Zählers Takt

Q0
1x t pd

Q1
1x t pd

Q2
1x t pd
Q3
1x t pd

zeigt.
12.3 Speicherbauteile
und Speicheraufbau
Q t-valid D 1  tpd-FlipFlop Œns
(12.10)
Für den Aufbau von Rechnern sind die Speicher
mit die wichtigsten Bauelemente. Dabei unter-
scheidet man zunächst in nicht flüchtige Speicher
In Abb. 12.37 ist dies dargestellt.
und flüchtige Speicher. Flüchtige Speicher verlie-
Die in Abb. 12.36 gezeigten JK Flip Flops
ren ihren Inhalt, wenn die Versorgungsspannung
werden bei synchronen Zähler in den Toggle
abgeschaltet wird. Sie werden als RAM (Random
Mode geschaltet (beide JK Eingänge D 1). Die-
Access Memory) bezeichnet und ermöglichen
se Bedingung ist für die Ausgänge Q2 und Q3
dem Benutzer Daten sowohl auszulesen als auch
nur dann erlaubt, wenn die vorherigen Schaltzu-
einzuschreiben.
stände „1“ sind. Im Pulsdiagramm Abb. 12.37 ist
das in den Takten T3 und T7 der Fall und spe- I Flüchtige Speicher verlieren ihren Speicherin-
ziell gekennzeichnet. Dies erklärt die zwei not- halt nach dem Abschalten der Versorgungs-
wendigen UND-Verknüpfungen der Schaltung in spannung. Sie werden als RAM (Random Access
Abb. 12.36. Memory) bezeichnet.
12 Digitale Bauelemente 601

tausend Speicherzellen spricht man von kBit (ki-


lobit) oder gar von Megabit, wobei gilt:
1 kBit D 210 Bit D 1024 Bit ;
1 MBit D 1 kBit 1 kBit
D 1:048:576 Bit : (12.11)
1 GBit D 1 kBit 1 kBit 1 kBit
D 1:073:741:824 Bit :
Speichergrößen in Rechnersystemen werden da-
gegen in Byte angegeben (1 Byte D 8 Bit). So
werden für einen Speicher mit 64 kByte Größe
acht Bauteile zu je 64 kBit benötigt.
Die Größe der Speicher in Rechnersystemen
wird in Byte angegeben.

12.3.1 Flüchtige Speicher

Flüchtige Speicher sind RAM-Bauteile. Sie er-


lauben dem Benutzer den wahlfreien Zugriff
(engl.: random access), um entweder Daten aus-
zulesen oder einzuschreiben. Grundsätzlich wer-
Abb. 12.38 Übersicht über Speicher den zwei Arten von RAM-Bauteilen unterschie-
den: statische RAM-Speicher und dynamische
RAM-Speicher.
Nicht flüchtige Speicher behalten ihre Infor-
mation unabhängig von der Betriebsspannung. Statische RAM-Speicher Die Speicherelemen-
Diese Information ist bei der Herstellung oder te der statischen Speicher sind Flip-Flop-Spei-
durch eine spezielle Programmierung in das Chip cherkerne. Ein Flip-Flop (kurz FF) ist eine bi-
eingeschrieben worden und kann nur in besonde- stabile Kippstufe, welches die beiden Lagen „0“
ren Fällen geändert werden. Aus diesem Grund und „1“ in Abhängigkeit einer Steuerleitung ein-
kann die Information im Betrieb nur ausgelesen nehmen kann (bistabil). Damit repräsentiert das
werden. Man spricht in diesem Fall von einem Flip-Flop den gespeicherten Zustand der Steuer-
Read-Only-Memory, kurz ROM genannt. leitung. Der Zustand der Speicherzelle bleibt so-
lange erhalten, bis eine andere Information ein-
I Ein nicht flüchtiger Speicher (ROM) kann nur geschrieben oder die Versorgungsspannung abge-
ausgelesen werden (Read Only Memory). schaltet wird. Solange dies nicht geschieht, bleibt
der Speicherzustand unbegrenzt (statisch) erhal-
Die unterschiedlichen ROM-Familien wer-
ten.
den ihren Eigenschaften entsprechend unter-
Der Vorteil einer solchen Speicherzelle liegt
schiedlich programmiert (Abschn. 12.3.2). Ab-
darin, dass sie sehr schnell ist. Wird sie gar in ei-
bildung 12.38 zeigt eine Übersicht über flüchtige
ner bipolaren Technologie ausgeführt (TTL oder
und nicht flüchtige Speicher sowie über einige
ECL), so werden Zugriffszeiten von 10 ns er-
Sonderformen.
reicht.
Die Größe der Speicherbauteile wird durch die
Anzahl der Speicherzellen angegeben. Da jede I Unter Zugriffszeit (engl.: access time) versteht
Speicherzelle 1 Bit (Binary Digit), also die beiden man die Zeit, die das Bauteil nach dem Anlegen
Zustände „0“ und „1“ abspeichern kann, erfolgt der Adressen für die Bereitstellung der Infor-
diese Angabe in Bit. Bei Speichern mit mehr als mation an den Datenausgängen benötigt.
602 J. Gutekunst

Abb. 12.39 Grundelemente der wichtigsten Speicher. a Statische Speicherzelle, b statische MOS-Speicherzelle, c dy-
namische Speicherzelle

In der Zugriffszeit sind demnach auch die tegration sind dabei die bis heute erreichte maxi-
Durchschaltzeiten der Adressdekodierungen ent- male Chipfläche und die immer feiner werdenden
halten. Abbildung 12.39 zeigt die Grundelemente Strukturen auf dem Chip. (1,0 m und 0,8 m
der wichtigsten Speicher. feine Strukturen sind bei CMOS bereits Stand der
Abbildung 12.39a zeigt eine bipolare 2-Tran- Technik.)
sistor-Speicherzelle. Die Multiemitter-Transisto- Statische Speicher werden verwendet,
ren erlauben die Anwahl (Aktivierung) dieses wenn hohe Geschwindigkeiten und kein allzu
einfachen Flip-Flop-Kerns. Ist eine der Ansteu- großer Speicherbedarf erforderlich sind (kleiner
erleitungen (X oder Y) oder beide auf Null-Volt- 256 kByte). Ein typisches Beispiel dafür sind
Potenzial, so können die Emitterströme gegen Zwischenspeicher in Rechnersystemen, soge-
Masse abfließen, und die Speicherzelle ist deak- nannte Cache-Speicher, die eine Zugriffszeit von
tiviert. Erst wenn beide Leitungen auf „1“ sind, weniger als 5 ns besitzen. Dies ist nur durch
kann der Emitterstrom über die Datenleitung Dn den Einsatz bipolarer statischer RAM-Bautei-
abfließen. Der Nachteil bipolarer Speicher ist ihr le möglich. CMOS-RAM-Speicher hingegen
enormer Strombedarf (Abschn. 12.1, TTL und bieten wegen ihres geringen Ruhestroms und
ECL) und die damit verbundene Wärmeentwick- durch einen speziellen Standby-Mode (das Bau-
lung. Man findet sie deshalb nur in Sonderanwen- teil liegt an der Versorgungsspannung, wird aber
dungen. nicht aktiviert) die Möglichkeit, batteriegestützt
Ein wesentlicher Fortschritt bei der Energiebi- betrieben zu werden, so dass nach dem Aus-
lanz wurde mit der 6-Transistor-Speicherzelle in schalten der Versorgungsspannung die Daten
CMOS (Abschn. 12.1.3) erzielt. Auch sie stellt erhalten bleiben. In diesem Standby-Mode neh-
ein Flip-Flop dar, welches über die Datenlei- men die Bauteile nur wenige W Leistung auf
tungen Dn gesetzt und ausgelesen werden kann (Abschn. 12.3.3, Non Volatile RAM).
(Abb. 12.39b). Aktiviert wird der Speicherkern
durch die Wortleitung WL, an die mehrere Spei- Dynamischer RAM-Speicher Außer diesen
cherzellen angeschlossen sind. So können Spei- Flip-Flop-Speicherelementen eignen sich auch
cher 8 Bit breit organisiert werden und stellen in Kondensatoren zum Speichern von Informatio-
einem Schreib-Lesevorgang ein Byte (8 Bit) zur nen. Dieses Prinzip wird bei den dynamischen
Verfügung. Heute sind bereits mehr als 1 Milli- RAMs angewandt. Sie speichern ihren Inhalt in
on solcher Speicherzellen auf einem Chip inte- der Gate-Kapazität durch einen Transistor (1-
griert, was ca. 6 Millionen Transistorfunktionen Transistor-Speicherzelle). Die sehr geringen Ab-
entspricht. Die Grenzen für eine noch höhere In- messungen dieses Kondensators ermöglichen nur
12 Digitale Bauelemente 603

Abb. 12.40 Aufbau einer XY-Speichermatrix

sehr kleine Kapazitäten von wenigen Femtofa- Der Aufbau des Speichers auf dem Chip er-
rad (fF: 1015 F). Aus diesem Grund muss der folgt in einer XY-Matrix. Dadurch kann mit Hilfe
Ladungsabfluss so gering wie möglich gehal- eines Dekoders jede Speicherzelle angesprochen
ten werden. Eine bipolare Lösung ist deshalb werden. Bei Speichern, die byteweise organisiert
undenkbar, weil die Leckströme der Transisto- sind (es werden immer acht Speicherzellen auf
ren zu groß sind. Deshalb werden dynamische einmal angesprochen), entfällt die X-Dekodie-
Speicher ausschließlich mit MOS-Transistoren rung auf der letzten Ebene, wie Abb. 12.40 zeigt.
gebaut (Abb. 12.39c). Trotzdem lässt sich ein Jede dieser Matrizen kann sich ihrerseits in
Ladungsabfluss nicht gänzlich vermeiden und einer übergeordneten XY-Matrix befinden, wo-
die Speicherzelle muss periodisch „aufgefrischt“ durch eine enorme Speicherkapazität erzielt wird.
werden. Dies geschieht etwa alle 10 ms. In die- Die Kontroll-Logik steuert den Adressbuffer so-
ser Zeit kann selbstverständlich kein Zugriff auf wie die Datenbuffer. Sie werden bei einem
den Dateninhalt erfolgen, weshalb die Speicher- Schreibbefehl als Eingangsbuffer geschaltet und
zellen im Durchschnitt langsamer werden. Ei- bei einem Lesebefehl als Ausgangstreiber.
ne Steuerlogik (engl.: refresh logic) sorgt dafür,
dass es zwischen dem Auffrischen der Speicher-
zelle und dem Datenzugriff keine Kollisionen 12.3.2 Nicht flüchtige Speicher
gibt.
Durch die Reduzierung der Speicherzelle auf Statische und dynamische Speicher zählen zu
nur noch einen Transistor ist der Platzbedarf den flüchtigen Speichern, da sie ihren Inhalt
gegenüber der statischen Speicherzelle drastisch verlieren, wenn die Versorgungsspannung abge-
gesunken. Höchste Packungsdichten sind mög- schaltet wird. Nicht flüchtige Speicher behalten
lich, so dass heute bereits Bauteile zur Verfügung dagegen ihre Information, auch wenn keine Be-
stehen, die mehr als 16 Mio. solcher Speicherzel- triebsspannung vorhanden ist. Dazu muss der
len auf einem Chip vereinen. Derartige Speicher Speicherinhalt durch einen von der Versorgungs-
werden vor allem in großen Massenspeichern spannung unabhängigen Prozess in das Spei-
eingesetzt, da sie trotz zusätzlicher Ansteuerlo- cherchip geschrieben werden. Dies erfolgt bei
gik (für die Auffrischung der Speicherzellen) sehr der Herstellung des Speichers oder durch spe-
preisgünstig sind. zielle Programmiergeräte im Labor (Ausnahme:
604 J. Gutekunst

EEPROM). Dies macht bereits deutlich, dass die Programmieren erfolgt durch das Einbinden „hei-
Informationen in dieser Art von Speichern im ßer“ Elektronen in die offene (isolierte) Basis des
Betrieb nur ausgelesen werden können, weshalb Zelltransistors, die bei einer Programmierspan-
man von einem Read-Only-Memory (ROM, Nur- nung von 12,5 V entstehen. Durch die kapazitive
Lese-Speicher) spricht. Bindung an diese Basis wird zusätzliche La-
Die interne Organisation der Lese-Speicher dung gebunden, was die Schwellspannung des
erfolgt wie bei den RAM-Speichern in einer XY- Transistors verändert. Gelöscht werden kann die-
Matrix (Abb. 12.40). Die Speicherkerne sind je- ser Vorgang nur durch ultraviolettes Licht, das
doch durch Speicherelemente der verschiedenen durch seine energiereiche Strahlung die Elektro-
ROM-Familien zu ersetzen. nen über diese Schwellspannung befördert. Da-
zu besitzen die EPROM auf der Oberseite des
Mask-ROM Beim Mask-ROM wird der Spei- Keramik-Gehäuses ein kleines Fenster, unter dem
cherinhalt während des Fertigungsprozesses direkt der zu bestrahlende Chip zu sehen ist. Im
durch den letzten Herstellungsschritt festgelegt. Betrieb wird zur Vermeidung von Datenverlusten
Dabei wird eine Maske aufgebracht, durch die dieses Fenster zugeklebt. Als Sonderform werden
nur bestimmte Verbindungen auf dem Chip zu- bei Kleinserien auch Kunststoffgehäuse verwen-
gelassen werden. Der Speicherinhalt wird so det, die kein Fenster haben, somit billiger sind,
nach den Angaben des Entwicklers direkt bei der aber nicht mehr gelöscht werden können.
Chipherstellung mit eingebracht. Dieses Verfah- Lange Zeit waren die EPROM Speicher als
ren wird nur bei sehr großen Stückzahlen und Massenspeicher in Steuerungen aller Art im Ein-
verhältnismäßig kleinen Speichern angewandt. satz. Mit Speicherkapazitäten von 8 MBit und
mehr konnten sie große Programme aufnehmen.
Nachteilig ist jedoch der aufwändige Lösch-
PROM Der PROM-Speicher (Programmable vorgang mit Hilfe einer UV Lampe, so dass
Read Only Memory) kann vom Benutzer selbst die EPROM immer mehr durch EEPROMS und
einmalig programmiert werden. Er hat in sei- Flash Speicher verdrängt wurden.
nen Speicherzellen kleine Sicherungen (engl.:
fuse links), die die Speicherzellen auf dem „1“- EEPROM Das EEPROM oder E2 PROM (Elec-
Zustand halten. Soll eine „0“ einprogrammiert trically Erasable Programmable Read Only Me-
werden, so wird diese Sicherung durch einen de- mory) basiert auf demselben Prinzip wie das
finierten Programmierstrom beim Programmie- EPROM. Die Rückführung der „heißen“ Elek-
ren zerstört (engl.: blow up). Abbildung 12.41 tronen erfolgt jedoch nicht durch ultraviolettes
zeigt eine einfache PROM-Speicherzelle im un- Licht, sondern durch eine Löschspannung, die ein
programmierten Zustand (die Sicherungen sind Überschreiben und Löschen des Bauteils im ein-
durch eine Wellenlinie dargestellt). gebauten Zustand ermöglicht. Dieses Rücksetzen
Außer den NMOS-PROM-Speichern (gerin- des Bauteils kann nicht beliebig oft erfolgen. Et-
ger Strombedarf) sind PROM-Speicher auch in wa 1 Mio. Schreibzyklen werden heute erreicht.
den bipolaren Technologien TTL und ECL (vgl. Danach sollte das Bauteil ausgetauscht werden,
Abschn. 12.1 und Abb. 12.38) erhältlich. Diese da die Datensicherheit nicht mehr gewährleistet
sehr schnellen Speicher werden deshalb auch für ist.
die Kodeumsetzung oder Datenkonvertierung be- Eingesetzt werden die EEPROM-Speicher
nutzt. dort, wo anlagen- oder gerätespezifische Daten
gehalten werden müssen (Firmware). Durch die
EPROM Im Gegensatz zum PROM ist der In- komfortable Möglichkeit eine Umprogrammie-
halt des EPROM-Speichers (Erasable Program- rung auch im eingebauten Zustand durchzufüh-
mable Read Only Memory) nicht irreversibel ren, finden sich diese Speicher heute in nahezu
eingebrannt, sondern kann mit Hilfe von ul- allen Mikrokontrollern. Ein Update kann so auf
traviolettem Licht wieder gelöscht werden. Das einfache Weise erfolgen.
12 Digitale Bauelemente 605

Abb. 12.41 Aufbau


eines einfachen PROM-
Speichers

12.3.3 Sonderformen von Dual-Port-RAM Wie aus der Bezeichnung be-


Speicherbauteilen reits hervorgeht, handelt es sich dabei um einen
Speicher, auf den über zwei Schnittstellen zuge-
Die industriellen Anforderungen nach Schnel- griffen werden kann (Dual-Port-RAM D Zwei-
ligkeit und spezifischen Speicherlösungen haben Tor-Speicher). Somit können beispielsweise zwei
zur Entwicklung einer ganzen Reihe von Son- Rechnersysteme auf ein- und denselben Daten-
derbauteilen geführt, vor allem bei den RAM- satz zugreifen. Abbildung 12.42 zeigt den Grund-
Speichern. Die beiden wichtigsten Sonderformen aufbau eines Dual-Port-RAM-Speichers.
sind dabei das Dual-Port-RAM und das Non Vo- Der Zugriff über die beiden Schnittstellen
latile RAM. Darüber hinaus soll auch noch kurz wird dabei von einem „Schiedsrichter“ (engl.: ar-
die Entwicklung hybrider Speicherbauteile ange- bitrator) überwacht. Beide Seiten können gleich-
sprochen werden. zeitig auf dem Chip aus einer beliebigen Spei-
606 J. Gutekunst

Abb. 12.42 Aufbau eines


Dual-Port-RAM-Speichers

cherzelle lesen oder in sie schreiben. Vorausset- oder Vier-Tor-Speicher) i. a. konventionell und
zung dafür ist, dass beide Seiten nicht dieselbe durch eine externe Arbitrator-Logik aufgebaut.
Speicherzelle anwählen. In diesem Fall tritt die Das Problem ist dabei nicht die Integration auf
Arbitrator-Logik in Kraft, die dem ersten Zugrei- einem Chip, sondern vielmehr die Unterbrin-
fer Vorrang einräumt und dies dem zweiten durch gung der erforderlichen Anschluss Pins des Bau-
ein Steuersignal mitteilt (engl.: Busy-Signal). teils (pro Zugriffstor sind beim 1-kByte-Speicher
Dieser muss dann seinen Zugriff auf diese Spei- 22 Pins notwendig).
cherzelle wiederholen.
Dual-Port-RAM-Speicher gibt es heute bereits Non-Volatile-RAM Den Nachteil des Datenver-
in einer Größe von mehreren kBytes. Sie wer- lustes bei RAM-Speichern kann man durch eine
den dabei als Briefkastensystem für den Daten- zusätzliche Batterie vermeiden, da diese nach
austausch unterschiedlicher Rechner benutzt. So dem Abschalten der Versorgungsspannung das
können beispielsweise Zwischenergebnisse einer Bauteil weiter versorgen kann. Dabei spricht man
mathematischen Prozessorkarte abgelegt werden, von einem batteriegepufferten Speicher. Im Zu-
die anschließend von einem weiteren Rechner zur ge der Miniaturisierung wurden RAM-Bauteile
Weiterverarbeitung oder grafischen Darstellung entwickelt, die auf der Oberseite unter einem De-
abgeholt werden. Dabei arbeiten beide Rechner ckel zwei kleine Batterien beherbergen, so dass
völlig unabhängig voneinander. auf der Leiterplatte kein weiterer Platz für exter-
Sollen mehr als zwei Rechnersysteme auf ein ne Batterien zur Verfügung gestellt werden muss.
und denselben Speicher zugreifen, so werden die- So entstand das nicht flüchtige RAM (engl.: Non
se Mehr-Tor-Speicher (z. B. Drei-Tor-Speicher Volatile RAM).
12 Digitale Bauelemente 607

tomatisch in den Vordergrundspeicher (RAM) zu


laden.
Das Einsatzgebiet dieser speziellen Non-Vola-
tile-RAM-Speicher ist vielfältig. Es wird überall
dort verwendet, wo nach einem Spannungsausfall
mit vorher berechneten Daten weitergearbeitet
werden muss (z. B. Festhalten der Koordinaten
der Werkzeuge in einer Bearbeitungsmaschine).
Auch anlagenspezifische Daten werden in sol-
chen Speichern abgelegt, wie beispielsweise die
interne Uhrzeit (Betriebsstundenzähler).

Abb. 12.43 Aufbau eines NV-RAM-Speichers mit


Hybride Speicher Bei hybriden Speichern han-
EEPROM-Hintergrundspeicher
delt es sich um Bauteile, die mehrere Spei-
cherchips und die dazugehörigen Logik-Chips
Mit dem oben geschilderten Verfahren können enthalten (Abb. 12.44). Angewandt wird die-
sehr große RAM-Speicher nach dem Abschalten se Technik vor allem bei statischen Speichern,
der Versorgungsspannung gestützt werden. Nach- da auf Grund der 6-Transistor-Speicherzelle die
teilig ist jedoch die Wartung der Batterien, da Integrationsdichte immer eine Generation hin-
diese auch nur eine endliche Lebensdauer besit- ter den dynamischen Speichern liegt. Die For-
zen. Deshalb wurde ein NV-RAM (Non Volatile derung nach Speichern mit mehr als einem
RAM) entwickelt, welches zur Datensicherung MBit Speicherraum in einem Gehäuse führte
ein EEPROM benutzt. Da die Datensicherung zur Entwicklung dieser hybriden Speicher. Auch
vom Benutzer unbemerkt im Hintergrund durch- thermische Anforderungen, wie sie an militäri-
geführt wird, wird bei manchen Herstellern auch sche Bauelemente gestellt werden (55 ı C bis
von einem „Shadow-RAM“ gesprochen. Den C125 ı C), können durch sehr große Chips nur
grundsätzlichen Aufbau eines solchen NV-RAM schwer oder gar nicht erfüllt werden. In die-
zeigt Abb. 12.43. sem Fall werden für die erforderlichen Speicher-
Dem Anwender gegenüber verhält sich das bauteile mehrere kleine Chips in ein Gehäuse
NV-RAM genauso wie jedes andere statische montiert.
Speicherbauteil. Mit diesem Bauteil kann man Das Gehäuse der Speicherbauteile ist dabei ein
während des normalen Betriebs beliebig viele LCC-Gehäuse (Leadless Chip Carrier), das kaum
Lese und Schreiboperationen durchführen. Der größer als der eigentliche Speicherchip ist. Die
Benutzer greift dabei stets auf den Vordergrund- Abmessungen des gesamten Bauteils entsprechen
speicher zu, den eigentlichen RAM. Ein direkter den üblichen Maßen der Dual-In-Line-Gehäuse.
Zugriff auf das dahinterliegende EEPROM ist Abbildung 12.44 zeigt eine Variante zu den
nicht möglich. Dies wird auch während des nor- hybriden Speicherbausteinen. Es handelt sich
malen Betriebs zu keiner Zeit angesprochen. Erst dabei um SIM-Speicher (Single-Inline-Module),
wenn die Steuerlogik für das Abspeichern in den die ebenfalls eine sehr hohe Packungsdichte
Hintergrund aktiviert wird, wird der momenta- durch ihre senkrechte Anordnung erlauben.
ne Speicherzustand des RAMs in das EEPROM
gerettet. Dieser Rettungsvorgang wird beispiels-
weise durch einen Spannungswächter ausgelöst, 12.3.4 Aufbau großer Speichersysteme
der die Versorgungsspannung überwacht und bei
Unterspannung (z. B. beim Abschalten) den Spei- Arbeitsspeicher (RAM-Speicher) oder Pro-
chervorgang des NV-RAMs aktiviert. Ein erneu- grammspeicher (EPROM-Speicher) bestehen aus
tes Anlegen der Versorgungsspannung veranlasst mehreren Bauelementen. Dabei geben die Größe
das Bauteil, den gesicherten Speicherinhalt au- der Speicherbauteile und ihre interne Organi-
608 J. Gutekunst

Abb. 12.44 1 GByte SIM-Speichermodul

sation die äußeren Abmessungen des Speichers Gl. 12.11 sind für 2-MByte-Speicher
vor.
Speicher können intern bitweise (1 Bit breit), 2 MByte D 2 1:048:576 Byte oder
nibbleweise (4 Bit breit, also ein Halbbyte), byte- 2 MByte D 2:097:152 Byte
weise (8 Bit breit) oder sogar wortweise (16 Bit
notwendig. Jedes EPROM stellt 32 kByte, al-
breit) organisiert sein. Das bedeutet, dass bei der
so 32 kByte D 32:768 Byte zur Verfügung.
Anwahl einer Speicheradresse ein oder mehre-
Demnach werden für den Aufbau eines 2-
re Bits zur Verfügung gestellt werden. Tabel-
MByte-EPROM-Speichers
le 12.9 zeigt einen Überblick über die wichtigsten
Speicher und ihre mögliche interne Organisati- 2:097:152 Byte
ND D 64 Speicherbausteine
on. 32:768 Byte
Das Rechnersystem gibt die Anzahl der not-
benötigt. Würde man statt der 256-kBit-
wendigen Bits in einem Speicher vor, ebenso
Speicher Bauteile mit einer Größe von 4 MBit
den zur Verfügung stehenden Adressraum. Wer-
einsetzen, so verringert sich die Anzahl der
den beispielsweise EPROM-Speicher in einem
Bauelemente von 64 auf nur noch 8, da diese
Mikroprozessor-System (Abschn. 12.3) einge-
4-MBit-Bauteile 256 kByte (nicht kBit!) zur
setzt, so kann ihre byteweise Organisation direkt
Verfügung stellen.
ausgenutzt werden.
In der Praxis wird man darüber hinaus auch
den Platzbedarf und die Kosten eines solchen
Bauteils berücksichtigen.
Beispiel 12.2-1
Ein Speicher mit der Größe von 2 MByte
soll durch EPROM-Speicherbauteile aufge- 12.4 Mikrorechner
baut werden. Zur Verfügung stehen Bauteile,
die eine Größe von 256 kBit haben und byte- Die Idee, dem Menschen die Arbeit beim Um-
weise organisiert sind (Tab. 12.9). Es gilt die gang mit Zahlen zu erleichtern, verwirklichte be-
Anzahl der notwendigen Bauteile zu bestim- reits KONRAD Z USE (K. Z USE, geb. 1910) Ende
men. der dreißiger Jahre mit seinen ersten Rechenma-
schinen Z1 und Z2. Erst die Z3 brachte 1941 den
Durchbruch. Mit 600 Relais im Rechenwerk und
Lösung 1400 Relais im Speicherwerk war dies der ers-
Durch die byteweise Organisation der te vollfunktionsfähige 22-Bit-Rechenautomat der
Speicherbauteile stellen diese einen Speicher- Welt (Abb. 12.45).
raum von 32 kByte zur Verfügung (1 Byte D Für eine Multiplikation oder Division brauch-
8 Bit, 8 Bit  32 k D 256 kBit, was der Grö- te die Z3 damals rund 3 Sekunden. Nur 50 Jahre
ße des Speicherbauteils entspricht). Nach später erledigte dies ein 32-Bit-Mikroprozessor
12 Digitale Bauelemente 609

Tab. 12.9 Wichtige Speicherbauelemente und ihr Aufbau


Speichergröße in Bit Anzahl der Pins Technologie Speicherart Organisation
1 4 8 16
256 14 TTL Bipol. RAM  
ECL Bipol. RAM  
1k 16 TTL Bipol. RAM  
ECL Bipol. RAM  
4k 18 TTL Bipol. RAM  
ECL Bipol. RAM  
NMOS Stat. RAM  
CMOS Stat. RAM  
8k 18 TTL Bipol. PROM  
16 k 24 TTL Bipol. RAM 
NMOS, CMOS Stat. RAM  
NMOS Dyn. RAM 
NMOS EPROM 
NMOS Mask-ROM  
32 k 24 NMOS EPROM 
64 k 28 NMOS Stat. RAM  
NMOS Dyn. RAM 
NMOS EPROM 
CMOS EPROM 
NMOS Mask-ROM 
128 k 28 NMOS EPROM 
CMOS EPROM 
256 k 28 CMOS Stat. RAM 
NMOS Dyn. RAM  
NMOS EPROM 
CMOS EPROM 
512 k 28 NMOS EPROM 
CMOS EPROM 
CMOS Mask-ROM 
1M 28=32=40 CMOS Dyn. RAM  
CMOS EPROM  
CMOS Stat. RAM  
2M 30=32=40 CMOS Dyn. RAM 
CMOS EPROM 
CMOS Mask-ROM  
4M 30=32 CMOS Dyn. RAM  
8M 30=32 CMOS Dyn. RAM 

in weniger als 100 ns. Die Vielfalt der heu- Neue Bezeichnungen und Einheiten wurden
te zur Verfügung stehenden Mikrorechner zeigt notwendig, um die Leistung dieser neuen Bautei-
Abb. 12.46. le zu beurteilen. Im Folgenden sollen die wich-
Die rasante Entwicklung und die Möglichkeit tigsten erläutert werden.
zu höchsten Integrationsdichten auf einem Chip Die maximale Anzahl der bearbeiteten Ma-
verringerten die Abmessungen der Mikroprozes- schinenbefehle pro Sekunde wird in MIPS (Mil-
soren auf wenige Quadratmillimeter. lion Instructions Per Second) angegeben. Für
610 J. Gutekunst

Abb. 12.45 Der Rechenautomat Z3 von Konrad Zuse. Fo-


to: Deutsches Museum

die Rechner der kommenden Generation erwar- Abb. 12.46 Übersicht über die Mikroprozessoren
tet man Rechenleistungen, die bereits mit GIPS
(Giga Instructions Per Second) angegeben wer-
den können. 12.4.1 Mikroprozessoren

In den 80er Jahren wurde die Entwicklung


1 MIPS D 1 Million Befehle in der Sekunde der Mikroprozessoren beträchtlich vorangetrie-
1 GIPS D 1000 MIPS ben. Dem ursprünglichen 8-Bit-Prozessor, der
mit 4 MHz getaktet wurde, stehen heute 32-Bit-
Prozessoren mit einer Taktfrequenz von mehr als
Ein anderes Maß zur Beurteilung der Rechenleis-
3 GHz gegenüber. Die Weiterentwicklung die-
tung ist die Anzahl der Gleitkommaoperationen,
ser Mikroprozessoren wird in den kommenden
die pro Sekunde durchgeführt werden. Sie wer-
Jahren nicht nur höhere Taktfrequenzen ermög-
den in FLOPS (Floatingpoint Operations Per Se-
lichen, sondern auch die zu verarbeitende Daten-
cond) angegeben.
breite auf 64 Bit verdoppeln.
Der Aufbau der Mikroprozessoren ist dabei in
1 kFLOPS D 1000 FLOPS den wesentlichen Funktionseinheiten annähernd
1 MegaFLOPS .MFLOPS/ gleich geblieben. Ein besonderes Kennzeichen
ist die Interpretation der Maschinenbefehle durch
D 1:000:000 FLOPS
ein internes Mikroprogramm, das den Befehl
1 GigaFLOPS .GFLOPS/ in die notwendigen Prozesssequenzen übersetzt.
D 1000 MegaFLOPS Die Abarbeitung des Befehls benötigt deshalb in
Abhängigkeit von den Mikrokodes mehrere Takt-
zyklen.
Weitere wichtige Begriffe, die im Folgenden im-
mer wieder gebraucht werden, sind: I Bei Mikroprozessoren werden die Befehle in
ALU Arithmetik Logic Unit einen Mikrokode umgesetzt, der in mehreren
CPU Central Processing Unit Taktzyklen abgearbeitet wird.
DMA Direct Memory Access
MIMD Multiple Instruction Multiple Data Dadurch ist der Mikroprozessor in der Lage,
SIMD Single Instruction Multiple Data sehr viele Befehle zu interpretieren und auszu-
I=O Input=Output führen. (In der Regel versteht ein Mikroprozessor
12 Digitale Bauelemente 611

Abb. 12.47 Vereinfachtes internes Blockschaltbild eines 16-Bit-Mikroprozessors

mehr als 200 Maschinenbefehle.) Er zählt somit abgeholt und in eine Sequenz von Mikrobe-
zu den CISC-Rechnern (Complex Instruction Set fehlen umgesetzt. Diese enthält die Zuweisung
Computer). der zu bearbeitenden Daten und die Ausfüh-
Die Arbeitsweise des Mikroprozessors ist rung der Rechenoperation durch das Rechen-
stackorientiert. Das bedeutet, dass der Prozessor werk, die ALU (Arithmetic Logic Unit). Vom
Zwischenergebnisse in einem reservierten Teil Ergebnis abhängig, setzt die ALU entsprechen-
des Hauptspeichers, also außerhalb des Mikro- de Flaggen (engl.: flags). Die wichtigsten hiervon
prozessors, ablegt. Dieser Speicherteil wird als sind:
Stapelspeicher oder Stack bezeichnet. Er ist so Overflow flag: Rechenergebnis ist größer als
angelegt, dass das letzte eingeschriebene Wort durch den Prozessor dargestellt
zuerst abgeholt werden muss (die Daten sind „ge- werden kann,
stapelt“). Sign flag: gibt das Vorzeichen an (0 positive
Zahl, 1 negative Zahl),
I Der Mikroprozessor arbeitet stack-orientiert. Zero flag: zeigt an, dass das Rechenergebnis
null ist,
Den Aufbau eines Mikroprozessors zeigt Carry flag: wird bei Ergebnissen gesetzt, die
Abb. 12.47. Es gibt das stark vereinfachte Block- einen Übertrag erfordern.
schaltbild eines 16-Bit-Mikroprozessors wieder. Das Ergebnis einer Rechenoperation kann so-
Die zwei wesentlichen Funktionseinheiten des wohl ein Datum (Wert) als auch eine Adresse
Mikroprozessors sind der Rechenkern (engl.: sein, auf die in der weiteren Verarbeitung zu-
Execution Unit) und die Schnittstelleneinheit gegriffen werden muss. Davon abhängig wird
(engl.: Bus Interface Unit). In der Schnittstellen- das Ergebnis über eine weitere Registereinheit
einheit gelangen ankommende Befehle zunächst der Schnittstelleneinheit an die eigentliche Bus-
in ein Schieberegister, die Befehls-Warteschlange Schnittstelle (engl.: Bus Interface) weitergege-
(engl.: instruction queue). Von dort werden sie ben und entweder auf den Daten-Bus oder den
über einen internen Bus vom Befehlsdekoder Adressbus gelegt.
612 J. Gutekunst

Tab. 12.10 Beispiele für Rechner-Peripherie


Speicher RAM Dynamische Speicher
Statische Speicher
Bipolare Speicher
ROM EPROM
EEPROM
Mask-ROM
Sonstige Mehrtor-Speicher
Non Volatile RAM
Standard I=O Parallel Centronics
Seriell RS 232C, RS 422A
Ethernet, LAN
Leistungs I=O Direkt Parallele I=O-Ports
Transistorausgangsstufen
Entkoppelt Relais
Optokoppler
System- Prozessun- Numerik-Prozessor
Abb. 12.48 Mikroprozessor MC 68040 (Werkfoto: Mo- bauteile terstützung DMA-Controller
torola) Interrupt-Controller
Timer-Bauteile
Spannungswächter
Watchdog
Die Verwirklichung dieser Funktionen auf ei-
Benutzer- Grafik-Interface
nem Chip zeigt das Abb. 12.48. Die hochkom- Schnittstellen Keyboard-Controller
plexen Strukturen zeigen den Aufbau des 32-Bit- Drucker-Interface
Mikroprozessors MC 68040.
Der Mikroprozessor ist allein nicht funkti-
onsfähig. Er braucht eine Reihe externer Bau- le (Tab. 12.10) mit auf einem Chip unterzu-
teile, die ihn in seiner Funktion unterstützen. bringen. Diesen Integrationsvorgang verdeutlicht
Diese Peripherie-Bauteile sind im Wesentlichen Abb. 12.50. Dabei zeigt die linke Hälfte den Auf-
von der Aufgabe des Mikroprozessors abhängig. bau eines Einplatinen-Rechners (Single Board
Abbildung 12.49 zeigt die wichtigsten Bautei- Computer, SBC), wie er oben beschrieben wurde.
le eines Mikrocomputers. Im Foto darunter sind Die wichtigsten Bauteile sind dabei der Pro-
diese Bauteile in einer Rechnerkarte verwirk- grammspeicher (EPROM oder ROM) sowie der
licht. Arbeitsspeicher (RAM), der auch den Stapelspei-
Programmspeicher (EPROM), RAM und der cher (Stack) zur Verfügung stellt. Bei einem Ein-
Mikroprozessor selbst müssen bei allen Rech- Chip-Computer (Single Chip Computer, SCC)
nersystemen vorhanden sein. Weitere Peripherie, sind der Programmspeicher und der Arbeitsspei-
sowie die Anzahl der parallelen und seriellen cher mit auf dem Chip integriert, wodurch das
Schnittstellen sind vom Gesamtsystem und des- Rechnerbauteil unabhängig von externen Bautei-
sen Aufgabe abhängig. Auf die Funktion der len wird.
einzelnen Peripherie-Bauteile soll hier nicht wei-
ter eingegangen werden. So werden in Tab. 12.10 I Bei einem Single-Chip-Computer sind Pro-
nur die wichtigsten zusammengefasst. grammspeicher, Arbeitsspeicher und weitere
Funktionen auf einem Chip vereint.

12.4.2 Mikro Controller Der Programmspeicher kann sowohl als


EPROM-Speicher, als auch als Mask-ROM aus-
Mit der fortschreitenden Integration gelang es, geführt werden (Abschn. 12.3). Einige Hersteller
neben dem Mikroprozessorkern (Central Proces- bieten inzwischen Ein-Chip-Computer mit fest
sing Unit, CPU) auch noch periphere Bautei- eingebautem BASIC-Interpreter an, zur direk-
12 Digitale Bauelemente 613

Abb. 12.49 Blockschaltbild eines Rechnersystems mit Mikroprozessor

ten Programmierung in der Programmiersprache satz erstreckt sich beispielsweise von der Wasch-
BASIC. Neben diesen Speichern zeigt Abb. 12.50 maschinensteuerung bis zu verteilten Rech-
(rechte Hälfte) auch noch die Integration paralle- nern, bei denen Teilprobleme an unterschiedli-
ler und serieller Schnittstellen. chen Stellen sofort gelöst werden müssen. Dies
Da der interne Speicher begrenzt ist, kann kann beispielsweise die Messwertaufnahme und
über eine Bus-Schnittstelle zusätzlich ein exter- -bewertung in einer Fertigungsstraße sein. Ei-
ner Speicher angesprochen werden. nige Ein-Chip-Computer besitzen zu diesem
Ein-Chip-Computer sind heute vor allem in Zweck auch einen eingebauten Analog/Digital-
8-Bit- oder 16-Bit-Technik ausgeführt. Ihr Ein- Wandler.
614 J. Gutekunst

Abb. 12.50 Mikroprozessor und Single-Chip-Computer

12.4.3 RISC-Computer Im Gegensatz zur stack-orientierten Arbeitsweise


(s. o.) werden Zwischenergebnisse nicht mehr in
Die Mikroprozessoren und die Super-Mikros zäh- einem Stapelspeicher ausgelagert, sondern in ei-
len zu den CISC-Rechnern (Complex Instruction nem Register auf dem Chip gehalten. Dies erlaubt
Set Computer). Sie sind durch die Interpretati- einen wesentlich schnelleren Zugriff auf diese
on der Befehle durch einen Mikrocode gekenn- Daten.
zeichnet, der den Befehl in mehreren Taktzy-
klen abarbeitet, wie bereits erläutert. Bei RISC- I Die Arbeitsweise der RISC-Prozessoren ist re-
Prozessoren (Reduced Instruction Set Computer) gisterorientiert.
erfolgt keine Umsetzung des Befehls durch ein
Mikroprogramm. Für jeden Befehl in Maschi- Um diese Anforderung zu erfüllen, haben ei-
nensprache steht ein sequenzielles Netzwerk aus nige RISC-Prozessoren mehr als 100 interne Re-
Gattern zur Verfügung, das die Ausführung des gister.
Maschinenbefehls in nur einem einzigen Taktzy- RISC-Prozessoren besitzen eine 32-Bit-Archi-
klus ermöglicht. tektur, die vorwiegend in CMOS-Technik aus-
geführt ist. Dies erlaubt Taktfrequenzen bis zu
I RISC-Prozessoren führen jeden Befehl in nur ei- 50 MHz. Da mit jedem Takt ein Befehl ausge-
nem Taktzyklus aus. führt werden kann, entspricht dies einer maxima-
len Leistung von 50 MIPS (Millionen Instruction
Der dazu notwendige Gatteraufwand auf dem Per Second). Andere Technologien erlauben noch
Chip erlaubt selbstverständlich nicht die Befehls- wesentlich höhere Taktfrequenzen. So wurde ein
vielfalt, die Mikroprozessoren durch den Mikro- RISC-Prozessor in der Gallium-Arsenid-Techno-
kode interpretieren können. Es steht somit nur logie (GaAs) entwickelt, der mit 200 MHz getak-
ein eingeschränkter Befehlssatz (engl.: reduced tet. Abbildung 12.51 zeigt den hochintegrierten
instruction set) zur Verfügung. Ein weiterer Un- Chip eines RISC-Prozessors.
terschied zu den Mikroprozessoren ist die regis- Eine weitere drastische Erhöhung der Rechen-
terorientierte Arbeitsweise von RISC-Rechnern. leistung von RISC-Prozessoren erwartet man in
12 Digitale Bauelemente 615

beitsweise wurde auf dem Chip ein sehr schneller


RAM-Speicher eingefügt. Die externe Ausla-
gerung der Zwischenergebnisse entfällt. Abbil-
dung 12.52 zeigt ein vereinfachtes Blockschalt-
bild eines Transputers.
Hauptmerkmal des Transputers sind jedoch
seine vier sehr schnellen seriellen Datenverbin-
dungen, die man links nennt. Damit werden Über-
tragungsraten von 10 MBit pro Sekunde in beiden
Richtungen erreicht. Diese bidirektionalen Da-
tenkanäle arbeiten also bitseriell im Voll-Duplex-
Betrieb.

I Transputer sind CISC-Rechner, deren Daten-


austausch über sehr schnelle bidirektionale
Datenkanäle läuft.
Abb. 12.51 RISC-Prozessor MC 88100 (Werkfoto: Mo-
torola)
Mit diesen Verbindungen können beliebig vie-
le Prozessoren in nahezu beliebigen Netzen mit-
den nächsten Jahren durch noch feinere Chip- einander verbunden werden. Die Rechenleistung
Strukturen. wird auf die Knoten des Netzes verteilt. Ab-
bildung 12.53 zeigt einige grundlegende Vernet-
zungsformen von Transputern.
12.4.4 Transputer Jeder dieser Kommunikationskanäle hat direk-
ten Zugriff auf den Speicher, ohne dass der Pro-
Die drastische Erhöhung der Rechenleistung zessorkern mit einbezogen werden muss. Diese
durch die Super-Mikros und RISC-Prozessoren DMA-Fähigkeit (DMA: Direct Memory Access)
ist vor allem auf die 32-Bit-Architektur und erlaubt bis zu acht Datenübertragungen gleichzei-
die immer kleiner werdenden Chip-Strukturen tig (vier vom und vier in den Speicher), während
in CMOS-Technik zurückzuführen. Heute schon der Rechenkern ungehindert und somit ohne Ge-
werden bei den integrierten MOS-Transistoren schwindigkeitsverlust seine Operationen durch-
Kanalbreiten von < 0;8 m bei den Prozessoren führen kann. Der DMA-Controller stellt sicher,
verwirklicht. Möglich ist dies nur durch hoch- dass die CPU (Central Processing Unit) für den
präzise Masken, die auf fotografischem Weg her- Datentransfer nicht benötigt wird.
gestellt werden. Eine weitere Steigerung der Re- Der Aufbau von Rechnernetzen nach
chenleistung auf diesem Weg scheint heute nur Abb. 12.53 erlaubt höchste Flexibilität. Jedem
noch bedingt möglich, da diese feinen Strukturen Knoten stehen mehrere Megabyte externer Ar-
schon nahe an der Belichtungswellenlänge liegen. beitsspeicher zur Verfügung, auf den nur ein
Mit dem Transputer wird daher ein völlig anderer Rechner, der Knotenrechner, zugreifen kann.
Weg beschritten, der in die Richtung paralleler Dies gewährleistet die echte Parallelität solcher
Rechenleistung weist. Rechnerstrukturen, erfordert aber einen regen
Der Rechenkern eines Transputers entspricht Datenaustausch. In parallelen Rechnersystemen
einem 16- bzw. 32-Bit-Mikroprozessor. Die Be- ist jeder Knotenrechner in der Lage, sämtliche
fehlsinterpretation erfolgt durch ein optimiertes Aufgaben zu erledigen. (Demgegenüber stehen
Mikrokode-Programm, so dass der Transputer zu verteilte Rechnersysteme, in denen jeder Knoten
den CISC-Rechnern (Complex Instruction Set nur eine bestimmte Aufgabe zu lösen hat.) Diese
Computer) zählt. Für die stack-orientierte Ar- hohe Flexibilität des parallelen Konzepts setzt
616 J. Gutekunst

Abb. 12.52 Blockschaltbild eines Transputers

Abb. 12.53 Transputer-Vernetzung

somit das gesamte Programm in allen Knoten- sind heute in der Lage, Transputer Programmie-
rechnern voraus. rung zu unterstützen.
Transputer eignen sich vor allem für die Ver-
I In parallelen Rechnersystemen ist in jedem arbeitung sehr großer Datenmengen. Dies ist
Knotenrechner das vollständige Bearbeitungs-
beispielsweise in Forschungszentren und bei der
programm vorhanden.
Simulation in Entwicklung und Konstruktion der
Fall.

Parallel zu diesen Rechnern wurde die Pro-


grammiersprache Occam entwickelt. Sie erlaubt 12.5 Zur Übung
eine hohe Ausnutzung der parallelen Rechner-
strukturen. Doch auch einige Compiler (Überset- Ü 12.1-1 In einer Schaltung sollen LSTTL-
zungsprogramme) für die Programmiersprache C Bauteile durch HCT-Bauteile ersetzt werden.
12 Digitale Bauelemente 617

Verbessert sich dadurch der Störspannungsab- 12.6 Weiterführende Literatur


stand oder der Rauschspannungsabstand?
 ACL: (1987) Vor- und Nachteile gegenüber bi-
Ü 12.1-2 In Aufgabe Ü 12.1-1 stehen nicht alle polarer Logik. Markt & Technik. Nov. 1987,
LSTTL-Bauteile auch in HCT zur Verfügung. Ei- S. 81/90.
nige werden deshalb durch HC-Bauteile ersetzt.  Advanced CMOS Logic Designer’s Hand-
a) Was muss man bei der Verwendung von HC- book. Texas Instruments.
anstelle von HCT-Bauteilen beachten?  Advanced CMOS Logic Qualification Data.
b) Wie erfolgt die Ankopplung an die LSTTL- Texas Instruments.
Bauteile?  Designing with MECL 10,000. Motorola.
c) Ist diese Maßnahme auch im umgekehrten  Flik, Th.: (2005) Mikroprozessortechnik und
Fall (HC-Bauteile treiben LSTTL-Bauteile) Rechnerstrukturen. Springer Verlag.
notwendig?  Glue Logic: (1987) Im Falle eines Falles... .
d) Bleiben Störspannungsabstand und Rausch- Markt & Technik (1987) S. 79/81.
spannungsabstand erhalten?  Hagenbruch, O., Beierlein, T.: (2010) Ta-
schenbuch der Mikroprozessortechnik. Hanser
Ü 12.2-1 Für ein sehr schnelles Rechnersys- Verlag.
tem soll ein 64-kByte-Cache-Speicher entwickelt  High Speed CMOS Logic Data. Motorola.
werden. Der Prozessor arbeitet dabei mit einer  Introduction to the HE4000B Family Data
Datenbreite von 32 Bit. Sheets. Philips Components.
a) Mit welchen Bauteilen muss der Speicher ge-  MECL Data Book. Motorola.
baut werden?  MECL System Design Handbook. Motorola.
b) Wie viel 32-Bit-Datenworte können darin ab-  Nadolski, J.: (1986) CMOS ersetzt LSTTL.
gelegt werden? Elektropraxis. (1986) Nr. 1, S. 40/47.
c) Suchen Sie aus der Tab. 12.9 das größtmög-  Wolowitz, R., Urbanski, K., Gehrke, W.: (2011)
liche Bauteil heraus, mit dem dieser Speicher Digitaltechnik: Ein Lehr- und Übungsbuch.
aufgebaut werden kann. 5. Auflage, Springer Verlag.
d) Wie viele Bauteile müssen parallel geschaltet  Wüst, K.: (2010) Mikroprozessortechnik:
werden, um die 32-Bit-Wortbreite zu erhal- Grundlagen, Architekturen, Schaltungstech-
ten? nik. Vieweg C Teubner Verlag.
e) Wie viele Bauteile sind für den gesamten  Zuiderveen, E. A.: (1989) Handbuch der digi-
Speicheraufbau notwendig? talen Schaltungen. Franzis Verlag.
Entwicklung digitaler Schaltungen
13
Jürgen Gutekunst

Die Entwicklung digitaler Schaltungen stellt Nach dem Beschluss eines Entwicklungsvor-
heute immer höhere Ansprüche an den Planer. habens (Phase 1 abgeschlossen) muss man zu-
Die Qualität des Ergebnisses ist dabei von der nächst alle Anforderungen an die Entwicklung
Sorgfalt der Planung abhängig. Systematischen erfassen und als Spezifikationen beschreiben. Bei
Entwicklungsmethoden unterstützen dabei die umfangreichen Entwicklungen erstellt man i. a.
Herstellung komplexer Schaltungen. Ebenso mehrere Spezifikationen, um das Projekt über-
wie der Einsatz von modernen CAE- (Compu- sichtlich zu gestalten. Sie sind in einer überge-
ter Aided Engineering) und CAD-Werkzeugen ordneten Spezifikation, der Systemspezifikation,
(Computer Aided Design). Das Phasenmodell in zusammengefasst.
Abschn. 13.1 beschreibt eine mögliche Vorge- Im Wesentlichen unterscheidet man
hensweise.  das Lastenheft und
 das Pflichtenheft.
Das Lastenheft stellt dabei die Marktanforde-
13.1 Entwicklungsphasen rung dar. Es beschreibt die Anforderungen, die
notwendig sind, um ein marktgerechtes Produkt
Von der Idee bis zur realisierten Schaltung wer-
zu entwickeln.
den mehrere Entwicklungsphasen durchlaufen,
wie Abb. 13.1 zeigt.
Am Anfang jeder Entwicklung steht das Ent- I Das Lastenheft beschreibt die Anforderungen
wicklungsvorhaben (Phase 1), als Wunsch zur des Marktes.
Verwirklichung einer Idee. Um die Entwicklung
beginnen zu können, sind zuerst die Vorausset-
Im Lastenheft sind auch beispielsweise
zungen für eine erfolgreiche Durchführung zu
Zielvorgaben für Funktionen und Kosten nieder-
schaffen. Dazu gehören in erster Linie
geschrieben, sowie die Einsatzbedingungen des
 die Finanzmittel,
Produktes. In der Regel wird dies durch Produkt-
 die Personalmittel (Anzahl der notwendigen
management, Marketing oder Vertrieb erarbeitet.
Planer),
Aus dem Lastenheft wird das Pflichtenheft
 die Sachmittel (Entwicklungsgeräte) und
abgeleitet. Das Pflichtenheft ist eine detaillierte
 eine Zeitplanung.
Beschreibung der Aufgabe (auch Detailspezifika-
Ist eine dieser Bedingungen nicht erfüllbar, so
tion) und beschreibt den Lösungsweg.
ist das Entwicklungsvorhaben bereits in diesem
frühen Stadium gefährdet.
I Das Pflichtenheft ist die technische Vorgabe für
J. Gutekunst () den Entwickler, in der alle Informationen zur
E-Mail: jgutekunst@web.de Umsetzung in ein Produkt enthalten sind.

© Springer-Verlag GmbH Deutschland 2017 619


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_13
620 J. Gutekunst

Abb. 13.1 Entwicklungs-


phasen in einem Projekt

Hier sind beispielsweise auch Normen und lung, weshalb oft auch eine Machbarkeitsanaly-
Standards definiert, die für die Entwicklung not- se gefordert ist. Zwischen den einzelnen Phasen
wendig sind, oft aber dem Endanwender unbe- werden üblicherweise Meilensteine platziert, zu
kannt sind. Dies gibt auch gleichzeitig Anhalts- deren Zeitpunkt der korrekte Prozessfortschritt
punkte, welche Tests beispielsweise zur Produkt- überprüft wird.
freigabe durchzuführen sind.
Eine Detailspezifikation kann folgende Punkte Das V-Modell Ausgehend von den Entwick-
beinhalten: lungsphasen in Abb. 13.1 wurde das V-Modell
 Definition der Schnittstellen (z. B. die Breite bereits Ende der 70er Jahre entwickelt. Das V-
des Adress- und Datenbusses zur Rechner- Modell entstand aus der Notwendigkeit, dass bei
schnittstelle), immer komplexeren Anforderungen die Überprü-
 Definition der Ein- und Ausgangssignalpegel, fung der Ergebnisse in Bezug auf Einhaltung der
 Definition der zu realisierenden Funktion, Anforderungen immer schwieriger wurde. Dies
 Spezifikation der anzuwendenden Technologie wurde hauptsächlich durch die immer stärker
(z. B. Verwendung von SMD (Surface Moun- steigenden Anforderungen an Software getrieben
ted Devices), s. Abschn. 12.1.6), und dient in erster Linie der Qualitätssicherung.
 Festlegung der Abmessungen der Baugrup- Ein wesentlicher Bestandteil des V-Modells ist
pe (z. B. Europaformat 100 mm 160 mm, die phasenweise Ableitung der Prüfkriterien. Da-
Doppel-Europaformat 233 mm 160 mm oder mit wird sichergestellt, dass die Anforderungen
ein anderes Maß der Leiterplatte), für die nächste Entwicklungsstufe auf geprüften
 Einhaltung von landespezifischen Richtlinien, Ergebnissen beruhen. Abbildung 13.2 zeigt das
wie beispielsweise UL oder CE. V-Modell in vereinfachter Form.
Die den beiden Phasen beiden Phasen fällt Gut zu erkennen ist, dass die Erkenntnisse so-
die Entscheidung zur Umsetzung der Entwick- wohl aus den Tests in den einzelnen Phasen als
13 Entwicklung digitaler Schaltungen 621

Anforderungs- Testspezifikation
entwurf Abnahmetest
gegebenenfalls Korrekturen

Grobentwurf Systemtest

Detailentwurf Integrationstest

Modulentwicklung Modultest

Entwicklung Integration

Abb. 13.2 V-Modell zur Software- und Hardware Entwicklung

auch aus der nach gelagerter Entwicklungsstufe unbenutzte Pins oder zwei gegeneinander ver-
in die einzelnen Entwurfsphasen zurückfließen. drahtete Ausgänge. Welche Funktionen heute ein
Dies stellt sicher, dass alle Anforderungen erfüllt CAE-Arbeitsplatz erfüllt, zeigt Abb. 13.3.
werden und am Ende ein markfähiges Produkt Die umfangreichen Programme zur Durchfüh-
entsteht. Ebenfalls ersichtlich wird die Tatsache, rung der einzelnen Entwicklungsschritte haben
dass mit steigender Komplexität der Aufwand dabei genau definierte Datenschnittstellen. Dies
für Test und Validierung während der Integrati- erlaubt den Datenaustausch der Programme un-
onsphase deutlich ansteigt (rechter Teil des V- tereinander. Besonders wichtig ist dies bei der
Modells). Entwicklung von Stromläufen und anschließen-
Mit Phase 3 in Abb. 13.1 (Detailentwurf nach der Erstellung der Leiterplatte (Layout).
Abb. 13.2) beginnt die eigentliche Entwicklung Das Entflechtungsprogramm zur Erstellung
der digitalen oder analogen Schaltung. Zu diesem der Leiterplatte benutzt dabei die vom Stromlauf
Zeitpunkt müssen genügend Informationen zur abgeleitete Netzliste, so dass diesem Programm
Verfügung stehen, um eine sichere Schaltungs- bereits alle Verbindungen bekannt sind. Die
entwicklung zu gewährleisten. Datenkanäle zwischen diesen Programmen las-
Bei der Schaltplanentwicklung stehen dem sen sich in beiden Richtungen benutzen. Wird
Entwickler heute eine Reihe von computerge- nachträglich etwas in den Stromlaufplan einge-
stützten Hilfsmitteln zur Verfügung, die unter fügt, das auch auf der Leiterplatte hinzuzufügen
dem Begriff CAE (Computer Aided Engineering) ist, so spricht man von Forward Annotation
zusammengefasst sind. Die Schaltplanerstellung (Vorwärtsmeldung). Umgekehrt können auch
erfolgt auf so genannten Workstations (sehr im Layoutsystem Änderungen vorgenommen
leistungsfähige Computer) mit Hilfe grafischer werden (z. B. Tauschen von Gattern gleicher
Eingabe-Programme (CAD, Computer Aided Funktion), was mit Backward Annotation (Rück-
Design). Diese Programme können heute neben meldung) wieder im Stromlauf übernommen
dem eigentlichen Stromlauf auch eine Verknüp- werden kann. Ein solcher CAE-Arbeitsplatz
fungsliste und eine Stückliste ausgeben sowie bietet in gleicher Weise eine Schnittstelle zu
eine umfangreiche logische und zeitliche Si- Simulationsprogrammen und erlaubt damit die
mulation digitaler und analoger Schaltungen Erstellung von Stücklisten.
durchführen. Einige Programme machen den Neben der logischen und zeitlichen Si-
Benutzer sogar bei der Schaltungseingabe auf mulation von digitalen Schaltungen führt der
etwaige Fehler aufmerksam, wie beispielsweise CAE-Arbeitsplatz in zunehmendem Maße auch
622 J. Gutekunst

Abb. 13.3 CAE-Arbeits-


platz
CAE

Stromlaufentwicklung

Simulation

Netzlistenerzeugung

Leiterplatten -
entflechtung

komplexe Layout-Simulationen durch. Dabei die zu vorzeitigen Fehlfunktionen oder Ausfällen


berechnet man das Signalverhalten auf den Lei- führen können. Abbildung 13.4 zeigt die thermi-
terbahnen (z. B. Laufzeiten und Reflexionen) sche Simulation einer Leiterplatte.
sowie das Übersprechen (engl.: cross talk) be- Der Einsatz von CAE ist typisch für die Ent-
nachbarter Leitungen. Auch Verlustleistungs- wicklungsphase 3, wobei die Grenzen durch den
Berechnungen und thermische Betrachtungen immer größeren Leistungsumfang der Program-
der entwickelten Leiterplatte kann der Rechner me verschwimmen. Übergreifende Datenschnitt-
simulieren. Letzteres erlaubt das frühzeitige Er- stellen binden schließlich den CAE-Arbeitsplatz
kennen lokaler Wärmenester (engl.: hot spots), in einen Datenverbund für die Fertigung ein, den
13 Entwicklung digitaler Schaltungen 623

ter-Einsatz bei Test und Qualitätssicherung. Beim


CAT (Computer Aided Testing) steuert man mit
einem Rechner, dessen Daten direkt aus den Si-
mulationsergebnissen der Entwicklung abgeleitet
werden. Gleichzeitig erstellt man Statistiken über
Ausfälle (Ursache und Häufigkeit) und wertet sie
mit Hilfe eines Rechners aus. Diese Qualitätssi-
cherungsmaßnahmen werden als CAQ (Computer
Aided Quality Assurance) bezeichnet. Durch im-
mer leistungsfähigere Computer ist man bereits
heute in der Lage, ganze Herstellungsprozesse zu
simulieren und auf Kollisionsfreiheit der Werk-
Abb. 13.4 Thermische Simulation einer Leiterplatte zeuge zu untersuchen.
Das sehr umfangreich gewordene Sachgebiet
stellt hohe Ansprüche an den Planer. Die Mög-
so genannten CIM (Computer Integrated Manu- lichkeit jedoch, bereits in den Phasen 1 bis 3 ein
facturing). Das Zusammenspiel und die wichtigs- hohes Maß an Entwicklungssicherheit zu errei-
ten Datenverbindungen dieser rechnergestützten chen und damit das Entwicklungsrisiko zu ver-
Hilfsmittel sind im Abb. 13.5 zusammengefasst. ringern, zahlt sich bereits in einer wesentlich
Man bezeichnet sie auch als C-Tools (Computer- kürzeren Testphase (Phase 4) aus und verrin-
Tools). gert den Umfang einer Nachentwicklung erheb-
Wie Abb. 13.5 zeigt, umfassen die C-Tools lich. Im Idealfall ist sogar keine Nachentwick-
nicht nur die Entwicklungsphase, sondern stellen lung erforderlich. Abbildung 13.6 zeigt die Risi-
auch die Verbindung zu allen anderen Phasen her. koverminderung durch eine simulierte Entwick-
Immer stärker wird in Zukunft dabei der Compu- lung mit Hilfe der C-Tools (Abb. 13.6b) und eine

Abb. 13.5 Rechnergestützte Hilfsmittel: C-Tools


624 J. Gutekunst

Abb. 13.6 Risikoverminderung durch Simulation

Entwicklung ohne diese Hilfsmittel (Abb. 13.6a). Nachentwicklung, die erneut eine Testphase
Bei letzterer geht das Produkt mit einem höheren durchlaufen muss. Um dies zu vermeiden, führt
Anteil an Restfehlern auf den Markt. man zunehmend die Entwicklungsphasen von
Der Test einer Schaltung in seiner zukünftigen der Schaltplanerstellung bis zur Schaltungserpro-
Umgebung erfolgt in der 4. Entwicklungspha- bung auf dem Rechner durch und simuliert sie.
se. Hier werden erstmals die realen Ein- und Erst nach erfolgreichem Testabschluss erfolgt
Ausgangsbedingungen mit der Funktion auf die Überführung in die Serie (Phase 5). Hier muss
der entwickelten Karte zusammengebracht. Das der Planer die Möglichkeiten der Fertigung sei-
bis zu diesem Zeitpunkt als ideal angenomme- nes Produktes prüfen. Dabei sind folgende Krite-
ne Zeitverhalten hat sich nun auch unter dem rien zu erfüllen:
Einfluss äußerer Störgrößen wie z. B. der Elek-  günstige Auswahl der Bauelemente (keine teu-
tromagnetischen Verträglichkeit (EMV) oder ren „Exoten“),
der Temperatur zu bewähren. Bei erheblichen  Testbarkeit (evtl. sind in die Schaltung zusätz-
Mängeln erfolgt eine teure und aufwendige liche Testpunkte einzufügen) und
13 Entwicklung digitaler Schaltungen 625

 möglichst kein Abgleich analoger Baugrup- Beispiel 13.2-1


pen. Für eine Kontrolleinheit ist ein Warntongeber
Durch eine umsichtige Entwicklung in der zu entwickeln. Hierzu erzeugt man mit Hilfe
Phase 3 und eine genaue Spezifikation kann man eines Zählers ein Pulstripel, dessen Einzelim-
die Entwicklungsarbeit bis zur Serienreife auf pulse 100 ms lang sind und einen Abstand von
ein Minimum begrenzen. Bei erfolgreichem Ab- ebenfalls 100 ms haben. Das Pulstripel soll
schluss erfolgt schließlich die Serienfertigung sich jede Sekunde wiederholen.
(Phase 6).
Abbildung 13.6 zeigt auch den zeitlichen Ver- Lösung
lauf der einzelnen Phasen. Dabei hat sich her- Für eine solche Aufgabe ist der Pulsfahrplan
ausgestellt, dass man die Gesamtentwicklungs- der ideale Lösungsansatz. Da Pulse von ei-
zeit durch sorgfältige Spezifikation (Phase 2) und ner Länge von 100 ms erzeugt werden sol-
Schaltungssimulation in Phase 3 erheblich ver- len, beträgt die Taktrate des Zählers 10 Hz
ringern kann. Der Mehraufwand in Phase 3 zahlt (ergibt eine Periodendauer von 100 ms). In
sich aufgrund geringerer Fehler durch eine we- einer Sekunde zählt er demnach auf zehn,
sentlich kürzere Testphase und weniger Ausfälle was den Einsatz eines binär codierten Dezi-
in der Praxis aus. Mit einer gezielten Entwick- malzählers, BCD-Zählers (s. Abschn. 11.2),
lung, die von Anfang an die fertigungstechni- ermöglicht. Die somit gegebenen Eingangs-
schen Bedingungen des Produkts beachtet, kann und Ausgangsbedingungen sind im Pulsfahr-
sogar die Phase 5 (Serienreife) entfallen. Oft wird plan in Abb. 13.7 zusammengestellt.
allerdings auch von der Planung nicht erkannt, Im oberen Teil von Abb. 13.7 ist der Takt
dass sich der Mehraufwand in der Vorentwick- und die vier Ausgangszustände des BCD-
lung durch eine Verkürzung der Gesamtentwick- Zählers (Q1 , Q2 , Q3 und Q4 ) aufgezeigt.
lungszeit bezahlt macht. Darunter befindet sich das Pulssignal des
Warntongebers (ein Pulstripel, das sich jede
Sekunde wiederholt). Um das Ausgangsver-
13.2 Pulsfahrplan halten einer Schaltung in zeitlichen Bezug zu
den Eingangssignalen zu bringen, müssen alle
Der Pulsfahrplan ist eine häufig verwendete Me- Zeitmaßstäbe gleich sein.
thode zur Entwicklung von Schaltungen, deren Betrachtet man den Ausgangszustand des
Zeitverhalten bekannt ist. Mit dem Pulsfahrplan Signals P zur Zeit der Pulse als wahr, so las-
hält man das Zeitverhalten einer Schaltung fest sen sich folgende drei Konjunktionen für das
und leitet anschließend die notwendigen Ver- Pulstripel ableiten:
knüpfungen ab. Darüber hinaus kann man mit
Hilfe des Pulsfahrplans auch sehr kleine Zeit- Puls 1: P1 D Q1  Q2  Q3  Q4
intervalle auflösen, um so beispielsweise die Puls 2: P2 D Q1  Q2  Q3  Q4
Laufzeiten in Leitungen und Bauteilen zu be-
rücksichtigen (Abschn. 13.3). Puls 3: P3 D Q1  Q2  Q3  Q4 :
Um aus einem Pulsfahrplan die logische Ver-
Die Überlagerung (Superposition) aller
knüpfung abzuleiten, muss das Eingangs- und
drei Terme erfolgt durch Disjunktionen (Ab-
Ausgangsverhalten der Schaltung bekannt sein.
schn. 11.3); man erhält so die Boolesche
Dabei ist wichtig, dass der Pulsfahrplan alle mög-
Gleichung für das Ausgangssignal P :
lichen Zustände der Eingangssignale enthält.
Dies zeigt bereits, dass periodische Eingangssi- P D .Q1  Q2  Q3  Q4 /
gnale für diese Methode besonders geeignet sind.
C .Q1  Q2  Q3  Q4 /
Das nachfolgende Beispiel soll diese Vorgehens-
weise verdeutlichen. C .Q1  Q2  Q3  Q4 / :
626 J. Gutekunst

Abb. 13.7 Pulsfahrplan


für einen Warntongeber

befehl auf einen Speicher sein (die Daten


müssen während des kurzen Schreibimpulses
stabil am Speicher anliegen). Wichtig ist es
auch, Laufzeiten durch Gatter und auf Leitun-
gen (Abschn. 13.3) zu beachten, um etwaige
Störungen oder Fehlfunktionen frühzeitig zu
erkennen (Abschn. 13.4: Glitch-Free-Design).
In einigen speziellen Schaltungen dienen
die unterschiedlichen Laufzeiten auch dazu,
um Zustandswechsel von Signalen zu erken-
nen. Hier erzeugt man bei jeder Signalflanke
einen Puls. Ähnlich wie in der analogen Schal-
tungstechnik handelt es sich hier um digitale
Differenzierglieder. Zur Verdeutlichung der
Funktionsweise dieser Differenzierglieder
ist der Pulsfahrplan besonders gut geeignet.
Den prinzipiellen Aufbau eines einfachen
Differenziergliedes zeigt die Schaltung nach
Abb. 13.9a, welche die Vorderflanke eines
beliebig langen Pulses erkennen lässt. In
Abb. 13.9b ist das Zeitverhalten der Schal-
Abb. 13.8 Schaltung des Warntongebers
tung aufgezeichnet.
Aus Abb. 13.9a ist weiterhin zu erkennen:
Der Puls am Eingang A gelangt ohne Verzö-
Die entsprechende Schaltung zeigt Abb. 13.8. gerung zum Punkt B des UND-Gatters. Im
Ein weiteres Einsatzfeld für den Impuls- zweiten Zweig wird der Puls invertiert, bevor
fahrplan ist die Überprüfung zeitkritischer er auf das UND-Gatter gelangt (Punkt C ) und
Schaltungen. Darunter versteht man Schal- wird so um die Zeit tpd verzögert. Wollte man
tungen, deren Funktion von der zeitlichen die Schaltung mit Hilfe der Booleschen Alge-
Abhängigkeit der Eingangssignale bestimmt bra (Abschn. 11.3) beschreiben, so ergibt sich
wird. Dies kann beispielsweise der Schreib- für den Ausgang D (wenn Punkt A den Zu-
13 Entwicklung digitaler Schaltungen 627

a b
A=B
B

& D C

1
C
D
tpd

tpd

Abb. 13.9 Schaltung und Pulsfahrplan zur Vorderflanken-Differenzierung. a Schaltung, b Pulsfahrplan

stand „1“ einnimmt) folgende Beziehung: T ), für die Zeit während der Laufzeit T (ge-
kennzeichnet durch T ) und für die Zeit danach
DDBC (gekennzeichnet durch T C). Zusammenfas-
j j send kann man die Schaltung folgendermaßen
N mit A D 1 und AN D 0
D D A  A; beschreiben:
D D 0:
für T W N
D D A  A; D D 0;
Wählt man das Eingangssignal A D 0, so für T W D D A  A; D D 1;
wird wegen D D AN  A der Ausgang D eben- für T CW D D AN  A; D D 0 :
falls stets zu null (Absorptionsgesetz, s. Ab-
schn. 11.3.2). Dieses Beispiel zeigt, dass sich
die Boolesche Algebra nur für die Betrach- Der Einsatz des Pulsverfahrens ist für die-
tung von statischen (eingeschwungenen) Zu- sen Fall wesentlich einfacher und übersicht-
ständen eignet. Bei dynamischen Vorgängen, licher. Abbildung 13.9b veranschaulicht das
die von verschiedenen Laufzeiten abhängig Ergebnis, das sich sofort aus der Konjunktion
sind, muss bei der Erstellung der Booleschen der Signale B und C ergibt.
Gleichungen eine Zeitaufteilung erfolgen, wie Die Gatterlaufzeit des Inverters tpd hat da-
sie nachfolgend durchgeführt wird. bei einen maßgeblichen Einfluss auf die Breite
Betrachtet man die Laufzeiten durch die des Ausgangspulses. Oft reicht ein Inverter
Gatter, so zeigt sich, dass das invertierte Signal gar nicht aus, um einen Puls am Ausgang
um die Laufzeit T des Inverters später mit dem zu erzeugen, so dass man mehrere Inverter
unverzögerten Signal verknüpft wird. Für die- hintereinander schalten muss. Dies liegt dar-
se sehr kurze Zeit ist die UND-Verknüpfung an, dass neben der Gatterlaufzeit vor allem
des Ausgangsgatters wahr und am Ausgang die Anstiegs- und Abfallflanken des Pulses ei-
erscheint ein Puls. Wegen seiner Kürze be- ne Rolle spielen. Sie bewegen sich ebenfalls
zeichnet man ihn oft als Nadelpuls. Während in der Größenordnung der Gatterlaufzeit und
der Laufzeit T gilt für die Boolesche Verknüp- sind deshalb nicht zu vernachlässigen. Aus
fung der Eingangszustand des UND-Gatters: diesem Grund muss man von der idealisier-
ten Darstellung in Abb. 13.9 abgehen und die
D D A  A; D D 1: typischen Flankenzeiten der tatsächlichen Pul-
se berücksichtigen. Abbildung 13.10 zeigt den
Die Beschreibung dieser Schaltung muss, wie Einfluss von unterschiedlichen Gatterlaufzei-
erwähnt, wegen der unterschiedlichen Lauf- ten (hier durch eine Aneinanderreihung meh-
zeit in drei Zeitabschnitten erfolgen: für die rerer Inverter realisiert) auf die Pulsbreite des
Zeit vor der maßgeblichen Gatterlaufzeit T Nadelimpulses. Die Abb. 13.10c sind mit einer
durch den Inverter (gekennzeichnet durch Oszilloskop-Kamera aufgenommen.
628 J. Gutekunst

Abb. 13.10 Einfluss der Gatterlaufzeit auf die Pulsbreite

 die Signallaufzeit der Leitung ist größer als die


13.3 Leitungen für digitale Signale
kürzesten Schaltflanken.
Letzteres bedeutet, dass Reflexionen nur dann
Die Schaltgeschwindigkeit digitaler Bauteile hat
wirksam werden, wenn sie nicht in die Schaltflan-
in den letzten Jahren erheblich zugenommen.
ke hineinfallen (Abschn. 13.3.2.2).
Pulsanstiegs- und -abfallzeiten, die früher nur
mit ECL-Technik (ECL D Emitter Coupled Lo-
gic) zu erreichen waren, sind heute bereits mit 13.3.1 Bandbegrenzung digitaler
CMOS-Bauteilen der Familie AC oder ACT Signale
(Advanced CMOS oder Advanced CMOS mit
TTL-Eingang) zu erzielen (Abschn. 12.1.4). Die Von erheblichem Einfluss bei der Übertragung
Flankensteilheit liegt dabei bei etwa 2 ns und digitaler Signale ist die Bandbreite des Signal-
die Schaltverzögerung der Bauteile bei ungefähr weges. Darunter versteht man die höchste über-
3 ns. tragbare Frequenz der Leitung, die durch die Lei-
Die Zunahme der Taktfrequenzen und die Ver- tungskapazität und -induktivität begrenzt wird.
kürzung der Schaltzeiten erhöht dabei die Gefahr Digitale Signale werden durch solche band-
der Leitungsreflexionen. Diese treten vor allem in begrenzten Leitungen verformt. Der Grund dafür
folgenden Fällen auf: liegt in den sehr großen Frequenzanteilen der
 die Taktfrequenz liegt nahe der Signallaufzeit Schaltflanke, die unabhängig von der Grundperi-
der Leitung; ode des digitalen Signals sind. Darum gilt:
13 Entwicklung digitaler Schaltungen 629

Abb. 13.11 Überschwinger durch Bandbegrenzung. a Rechtecksignal auf bandbegrenzter Leitung, b Spektraldiagramm
der bandbegrenzten Leitung

I Die Übertragung digitaler Signale ist von der grenzten Signal. Abbildung 13.11 verdeutlicht
Steilheit der Schaltflanken abhängig und nicht diesen Einfluss in Abhängigkeit vom k-Faktor.
von der Taktfrequenz. Dieser gibt das Verhältnis der Leitungsbandbrei-
te zur Grundschwingung des digitalen Signals
Den Beweis dafür liefert die Fourier-Reihe an.
für periodische Signale. Außer der Grundschwin- Unabhängig von der Grundfrequenz sind in
gung (Periode) enthält diese, beispielsweise für Abb. 13.11 Leitungen aufgezeigt, welche nur die
ein Rechtecksignal, alle ungeraden harmonischen Grundfrequenz (k D 1) bzw. einen bestimmten
Schwingungsanteile (Abb. 13.11). Anteil an harmonischen Frequenzen übertragen
Die gewichteten Amplituden der Harmoni- (k D 3 bis k D 20). Die Begrenzung der Fourier-
schen fordern ein unendliches Frequenzspektrum Reihe und damit die Bandbegrenzung, ist in dem
für die Rechteckschwingung, wie Gl. 13.1 Spektraldiagramm darunter (Abb. 13.11b) durch
zeigt: Vielfache der Grundfrequenz f0 dargestellt.
1
Abbildung 13.11 zeigt eine weitere Eigen-
X 1 heit von Sprungantworten, die durch die Fourier-
y.x/ D A  sin.2n  1/  x :
nD1
.2n  1/ Reihe wiedergegeben werden: Selbst bei Hin-
(13.1) zunahme weiterer Terme verschwindet der erste
Überschwinger nach dem Sprung nicht. Mit et-
Der hochfrequente Anteil eines digitalen Signals wa 9 % der Sprunghöhe (Amplitude) bleibt er
befindet sich also in dessen Schaltflanke. Kann bei allen Näherungen fast konstant. Dieses be-
eine Leitung nur Frequenzen bis zu einer be- zeichnet man als Gibbs’sches Phänomen. Es rührt
stimmten Höhe übertragen, so hat diese einen von einer Unstetigkeit der Rechteckkurve bei der
maßgeblichen Einfluss auf die Flankensteilheit, Bandbegrenzung her. Die Fourier-Näherung hat
und es entstehen Über- und Unterschwinger bei an dieser Stelle ihren größten Fehler mit 9 %.
den Übergängen von 0 auf 1, bzw. von 1 auf 0. Die Bandbegrenzung einer digitalen Leitung
In diesem Fall spricht man von einem bandbe- ist im Wesentlichen auf die Leitungskapazität
630 J. Gutekunst

Abb. 13.12 Leitungsele-


ment einer homogenen
Leitung

und die Eingangskapazitäten der angeschlosse- sind. Der Leitwert G0 beschreibt den nicht
nen Gatter zurückzuführen. Für hohe Frequenzen idealen Isolator zwischen den beiden Leitungs-
wird dabei der Signalweg niederohmiger. Damit adern.
verdeutlicht sich auch der Einfluss der Anzahl der In dem vergrößert heraus gezeichneten, sehr
angeschlossenen Gatter auf die Übertragungs- kleinen Teilstück mit der Länge dx, sind die-
qualität des Signals (Abschn. 13.3.2.2). se typischen Elemente eingezeichnet. Entspre-
chend der homogenen Leitung sind alle Teil-
stücke dx und die sich darin befindenden Ele-
13.3.2 Reflexionen mente gleich. Da diese Teilstücke sehr klein sind,
spricht man vom Leitungsbelag, der die charak-
Neben der Bandbegrenzung digitaler Leitun- teristischen Verhältnisse pro Längeneinheit wie-
gen sind vor allem Leitungsreflexionen eine dergibt. Der Leitungsbelag wird folgendermaßen
häufige Ursache für Fehlfunktionen. Um Re- gemessen:
flexionen bei längeren Leitungen zu vermeiden,  Kapazitätsbelag in pF=cm bzw. in pF=inch,
muss man die Gesetze der Hochfrequenztechnik  Induktivitätsbelag in pH=cm bzw. in pH=inch,
für den Übertragungsweg anwenden. Für lange  Widerstandsbelag in =cm bzw. in =inch.
Leitungen bedeutet dies einen entsprechenden Damit kann man eine Leitung unabhängig von
Leitungsabschluss (engl.: termination). Ist ei- ihrer Länge eindeutig beschreiben.
ne Übertragungsstrecke verhältnismäßig kurz, Mit Hilfe der Kirchhoff’schen Sätze und einer
so kann dieser Leitungsabschluss entfallen (Ab- anschließenden Differenzierung nach dx ergibt
schn. 13.3.2.2). sich die Telegrafengleichung

13.3.2.1 Abgeschlossene Leitung ı2 u ıu


Jede Leitung, unabhängig von ihrer Geometrie, D R0 G 0 u C .R0 C 0 C L0 G 0 /
ıx 2 ıt (13.2)
besitzt einen induktiven, einen kapazitiven und ı 2
u
0 0
einen ohmschen Anteil am Leitungswiderstand. CLC 2 :
ıt
Sind diese gleichmäßig über die ganze Leitung
verteilt, spricht man von einer homogenen Lei- Der Wellenwiderstand Z 0 einer Leitung ist eine
tung. komplexe Größe:
Abbildung 13.12 zeigt das Ersatzschaltbild s
einer Leitung, in dem die verteilten Leitungsgrö- R0 C j!L0
Z0 D : (13.3)
ßen näherungsweise als Teilgrößen dargestellt G0 C j! C0
13 Entwicklung digitaler Schaltungen 631

Da bei der Übertragung digitaler Signale vor al- auch der Reflexionskoeffizient Q der einspei-
lem der Einfluss hoher Frequenzen entscheidend senden Quelle ermitteln:
ist (Gl. 13.1), sind diejenigen Ausdrücke domi-
nant, in denen die Kreisfrequenz ! vorkommt. RQ  Z0
Q D : (13.7)
Die Gleichstromgrößen R0 und G0 kann man RQ C Z0
vernachlässigen. Gleichung 13.3 vereinfacht sich
dann zu s RQ in Gl. 13.7 ist dabei der Ausgangswiderstand
j!L0 (Quellenwiderstand) der einspeisenden Schal-
Z0 D : (13.4)
j! C0 tung. Die Reflexionskoeffizienten werden zu null,
wenn die Leitung sowohl am Eingang als auch
In diesem Fall spricht man von einer verlustlosen am Ausgang angepasst ist. In diesem Fall gilt
Leitung.
RL D Z0 und RQ D Z0 : (13.8)
I Eine verlustlose Leitung hat nur frequenzab-
hängige Anteile (R0 D G0 D 0).
Die Reflexionskoeffizienten beschreiben die
Spannungsverteilung auf der Leitung. Analog
Der ideale Übergang am Ende einer Übertra-
hierzu lassen sich die Brechungsfaktoren bL und
gungsleitung lässt keinerlei Störung des Signals
bQ für die ausgekoppelte Spannung an den Enden
zu. In diesem Fall handelt es sich um eine ab-
bestimmen. Sie ergeben sich ebenfalls mit Hilfe
geschlossene Leitung und es gilt: RL D Z0
von Gl. 13.5. Für den Brechungsfaktor bL am
(RL ist der Abschlusswiderstand). Ist die Leitung
Leitungsende gilt
nicht mit dem Leitungswiderstand abgeschlossen
(RL ¤ Z0 ), so wird die Vorwärtsspannung U1
2  RL
am Leitungsende reflektiert. Dabei bestimmt der bL D : (13.9)
Reflexionskoeffizient  die Höhe der reflektierten RL C Z0
Spannung Ur . Mit Hilfe des ohmschen Gesetzes
lässt sich der Reflexionskoeffizient folgenderma- und für den Brechungsfaktor bQ am Leitungsan-
ßen herleiten: Die Spannung am Abschlusswi- fang
derstand ist U1 C Ur , die gleich dem Produkt 2  RQ
bQ D : (13.10)
.I1 C Ir /  RL sein muss. Da beide Spannungen RQ C Z0
auf der Übertragungsleitung anliegen, gilt weiter:
I1 D U1 =Z0 und Ir D Ur =Z0 . Das Minus- Die Brechungsfaktoren können Werte von 0 bis 2
zeichen zeigt dabei die zurücklaufende Welle an, annehmen. Dies bedeutet, dass bei einem Lei-
und für die Spannung am Abschlusswiderstand tungskurzschluss keine Spannung ausgekoppelt
gilt werden kann, und dass bei einem offenen Lei-
tungsende die Leitungsspannung zum Zeitpunkt

der Reflexion den doppelten Wert erreicht. Dieser
U1 Ur
U1 C Ur D   RL : (13.5) Effekt kann für RL  Z0 die Eingangsschal-
Z0 Z0
tungen mancher digitaler Bauteile zerstören (die
Der Reflexionskoeffizient L beschreibt das Ver- meisten sind jedoch durch Schutzdioden abgesi-
hältnis der reflektierten zur ankommenden Welle chert).
und ergibt sich deshalb mit Hilfe von Gl. 13.5 zu In Abb. 13.13 sind die vier Möglichkeiten ei-
nes Leitungsabschlusses am Ausgang nochmals
RL  Z0 zusammengefasst. Nur für RL D Z0 erhält man
L D : (13.6)
RL C Z0 keine rücklaufende Welle.
Die rechte Spalte in Abb. 13.13 veranschau-
Analog zum Reflexionskoeffizienten L am Ab- licht zum Vergleich das Verhalten mechanischer
schlusswiderstand (Lastwiderstand) lässt sich Wellen eines Seiles. Auch für sie gelten die Re-
632 J. Gutekunst

Abb. 13.13 Abgeschlossene und nicht abgeschlossene Leitung

flexionskoeffizienten nach Gl. 13.6. Beim offenen auf Z0 durch einen Längswiderstand erfolgt. Ab-
Ende addieren sich vor- und rücklaufende Welle bildung 13.14 zeigt eine nach Gl. 13.8 optimal
(doppelte Amplitude am Leitungsende), während angepasste digitale Leitung.
sie sich am festgebundenen Ende zwangsweise Bei einer angepassten Leitung ist neben den
aufheben und die rücklaufende Welle deshalb ne- Reflexionskoeffizienten und den Brechungsfakto-
gativ ist. Der Fall der Anpassung ist bei diesem ren auch der Einlauffaktor der Quelle aQ von Be-
mechanischen Beispiel durch die Ankopplung ei- deutung. Er beschreibt die eingekoppelte Span-
nes gleich dicken Seiles dargestellt. nung auf die Leitung. In Abhängigkeit von RQ ist
Um eine Leitungsanpassung bei digitalen Si- der Einlauffaktor stets 1. Es gilt:
gnalen zu erreichen, muss man den niedrigen
Ausgangswiderstand und den hohen Eingangs- Z0
aQ D : (13.11)
widerstand digitaler Bauelemente (Gatter, s. RQ C Z0
Abschn. 12.1) betrachten. Die Leitungsimpedanz
wird deshalb stets größer als der Ausgangswi- Die Anpassung von Leitungen hat den großen
derstand und kleiner als der Eingangswiderstand Nachteil, dass die Nutzsignale am Leitungsende
dieser Bauteile sein. Eine Leitungsanpassung am erheblich kleiner als die eingekoppelten Signale
Leitungsende erfolgt durch einen Parallelwider- sind. Für die ideale Einkopplung am Leitungsan-
stand zum Eingang des nachfolgenden Gatters, fang (Anpassung am Leitungsanfang, RQ D Z0 )
während die Erhöhung des Ausgangswiderstands wird der Einlauffaktor aQ D 0;5 und somit nur
13 Entwicklung digitaler Schaltungen 633

Abb. 13.14 Leitungsan-


passung

die halbe Spannung auf die Leitung eingekop- muss man neben den Pegeln auch den maximal
pelt. Damit steht bei einer ideal abgeschlossenen zulässigen Ausgangsstrom des treibenden Gatters
Leitung mit Z0 D RL ebenfalls nur die hal- betrachten und eine Verlustleistungsbilanz erstel-
be Spannung am Leitungsende zur Verfügung. len. Die Leitungsimpedanz darf deshalb den mi-
Allgemein ergibt sich die Ausgangsspannung U2 nimal zulässigen Ausgangswiderstand RQ nicht
in Abhängigkeit der Leitungsanpassung und der unterschreiten.
Eingangsspannung U1 , wobei Mehrfachreflexio-
nen ausgeschlossen sind: I Hinweis: Bei Mikrostrip-Leitungen kann man
U 2 D U 1  a Q  bL durch Verändern der Leiterbahnbreite den
2  RL  Z0 (13.12) Wellenwiderstand beeinflussen und gegebe-
U2 D U1  : nenfalls hochohmiger machen.
.RQ C Z0 /  .RL C Z0 /
Da die logischen Pegel digitaler Signale
Unter Berücksichtigung des Störspannungs-
festgelegt sind (Abschn. 12.1), ist eine voll-
abstandes (Abschn. 12.1), ist auch eine definierte
ständige Leitungsanpassung ohne zusätzliche
Fehlanpassung am Leitungsende zulässig. Die
Leitungsempfänger nicht möglich. Um diesen
Pulsverformung (Über-=Unterschwinger) durch
zusätzlichen Aufwand zu vermeiden, verzichtet
die so entstehenden Mehrfachreflexionen dür-
man in der Regel auf eine Leitungsanpassung am
fen dabei keine weiteren Schaltvorgänge in
Leitungsanfang, was die vollständige Signalein-
den nachfolgenden Gattern auslösen. Abhängig
kopplung zur Folge hat (aQ D 1). Voraussetzung
von der Logikfamilie können Überschwinger
dafür ist, dass durch einen Leitungsabschluss
bis zu 20% toleriert werden. Eine Überprü-
am Leitungsende kein rücklaufendes Signal ent-
fung solcher Fehlabschlüsse erfolgt mit Hilfe
steht, das wegen Q D 1 Mehrfachreflexionen
des Lattice-Diagramms, mit dem allgemein das
hervorruft. Damit steht beispielsweise bei der
Pulsverhalten digitaler Signale auf einer Leitung
Einspeisung eines 5 V-Signals (z. B. Ausgang
beschrieben ist. Dabei werden die Reflexionsan-
eines High-Speed-CMOS-Gatters) am Leitungs-
teile an beiden Enden der Leitung überlagert, wie
ende der gesamte Pegel zur Verfügung, der direkt
nachfolgendes Beispiel veranschaulicht.
weiterverarbeitet werden kann.
I Bei einer abgeschlossenen Leitung kann eine
Beispiel 13.3-1
Anpassung am Leitungsanfang entfallen.
Auf eine Leitung wird ein Puls übertragen.
Allgemein ergibt sich damit für die Spannung Der Wellenwiderstand Z0 der Leitung beträgt
U2 am Leitungsende 50  und sie ist mit 80  abgeschlossen. Der
Ausgangswiderstand des Leitungstreibers be-
2  RL
U2 D U1  : (13.13) trägt 10 . Gesucht ist das Pulsverhalten auf
RL C Z0 der Leitung (Lattice-Diagramm) und die Puls-
Jedoch sind nicht alle Bauteile in der Lage, eine form am Eingang der nachfolgenden Schal-
abgeschlossene Leitung zu treiben. In diesem Fall tung.
634 J. Gutekunst

Tab. 13.1 Spannungsver- Laufzeit t Spannung im Punkt A Einkopplung Spannung im Punkt B Auskopplung
teilung auf der Leitung der normierten Spannung UA D 1 der gewichteten Eingangsspannung
0 aQ 0
tpd aQ aQ b L
2 tpd aQ C aQ b Q  L aQ b L
3 tpd aQ C aQ b Q  L aQ b L C aQ b Q  L  Q
4 tpd aQ C aQ b Q  L C aQ b Q  L  Q aQ b L C aQ b Q  L  Q
5 tpd aQ C aQ b Q  L C aQ b Q  L  Q aQ b L C aQ b Q  L  Q C aQ b Q  L  Q

Lösung Spannungsverteilung an den Endpunkten lässt


Als erstes bestimmt man die Reflexionskoef- sich allgemein in Form der Tab. 13.1 darstel-
fizienten L und Q nach Gl. 13.6 und 13.7 len.
sowie den Einlauffaktor aQ nach Gl. 13.10: Auf dieses Beispiel angewandt, erhält man
das zugehörige Lattice-Diagramm für fünf
80   50  Leitungslaufzeiten gemäß Abb. 13.15.
L D D 0;23;
80  C 50 
10   50  Lattice-Diagramme enthalten oft, wie Abb.
Q D D 0;67;
10  C 50  13.15 verdeutlicht, die normierte Amplitude 1.
50  Der Einkoppelfaktor aQ und die Reflexionskoef-
aQ D D 0;83 :
10  C 50  fizienten Q und L geben dann direkt die auf
die normierte Amplitude bezogenen Störgrößen
Der Brechungsfaktor ergibt sich nach Gl. 13.9 wieder. Auch der prozentuale Anteil der Amplitu-
und Gl. 13.10 zu denschwankung lässt sich direkt ableiten. Durch
die Brechungsfaktoren in den Punkten A und B
2  80 
bL D D 1;23 ; erhält man das Pulsverhalten der Leitung am Ein-
80  C 50  gang und am Ausgang, dargestellt in Abb. 13.16.
2  10 
bQ D D 0;33 : Wie Beispiel 13.3-1 zeigt, ist besonders der
10  C 50  Spannungsverlauf an den Leitungsenden gefragt,
da dort die Aus- oder Einkopplung in weitere
Die Bedeutung der Leitungslaufzeit und so-
digitale Schaltungen erfolgt. Das Spannungs-
mit der Länge soll nicht speziell berücksichtigt
verhalten in der Leitung ist deshalb nur von
werden, sondern allgemein durch die Lauf-
theoretischem Interesse, da auf dieses kein Zu-
zeit tpd (pd steht für propagation delay, Lauf-
griff erfolgt. Die Gleichungen 13.6 bis 13.11
zeitverzögerung). Das Lattice-Diagramm be-
beschreiben die Überlagerungen (Superposition)
schreibt nun die Spannungen am Eingang der
der reflektierten und anschließend ausgekoppel-
Übertragungsleitung (Punkt A) und am Aus-
ten Spannungen an den Leitungsenden. Für den
gang der Übertragungsleitung (Punkt B). In
Spannungsverlauf am Abschlusswiderstand über
horizontaler Richtung trägt man die Leitungs-
der Zeit lässt sich folgende Summenformel ablei-
länge auf und in vertikaler Richtung die Lauf-
ten:
zeit auf dieser Leitung. Zum Zeitpunkt t D 0
koppelt das digitale Signal im Punkt A mit hX
1 i
dem Einlauffaktor aQ D 0;83 ein. Nach der UL D UQ  a Q Ln1  Qn1  bL : (13.14)
nD1
Laufzeit tpd steht dieses Signal durch den Bre-
chungsfaktor bL gewichtet am Punkt B an. UQ beschreibt dabei die von der Quelle abgege-
Der reflektierte Anteil läuft mit rL multipli- bene Spannung, die mit dem Einkoppelfaktor aQ
ziert zum Ausgangspunkt A zurück, wo er sich auf die Leitung eingekoppelt wird. Die Summe
nach 2 tpd mit dem Ausgangssignal überla- in der Mitte von Gl. 13.14 beschreibt die Mehr-
gert (Superposition der Teilspannungen). Die fachreflexionen am Empfänger und Sender mit
13 Entwicklung digitaler Schaltungen 635

Abb. 13.15 Lattice-Diagramm zu Beispiel 13.3-1

Abb. 13.16 Pulsverhalten


der Leitung in den Punk-
ten A und B

den Reflexionskoeffizienten L und Q . Schließ-n gibt dabei an, wie oft das Signal nach Mehr-
lich gibt bL an, mit welchem Auskoppelfaktor diefachreflexionen an den Auskoppelpunkt ge-
Spannung am Leitungsende dem Verbraucher zur langt.
Verfügung steht. Neben diesen Punkt-zu-Punkt-Verbindungen
In gleicher Weise gilt für den Spannungsver- sind in der Digitaltechnik vor allem verzweigte
lauf am Leitungsanfang Leitungen anzutreffen. Auch für diesen Fall lässt
hX1 i sich das Lattice-Diagramm entwickeln. Voraus-
UQ D UQ0  aQ Ln  Qn1  bQ I setzung ist die Kenntnis der einzelnen Leitungs-
n1 impedanzen, dargestellt in Abb. 13.17.
für n D 0 (keine zurücklaufende Welle) Im Verzweigungspunkt entsteht eine Diskonti-
ist UQ D UQ0 : (13.15) nuität, die sich für alle Teilstrecken wie ein Fehl-
636 J. Gutekunst

aller Impedanzkehrwerte steht, ändert sich der


Brechungsfaktor unterschiedlicher Leitungen
(Z2 , Z3 ) im Verzweigungspunkt nicht. Damit
gilt für die treibende Leitung

b1 2 D b1 3 D b1 4 D b1 n D b1 ;
(13.18)
allgemein: bnm D bn :

Der Brechungsfaktor in einem Verzweigungs-


punkt ist in allen abzweigenden Leitungen gleich.
Abb. 13.17 Leitungsverzweigung Das nachfolgende Beispiel veranschaulicht die
Verhältnisse an einem Verzweigungspunkt.
abschluss verhält. Reflexionen entstehen, und nur
Beispiel 13.3-2
ein Teil der Signalspannung kann in die weiter-
Das Pulsverhalten der Schaltung ist nach
führenden Leitungen eingekoppelt werden.
Abb. 13.18 mit Hilfe des Lattice-Diagramms
I Jede Leitungsverzweigung stellt eine Diskonti- zu ermitteln. Die Leitungsimpedanz ist
nuität der Leitung dar. Z D 100 .

Mit Hilfe der Kirchhoff’schen Gesetze (Ab- Lösung


schn. 1.3) lassen sich die einzelnen Reflexions- Der Verzweigungspunkt B stellt die Diskon-
koeffizienten bestimmen. Allgemein gilt für be- tinuität der Leitungsimpedanz dar. An die-
liebig viele Leitungen mit unterschiedlichen Lei- ser Stelle gilt für die Leitungsreflexion nach
tungsimpedanzen Z für den Reflexionskoeffizi- Gl. 13.15
enten 1 der Leitung 1 mit der Leitungsimpedanz
Z1 (Abb. 13.14): 1=Z1  1=Z2  1=Z3
B D
1=Z1 C 1=Z2 C 1=Z3
1=Z1  1=Z2  1=Z3  1=Z4  : : : 1=Z1 1
1 D : D D :
1=Z1 C 1=Z2 C 1=Z3 C 1=Z4 C : : : 3=Z1 3
(13.16)
Da alle drei Leitungsimpedanzen Zn gleich
In gleicher Weise lassen sich die Reflexionskoef-
sind (Z1 D Z2 D Z3 D Z0 ), ergibt sich unab-
fizienten der anderen Leitungen in Bezug auf den
hängig von Z1 der Reflexionsfaktor im Punkt
Verzweigungspunkt berechnen (Tausch der Indi-
B zu B D 0;33. Für das nicht abgeschlos-
zes).
sene Ende C und das abgeschlossene Ende D
Der Anteil der Überkopplung auf eine der Lei-
erhält man die Reflexionskoeffizienten D D 0
tungen ergibt sich aus dem Brechungsfaktor bnm .
und C D 1.
Dabei gibt n die treibende Leitung und m die
Im Punkt A wird ideal eingekoppelt, hier-
Leitung an, in die eingekoppelt wird. Für die Ein-
durch ergeben sich A D 0 und aQ D 0;5.
kopplung eines Signals von der Leitung 1 in die
Die Brechungsfaktoren bnm für den Ver-
Leitung 2 ergibt sich so der Brechungsfaktor b12
zweigungspunkt B erhält man nach Gl. 13.16:
nach Gl. 13.17:
1=Z1 1=Z1 2
b1 2 D 2 : bnm D 2  D D b:
1=Z1 C 1=Z2 C 1=Z3 C 1=Z4 C : : : 1=Z1 C 1=Z2 C 1=Z3 3
(13.17)
Da alle Leitungsimpedanzen gleich sind, ist
Da im Zähler lediglich der Kehrwert der Lei- auch der Brechungsfaktor in allen Richtungen
tungsimpedanz Z1 und im Nenner die Summe gleich.
13 Entwicklung digitaler Schaltungen 637

Abb. 13.18 Leitung mit Stichleitung

Mit diesen Angaben lässt sich das Lattice- stand nicht. Die maximale Leitungslänge lmax
Diagramm nach Abb. 13.19 aufzeichnen. In lässt sich somit recht einfach bestimmen zu
Abb. 13.19b ist das Pulsverhalten an den vier
tS
Punkten A, B, C und D dargestellt. (Das Dia- lmax D : (13.19)
gramm wurde auf den Punkt A normiert.) 2 tpd

Dabei gibt tS die Schaltflankenzeit des Bauteiles


Diese Beispiele zeigen, dass bei der Berech- (entweder die Anstiegszeit tr oder die Abfallzeit
nung des Leitungsabschlusses in erster Linie die tf ) an. Mit tpd ist die Laufzeit auf der Leitung
Kenntnis des Wellenwiderstandes der Leitung (engl.: propagation delay) pro Längeneinheit (in
notwendig ist. Wie Gl. 13.3 veranschaulicht, ist cm, Fuß oder Zoll) beschrieben.
dieser vor allem von der Leitungsinduktivität L0
und -kapazität C0 abhängig. In Tab. 13.2 ist die Unbelastete offene Leitung Bei einer unbelas-
Leitungsimpedanz Z für die wichtigsten Leitun- teten Leitung ergibt sich die leitungsspezifische
gen zusammengestellt. Laufzeit tpd , auch Intrinsic-Laufzeit genannt. Sie
hängt ausschließlich von der Leitungskapazi-
13.3.2.2 Offene Leitung tät C0 (Intrinsic-Kapazität) und -induktivität L0
Abgeschlossene Leitungen haben den Nachteil, (Intrinsic-Induktivität) ab:
dass nach dem Spannungsteiler Prinzip ein deut-
p
lich geringerer Pegel am Ende der Leitung zur tpd D C0  L0 : (13.20)
Verfügung steht (s. Gl. 13.12). Dies macht oft
den Einsatz spezieller Leitungsempfänger not- Mit Hilfe von Gl. 13.4 lässt sich für die Intrinsic-
wendig, die die Spannung wieder in den für di- Laufzeit tpd auch schreiben
gitale Bauelemente spezifizierten Pegel umsetzen
(Abschn. 12.1). In den meisten Fällen kann man tpd D C0  Z0 : (13.21)
von einem Leitungsabschluss absehen. Dies gilt
vor allem dann, wenn die Reflexion noch wäh- Diese Intrinsic-Laufzeit gilt nur für eine unbe-
rend des Schaltvorgangs der Flanke erfolgt, also lastete Leitung. Die Intrinsic-Angaben beziehen
für elektrisch kurze Leitungen. Für diesen Fall sich dabei immer auf ein begrenztes Leitungsele-
gelten die Gleichungen für den statischen Zu- ment, meist auf 1 cm oder 1 Zoll (2,54 cm).
638 J. Gutekunst

Abb. 13.19 Lattice-Diagramm und Pulsverhalten für Beispiel 13.3-2. a Lattice-Diagramm, b Pulsverhalten

Belastete offene Leitung Sämtliche digitalen (CL =Z0 ), von der Schaltflanke (tS ) und der
Bauelemente besitzen eine definierte Eingangs- Intrinsic-Laufzeit (tpd ) abhängig, wie Gl. 13.25
kapazität CL . Diese Eingangskapazität ändert zeigt:
die Eigenschaften der Signalleitung. Sowohl der
Wellenwiderstand Z0 als auch die Leitungslauf- 02 CL 0 t2
lmax C lmax  2S D 0 : (13.25)
zeit tpd sind davon maßgeblich betroffen. Für den C0 tpd
0
tatsächlichen Wellenwiderstand Z0 gilt
Da es keine negativen Leitungslängen gibt, ist die
0 Z0
Z0 D p (13.22) einzige Lösung der Gl. 13.25
1 C CL =C0
s
und für die tatsächliche Leitungslaufzeit 0 1 CL 1 CL2 tS2
lmax D  C C : (13.26)
p 2 C0 2 C02 2
tpd
0
tpd D Z0  C0 1 C CL =C0 ;
0
p Wie wichtig diese Betrachtung der Leitungslänge
tpd D tpd 1 C CL =C0 : (13.23)
in Abhängigkeit von der angesteuerten Gatterzahl
Die beiden Gleichungen zeigen, dass der tat- ist, zeigt Tab. 13.3 am Beispiel der Streifenlei-
sächliche Wellenwiderstand Z 0 mit zunehmen- tung.
0
der kapazitiver Belastung abnimmt, und die tat-
0 Streifenleiter (Microstrip-Leitung) Die ge-
sächliche Leitungslaufzeit tpd zunimmt (C0 ist
die Intrinsic-Kapazität der Leitung und wird in bräuchlichsten Leitungen bei der Herstellung
pF=cm angegeben, CL ist die Summe der kapa- einer gedruckten Leiterplatte (engl.: PCB, Printed
zitiven Last der Gattereingänge). Damit verkürzt Circuit Board) sind die einfachen Streifenlei-
sich die maximale Leitungslänge lmax auf die tat- ter (Microstrip) und die Triplate-Streifenleiter
0 (Tab. 13.2 und Abschn. 1.9.2). Vor allem die Strei-
sächliche Leitungslänge lmax :
fenleiter gewinnen durch die Verwendung von
0 0
lmax D tS =tpd : (13.24) Multilayerplatinen (Mehrlagenleiterplatte) mit
zusätzlichen Masseebenen immer mehr an Be-
Setzt man Gl. 13.23 in 13.24 ein, so erhält man deutung (Abb. 13.20 und Abschn. 1.9.2).
für die maximale Leitungslänge eine quadra- Streifenleiter sind die typische Verbindung
tische Gleichung. Sie ist vom Verhältnis der auf zweiseitigen und mehrlagigen Leiterplatten.
Eingangskapazitäten zur Intrinsic-Kapazität Am gebräuchlichsten sind heute Materialien aus
13 Entwicklung digitaler Schaltungen 639

Tab. 13.2 Leitungen für digitale Signale und ihr Wellenwiderstand


Leitung Geometrie Wellenwiderstand Z Bemerkung
 
Frei verdrahtete Z D p60"r ln 4dh Gilt für h  d
Leitung über einer
Massefläche
(„wire over ground“)

 
Koaxial-Kabel ZD 60
p ln D Der Wellenwiderstand koaxia-
"r d
ler Kabel wird meist von den
Herstellern bereits festgelegt.

 
Verdrillte Leitung ZD 120
p ln 2D Neben den geometrischen
"r d
(Twisted Pair-Leitung) Bedingungen hängt Z auch
von der Anzahl Schleifen pro
cm ab.

Flachbandkabel Wechseln sich Masse- und Si-


gnalleitungen ab, so existiert
ein bestimmter Wellenwi-
derstand. Dieser ist von der
Geometrie und dem Material
abhängig.
 
Streifenleiter ZD p 87 ln 5;98 h Am meisten verwende-
(Microstrip-Leitung) "r C1;41 0;8 wCd
te Technik. Gilt auch für
Mehrlagen-Leiterplatten
(Multi-Layer).

 
Zweiseitig geschirmter ZD 60
p ln 4b Wird nur in besonderen Fällen
"r d
Streifenleiter (Strip- 0;67 w  .0;8C w / verwendet, wie beispielsweise
Leitung oder Triplate- in der HF-Technik.
Streifenleiter)

glasfaserverstärktem Epoxidharz. Sie haben bei- Die Intrinsic-Laufzeit tpd ist beim Streifenlei-
spielsweise die Bezeichnung FR-4 oder G-10 ter ausschließlich von der Permittivitätszahl "r
und bei einer Frequenz von 1 MHz eine typische abhängig. Die geometrischen Ausdehnungen ha-
Permittivitätszahl "r von 4,8 bis 5,4 (FR-4) und ben dabei keine Bedeutung. Es gilt
5,0 bis 5,4 (G-10). Der Wellenwiderstand einer p
Microstrip-Leitung ergibt sich nach Tab. 13.2 zu tpd D 0;0332 0;475 "r C 0;67 : (13.28)
 
87 5;98  h Für die weit verbreiteten glasfaserverstärkten
Z0 D p ln :
"r C 1;41 0;8  w C d Epoxidharzleiterplatten der Bezeichnung „FR-4“
(13.27) ("r D 5) erhält man so eine Intrinsic-Laufzeit tpd
von
Abbildung 13.21 zeigt einen Querschnitt durch
einen Streifenleiter. tpd-FR-4 D 0;0583 ns=cm: (13.29)
640 J. Gutekunst

Tab. 13.3 Leitungslängen in Abhängigkeit von der Anzahl Gatter (Werte gelten für eine Leiterbahnbreite von
0,254 mm)
Aufbau der Leiterplatte 2seitige Leiterplatte 4-Lagen-Multilayer
(Abstand der Leiterbahnen 1,5 mm) (Abstand der Leiterbahnen 0,3 mm)
Angekoppelte Gatter Logikfamilien
AC, AS, S HC, LS, ALS AC, AS, S HC, LS, ALS
(Schaltflanken: 2 ns) (Schaltflanken: 5 ns) (Schaltflanken: 2 ns) (Schaltflanken: 5 ns)
in cm in cm in cm in cm
1 13,02 37,89 14,60 39,90
2 10,07 33,54 12,47 37,15
3 8,01 29,80 10,73 34,61
4 6,59 26,59 9,33 32,28
5 5,51 23,86 8,18 30,14
6 4,73 21,54 7,25 28,19
7 4,13 19,56 6,48 26,41
8 3,67 17,86 5,84 24,79
9 3,29 16,40 5,32 23,31

Abb. 13.20 Aufbau eines Streifenleiters, zweilagig


Abb. 13.21 Aufbau eines 4-Lagen-Multilayers

Mehrebenen-Leiterplatten (Multilayer) Da
die Intrinsic-Laufzeit tpd nicht von den geo-
metrischen Abmessungen eines Streifenleiters diese Leitungen gelten in Abhängigkeit vom Ab-
abhängt, bleibt sie auch bei Mehrebenen- stand zur Masseebene die Gl. 13.13 bis 13.29.
Leiterplatten konstant. Dagegen ist der Wellen- Mit der Entwicklung immer schnellerer di-
widerstand Z0 der einzelnen Leitungen nach gitaler Schaltkreise hat die Bedeutung der
Gl. 13.27 vom Abstand der Leitung zur Mas- Leitungslängen auf gedruckten Schaltungen
seebene abhängig. Abbildung 13.21 zeigt einen zugenommen. So haben die heute üblichen Gat-
Querschnitt durch eine Vierlagen-Mehrebenen- terschaltungen (z. B. HCMOS, Abschn. 12.1.4)
Leiterplatte mit typischen Werten für die geome- Anstiegsflanken von 6 ns, neuere Gatterschal-
trischen Abmessungen. tungen, wie beispielsweise die Advanced-
Bei diesem Multilayer mit vier Ebenen wer- High-Speed-CMOS-Familien (Abschn. 12.1.4)
den die mittleren beiden Lagen als Spannungs- sogar nur noch 2 ns. Die Eingangskapazität der
versorgungsebenen ausgeführt. Lage 2 wird da- Gatter beträgt 5 pF (HC) und 4,5 pF (AC). In Ab-
bei als Masselage und Lage 3 als 5 V-Lage defi- hängigkeit von der Schaltflanke und der Anzahl
niert. Man erhält dadurch eine ganzflächige Ka- der angesteuerten Gatter lässt sich mit Gl. 13.26
0
pazität, die wegen fehlender Induktivitätsanteile die maximale Leitungslänge lmax bestimmen. Für
sehr gute Hochfrequenzeigenschaften besitzt. Die n D 1 bis 10 sind diese in Tab. 13.3 zusammen-
äußeren beiden Lagen sind die Signallagen. Für gefasst.
13 Entwicklung digitaler Schaltungen 641

Die Notwendigkeit zur Berechnung der Lei-


tungslängen sowie die Problematik der Leitungs-
belastung bei komplexen Schaltungen zeigt das
folgende Beispiel.

Beispiel 13.3-3
Eine Rechnerkarte mit Speicher soll entwi-
ckelt werden. Der Daten- und Adressbus führt
zu insgesamt 6 Speicherbauteilen und zu ei-
nem Bustransceiver, der die Peripherie steuert.
Um zwischen den Anschlusspunkten der Bau-
elemente hindurchfahren zu können, wählt
man eine Leiterbreite von 0,5 mm (Dicke
d D 17 m). Die Speicherbauteile haben
eine Eingangskapazität von CL D 4 pF,
der Bustransceiver von CL D 5 pF. Bei ei-
ner Taktfrequenz von f D 12 MHz treten
Schaltflanken von 3 ns auf. Die Karte ist als Abb. 13.22 Leitungseinkopplung über Widerstände
Multilayer (FR-4) mit vier Lagen ausgeführt,
wobei die Signallagen zu den Spannungslagen
einen Abstand von h D 0;3 mm haben. Die (Abschn. 12.2) reicht dieser Platz gerade noch
maximale Buslänge ist zu bestimmen und das aus (Gehäusebreite: 1,5 cm).
je Auskopplung notwendige Teilstück.
Dieses Beispiel und die Tab. 13.3 zeigen, dass
Lösung die maximale offene Leitungslänge vor allem von
Nach Gl. 13.27 erhält man für den Wellenwi- der Schaltflanke tS in Verbindung mit der kapa-
derstand der Leitung Z0 D 50;14 . zitiven Last CL abhängt. Soll auch bei größeren
Mit Hilfe der Gln. 13.29 und 13.19 für Schaltungen von einem Leitungsabschluss abge-
die konstante Intrinsic-Laufzeit erhält man die sehen werden, oder werden sehr viele Bauteile
Intrinsic-Kapazität C0 : von einer Leitung aus angesteuert, so kann mit
Hilfe eines Längswiderstandes RQ die Schalt-
C0 D tpd =Z0 D 1;1 pF=cm: flanke abgeflacht werden. Die Leitungskapazität
C0CL (Intrinsic-Kapazität plus alle Eingangska-
Die kapazitive Last CL der Leitungen ergibt pazitäten) und der Längswiderstand RQ (Quel-
sich aus der Summe der Eingangskapazitäten lenwiderstand) bilden dabei ein Zeitglied:
zu
L D RQ  Cgesamt : (13.30)
CL D 6  4 pF C 5 pF D 29 pF:
RQ ist dabei identisch mit dem Einkoppelwider-
Damit sind alle notwendigen Größen zur Län- stand RQ bei Leitungsanpassung in Gl. 13.7.
genbestimmung bekannt, und Gl. 13.26 ergibt Daher gelten auch die entsprechenden Gleichun-
0
schließlich lmax D 15;73 cm. gen für den Einkoppelfaktor aQ und das Span-
Da an diesen Bus insgesamt sieben Bau- nungsverhalten auf der Leitung. Um die Ein-
teile angeschlossen werden, steht zwischen koppelverluste möglichst klein zu halten, sollte
den Anschlüssen eine durchschnittliche Ver- RQ deutlich kleiner als der Wellenwiderstand der
bindungslänge dx von dx D 15;73=7 D Leitung gewählt werden. Damit sind die Mög-
2;25 cm für die Bauteile zur Verfügung. Für lichkeiten einer „Leitungsverlängerung“ einge-
heute übliche Speicher im DIL 28-Gehäuse schränkt. Abbildung 13.22 zeigt den Adressbus
642 J. Gutekunst

eines Mikroprozessor-Systems, bei dem über Wi- nen sind. Nur sehr schnelle Oszilloskope sind in
derstände eingekoppelt wird. der Lage, diese kurzen Ereignisse sichtbar zu ma-
chen.
Besonders in flankengetriggerten Systemen
13.4 Störfreier Entwurf können Glitches zu Fehlfunktionen führen.
digitaler Schaltungen Damit wird deutlich, dass Glitches in zustands-
(Glitch-Free-Design) gesteuerten Netzwerken, die auf Pegel reagieren,
kaum Schaden anrichten. Überall dort, wo Zu-
Nach der ausführlichen Erläuterung der Schal- stände mit einer Flanke (egal ob positiv oder
tungssynthese (Entwicklung und Aufbau einer negativ) übernommen werden, können sie die
Schaltung) und deren Optimierung in den vorigen prinzipielle Funktion der Schaltung blockieren.
Abschnitten sollen in diesem Abschnitt die mög-
lichen Störungen behandelt werden, die durch Entstehung der Glitches Glitches entstehen
eine Schaltungsminimierung auftreten können. durch Laufzeitunterschiede in den einzelnen
Die Minimierung einer Schaltung bedeutet Schaltungsteilen. Sind die Verknüpfungswege
stets die Realisierung der Funktion mit gerings- der einzelnen Eingangsvariablen beispielsweise
tem Aufwand. Dabei lässt man die dynamischen unterschiedlich lang, so kann bis zur Gültig-
Schaltvorgänge und die Gatterlaufzeiten zu- keit des Ergebnisses der Ausgang für kurze Zeit
nächst außer Acht. Um die Funktion unter einen anderen Zustand einnehmen und erzeugt
realen Bedingungen zu gewährleisten, muss man so einen Glitch. Laufzeitunterschiede können vor
die Schaltung nicht nur auf ihre logische Ver- allem durch unterschiedlich komplexe Signal-
knüpfung hin, sondern auch auf ihr zeitliches zweige entstehen. Bereits bei der Entwicklung
Verhalten hin optimieren. Zur Vermeidung von von Schaltungen muss man darauf achten, dass
Fehlfunktionen ist deshalb besonders auf den die Signallaufzeit in allen Zweigen annähernd
Ausgleich von Gatterlaufzeiten zu achten. gleich ist. Abbildung 13.23 zeigt zwei Schal-
Deshalb fügt der Planer oft nach der Mini- tungsvarianten, die beide derselben Booleschen
mierung wieder zusätzliche Bauteile (redundante Verknüpfung genügen. In Abb. 13.23a treten
Bauteile) ein. Redundante Bauteile haben keinen jedoch erhebliche Laufzeitunterschiede zwi-
Einfluss auf die Booleschen Gleichungen, sind al- schen den Signalen auf, wie die Tabelle für die
so logisch neutral. Sie beeinflussen jedoch durch Punkt-zu-Punkt-Laufzeit zeigt. Durch partielle
zusätzliche Gatterlaufzeiten das Zeitverhalten der Verknüpfung werden diese in Teilbild b vollkom-
Schaltung. Eine zeitliche Anpassung durch re- men ausgeglichen, so dass alle Eingangssignale
dundante Bauteile wird dann notwendig, wenn dieselben Laufzeiten bis zum Ausgang erhal-
Laufzeitunterschiede so genannte „Glitches“ ent- ten.
stehen lassen. Das Beispiel in Abb. 13.23 zeigt den
Unter Glitches versteht man sehr kurze Stör- Ausgleich von Laufzeitunterschieden ohne re-
pulse, die sowohl positiv (während einer logi- dundante Bauteile. Auch die Verwendung von
schen „Null“) als auch negativ (während einer verschiedenen Logikfamilien (z. B. Schottky und
logischen „1“) entstehen können. Obwohl sie nur LSTTL, Abschn. 12.1) innerhalb einer Schaltung
wenige Nanosekunden lang sind, können sie die kann wegen ihrer unterschiedlichen technischen
nachfolgenden Gatter zum Umschalten veranlas- Eigenschaften (z. B. Schaltgeschwindigkeit oder
sen. Da diese Glitches ungewollte Signale sind, Logikpegel) Glitches verursachen.
sorgen sie immer für eine Störung im digitalen
Signalablauf. Beispiel 13.4-1
Sie bestehen im Wesentlichen aus einer anstei- Die Variablen A, B, C und D treten am Aus-
genden und einer abfallenden Flanke (die Puls- gang eines Registers stets zum gleichen Zeit-
breite ist vernachlässigbar klein), wodurch sie mit punkt auf (sie sind durch das Register syn-
normalen Messmethoden oft gar nicht zu erken- chronisiert). Die Variable D soll dabei das
13 Entwicklung digitaler Schaltungen 643

Abb. 13.23 Vermeidung von Laufzeitunterschieden. a Sequentielle Verknüpfung der Eingangsvariablen A bis D, b Par-
allele Verknüpfung der Eingangsvariablen A bis D

Abb. 13.24 Störunterdrückung durch redundante Bauelemente. a Verknüpfung mit unterschiedlichen Laufzeiten,
b Verknüpfung mit Ausgleich der Laufzeiten

Verknüpfungsergebnis von A, B und C an eine Null, so dass das UND-Gatter gesperrt


ein Flip-Flop (FF) freigeben oder sperren. Das wird. Doch bis sich diese Verknüpfung durch
FF hat dabei die Aufgabe, ein eintretendes die Gatter fortpflanzt, liegt noch die vorherge-
Ereignis zu speichern (0–1 Übergang). Die hende „1“ an. Es entsteht somit für die Dauer
Boolesche Gleichung (Abschn. 11.3) für das der Verknüpfungszeit ein Glitch. Die logische
nachfolgende Flip-Flop lautet Verknüpfung erfolgt mit der in Abb. 13.24 auf-
gezeigten Schaltung.
Y D ..A C B/ C C /  D : Die Verknüpfung der Eingangsvariablen
A, B und C nimmt einige Nanosekunden in
Der Ausgangszustand ist A D 1, B D 0, Anspruch, so dass für diese kurze Zeit der
C D 0, D D 0. Der Ausgang Y ist damit 0, da vorherige Verknüpfungswert durch die Ein-
durch D gesperrt. Bei der nächsten Ausgabe gangsvariable D freigegeben wird. Mit dem
der Variablen sollen die NOR-Verknüpfungen Pulsfahrplan nach Abschn. 13.2 lässt sich der
freigegeben werden (D D 1) und gleich- Zusammenhang auf einfache Weise grafisch
zeitig soll die Variable A null werden. Die darstellen. Abbildung 13.25 zeigt dieses Zeit-
logische Verknüpfung im NOR-Zweig ergibt verhalten sowie den mit einer Oszilloskop-
644 J. Gutekunst

Abb. 13.25 Entstehung eines Glitches

Kamera aufgenommenen Glitch. Das obere in der sehr komplexen Dekodierung einer
Signal entspricht dabei dem Freigabesignal D. Speicheradresse, die auf dem Chip in einen
Auf dem Foto in Abb. 13.25 ist deutlich Spalten- und Reihenvektor umgesetzt wird
zu erkennen, dass der Glitch erst dann ent- (Abschn. 12.3). Das nachfolgende Beispiel
steht, wenn das Freigabesignal D den logi- verdeutlicht die entstehenden Laufzeitunter-
schen Zustand 1 erreicht hat. Von da an dau- schiede.
ert es etwa 12,5 ns, bis die Verknüpfung von
A, B und C wieder die Null bewirkt. Die
Ursache des Glitches liegt im Laufzeitunter- Beispiel 13.4-2
schied zwischen der Verknüpfung und dem Am Beispiel einer Schaltung, die einen Spei-
Freigabesignal D. Dieser lässt sich durch das cher mit einer Taktfrequenz von 1 MHz aus-
Angleichen der Laufzeiten vermeiden, wie in liest, sollen die Laufzeitunterschiede inner-
Abb. 13.24b zu sehen ist. Dabei fügt man in halb von Bauelementen aufgezeigt werden.
die Freigabeleitung D zwei Inverter als re- Man betrachtet dabei nur eine Datenleitung
dundante Bauelemente ein. Für den logischen der Schaltung (Abb. 13.26), die ein Pulsmus-
Zustand des Signals verhalten sie sich neutral, ter zur Verfügung stellen soll. Der Ringzähler
zeitlich werden jedoch zwei Gatterlaufzeiten sorgt dafür, dass sich dieses Pulsmuster stän-
hinzuaddiert. Damit entspricht die Signallauf- dig wiederholt.
zeit für D in etwa der Verknüpfungszeit der Möchte man nun eine „0“ für die Dau-
NOR-Gatter. er von 20 Taktzyklen auslesen, so muss der
Nicht nur der eigene Entwurf einer Schal- Ringzähler 20 Speicherzellen nacheinander
tung enthält Quellen, aus denen Glitches ansprechen, die eine Null enthalten. Durch
entstehen können; auch käufliche Bauelemen- die Zeilen-=Spaltendekodierung innerhalb des
te können unterschiedliches Laufzeitverhalten Speichers entstehen unterschiedliche Lauf-
aufweisen. Am deutlichsten wird dies bei zeiten zu den adressierten Speicherzellen.
großen Speicherbauteilen. Dort zeigen sich Erst im eingeschwungenen Zustand (alle De-
zum Teil erhebliche Unterschiede in der kodierlaufzeiten sind verstrichen) liegt am
Zugriffszeit auf die verschiedenen Speicher- Ausgang der Inhalt der angewählten Speicher-
zellen und deren Inhalt. Dies liegt vor allem zelle. Während des Dekodiervorgangs kann
13 Entwicklung digitaler Schaltungen 645

verschiebt sich dadurch um die halbe Taktpe-


riode.
Eine oft unterschätzte Gefahrenquelle für
Glitches sind asynchrone Zählerbauteile (z. B.
74HC393). Jeder Zählerausgang ist vom Zu-
stand der vorherigen Zählerausgänge abhän-
gig und kann erst nach deren stabilen Zustand
durch eine weitere Verknüpfung gebildet wer-
den. Bei asynchronen Zählern ist es deshalb
wichtig, immer den eingeschwungenen Zu-
stand abzuwarten (Abschn. 12.2.2). Die dafür
Abb. 13.26 Pulsmustererzeugung mit Speicher
notwendigen Zeiten sind aus den Datenbü-
chern zu entnehmen.

der Ausgang einen beliebigen Zustand anneh-


men. Der Pull-up-Widerstand am Ausgang 13.5 Phase Locked Loop
sorgt für diese Zeit, dass eine „1“ anliegt.
Das linke Foto in Abb. 13.27 zeigt den 13.5.1 Grundlagen
Ringzählertakt (unten) und die am Speicher-
ausgang entstandenen Glitches (oben) durch Der Phase Locked Loop (PLL) ist ein Regelkreis,
die Dekodierungszeiten, während die Nullen der Phasenunterschiede und Frequenzunterschie-
ausgelesen werden. de zweier Signale erfassen und ausgleichen kann.
Durch eine weitere Synchronisation kann Er wird deshalb Phasenregelkreis oder Nachlauf-
man diese Glitches ausblenden. Das rechte Fo- filter genannt. Die mathematische Behandlung
to zeigt das Eingangssignal vor und darunter des PLL ist äußerst schwierig; deshalb wird
nach der Synchronisation. Synchronisiert wur- nur die grundlegende Funktionsweise von PLL-
de auf die ansteigende Flanke des Ringzäh- Schaltungen, hauptsächlich des digitalen PLL,
lertaktes (rechtes Foto), die genau zwischen beschrieben. Abbildung 13.28 zeigt das Block-
zwei Glitches liegt. Das ursprüngliche Signal schaltbild des PLL.

Abb. 13.27 Glitches am Speicherausgang


646 J. Gutekunst

digitale PLL (Abschn. 13.5.2). Die Eingangsin-


formation des Phasenvergleichers sind digitale,
also rechteckförmige Signale.
Die Beschreibung der einzelnen Funktions-
blöcke erfolgt am Beispiel des linearen PLL.
Der Phasenvergleicher erhält dabei das Refe-
renzsignal URef als Cosinus-Schwingung nach
Gl. 13.31:

URef .t/ D URef  cos.!Ref t C 'Ref / : (13.31)

Die Referenzfrequenz !Ref (auch Grundschwin-


gung genannt) besitzt die Phasenverschiebung
Abb. 13.28 Grundschaltung eines PLL 'Ref . Das zweite Signal am Eingang des Phasen-
vergleichers ist das VCO-Signal UN , das durch
den Teiler gewichtet (bewertet) ist. Allgemein gilt
Wichtigster Bestandteil des PLL ist der Pha-
für das Signal UN .t/ im Rückwärtspfad
senvergleicher (engl.: Phase Detector), kurz
PD-Glied genannt. Ihm folgt ein Tiefpassfilter TP UN .t/ D UN  cos.!N t C 'N / : (13.32)
mit einer bestimmten Durchlasskennlinie H (!).
An dessen Ausgang steht eine Steuerspannung UN .t/ ist direkt abhängig von dem Ausgangssi-
UTP , die den spannungsgesteuerten Oszillator gnal Uv .t/ des spannungsgesteuerten Oszillators;
VCO (Voltage Controlled Oscillator) in seiner deshalb gilt
Frequenz beeinflusst. PD-Glied, Tiefpass und
der VCO bilden den Vorwärtspfad. Im Rück- Uv .t/ D Uv  cos .!v t C2Kf UTP C'v / : (13.33)
wärtspfad liegt ein fester oder programmierbarer ! t ist dabei die Mittenfrequenz des VCO und
v
Teiler, der die Ausgangsfrequenz des VCO durch 2K U die durch die Tiefpassspannung U
f TP TP
den Wert N teilt. Diese wesentlich geringere erzwungene Frequenzabweichung von der Mit-
Frequenz wird wiederum dem Phasenvergleicher tenfrequenz. Bei negativer Spannung U verrin-
TP
PD zugeführt. Der PLL ist damit in der Lage, gert sich die Ausgangsfrequenz des Oszillators;
in Abhängigkeit von N die Ausgangsfrequenz ist U positiv, so erhöht sie sich. Die Phasenlage
TP
des VCO auf das N -fache der Referenzfrequenz des VCO-Ausgangssignals wird mit ' bezeich-
v
einzustellen. net. Den Zusammenhang zwischen Ein- und Aus-
Die Parameter dieser vier Funktionsblöcke gangssignal des Teilers beschreibt Gl. 13.34:
werden durch den Buchstaben K gekennzeichnet,
so dass sich für einen PLL vier Übertragungspa- UN .t/ D KN  Uv .t/ : (13.34)
rameter ergeben:
KP Übertragungsparameter des Phasenverglei- Mit Gl. 13.33 und 13.34 erhält man schließlich
chers, die Beziehung für das zweite Eingangssignal UN
Kf Übertragungsparameter des Tiefpassfilters, des PD-Gliedes:
Kv Übertragungsparameter des VCO, UN .t/ D Uv  KN
KN Übertragungsparameter des Teilers.
1
Aufgrund der Beschaffenheit des Phasenver-  cos .!v t C 2  Kv UTP C 'v / :
N
gleichers unterscheidet man vier PD-Typen. Bei (13.35)
einem PLL vom PD-Typ 1 spricht man von ei-
nem linearen PLL, da sämtliche Bauteile analoge Die Eingangssignale des PD-Gliedes für einen
Bauteile sind, die im linearen Bereich betrieben PLL des Typs 1 (linearer PLL) sind damit
werden. Seine Eingangsspannungen sind sinus- bekannt. Der Phasenvergleich im PD-Glied er-
förmig. Die PLL mit den PD-Typen 2 bis 4 sind folgt durch einfache Multiplikation der beiden
13 Entwicklung digitaler Schaltungen 647

Cosinus-Schwingungen. Unabhängig von den Gleichungen in Gl. 13.36 ein, so ergibt sich für
Phasenverschiebungen sei mit Hilfe der trigo- den Durchlassbereich des Tiefpasses die Diffe-
nometrischen Produktformel in Gl. 13.36 die renz der beiden Phasen:
Zurückführung der Multiplikation auf eine einfa-
'P D 'v  'Ref : (13.38)
che Addition bzw. Subtraktion gezeigt. Es gilt
Aus der Phasendifferenz 'v  !Ref lässt sich die
cos.x/ cos.y/ Steuerspannung Ua am Ausgang des PD-Gliedes
1 ableiten. Mit den obigen Gleichungen erhält man
D .cos .x  y/ C cos .x C y// :
2 nun die Beziehungen für die Übertragungspara-
(13.36) meter K einer Phasenregelschleife:

Aus Gl. 13.36 lässt sich leicht erkennen, dass dUa


KP D V=rad; (13.39)
für zwei gleiche Frequenzen cos.x/ und cos.y/ d'
die Differenz null wird und die Summe den d!
doppelten Wert ergibt. Dies bedeutet eine Fre- Kv D Hz=V: (13.40)
dUTP
quenzverdopplung nach der Multiplikation. Der
Tiefpass TP mit dem Übertragungsparameter Kf Die Übertragungsfunktion des VCO Kv in
hat somit die Aufgabe, diesen doppelt so hohen Gl. 13.40 setzt dabei einen linearen Zusammen-
Frequenzanteil herauszufiltern, um ein Einrasten hang zwischen der Ausgangsfrequenzänderung
auf harmonische Frequenzen (Frequenzen, die d! und der Änderung der Steuerspannung UTP
ganzzahlige Vielfache der Grundfrequenz sind) am Eingang voraus. Schreibt man anstelle der
zu vermeiden. Einen idealen Tiefpass hat bei Frequenz die Ableitung der Phase (! D d'=dt)
normierter Amplitude die Übertragungsfunkti- und führt eine Laplace-Transformation durch,
on H.!/: so erhält man die Übertragungsfunktion K0 des
( Oszillators:
1 für ! < !g d! Kv
H.!/ D (13.37) K0 D D : (13.41)
0 für ! > !g dUTP  s s
In der Literatur kommt für K0 auch die
Die Grenzfrequenz !g bestimmt dabei den zu-
Bezeichnung GVCO .s/ vor, um die Laplace-
lässigen Frequenzanteil, den das Tiefpassfilter
Transformation zu verdeutlichen. Der Übertra-
durchlässt. Die daraus direkt abgeleitete Steuer-
gungsparameter Kf des Tiefpassfilters ergibt sich
spannung für den VCO stellt wiederum dessen
direkt aus der Übertragungsgleichung H (!) des
Frequenz auf den entsprechenden Wert ein.
Tiefpasses:
Sind beide Eingangsfrequenzen des PD-
Gliedes gleich (!Ref D !N ), so ist der PLL Ua .t/
Kf D D H .!/: (13.42)
eingerastet. Wegen cos .x  y/ D 0 erhält UTP .t/
man am Ausgang des PD-Glieds eine Gleich- Auch die Übertragungsfunktion des Tiefpasses
spannung, die nur noch von der Phasendifferenz wird oft mit der transformierten Funktion GTP .s/
'N  !Ref abhängig ist (in Gl. 13.36 nicht be- beschrieben. Die verschiedenen Tiefpassfilter
rücksichtigt). Außerhalb von !Ref D !N erhält werden in Abschn. 13.5.3 näher erläutert.
man wegen des Tiefpassfilters keine frequenzab- Im Rückwärtspfad erhält man den einzigen
hängige Steuerspannung, so dass der Typ 1 nur Übertragungsparameter KN :
phasensensitiv ist.
1
Die Phasenlage der Cosinus-Schwingungen in KN D : (13.43)
einem Phasenregelkreis ist deshalb beim PLL N
vom Typ 1 von hauptsächlicher Bedeutung. Die Mit Hilfe der Übertragungsparameter lässt sich
Phasen 'Ref und 'v in Gl. 13.31 und 13.33 sorgen nun die Übertragungsfunktion F0 des Vorwärts-
für einen Gleichspannungspegel am Ausgang des zweiges beschreiben. Da der Rückwärtspfad hier-
Tiefpasses (unabhängig von t). Setzt man beide bei nicht eingeschlossen ist, spricht man auch von
648 J. Gutekunst

Abb. 13.29 PD-Glied vom Typ 2. a EXOR Verknüpfung, b 0ı Phasenverschiebung, c 90ı Phasenverschiebung, d 180ı
Phasenverschiebung

einem offenen Regelkreis. Es gilt ge unterschiedlich sind (Antivalenz). Sind beide


Eingangssignale gleich (Abb. 13.29b) sowie die
F0 D KP Kf Kv ; Phasenlage und die Frequenz gleich, dann tritt
F0 .s/ D KP GTP .s/ GVCO .s/; (13.44)
keine Antivalenz auf. Der Ausgang Q des Gat-
Kv ters bleibt zu jedem Zeitpunkt null; deshalb wird
F0 .s/ D KP H.s/ : für den VCO eine negative Regelspannung Ud
s
abgeleitet. Beträgt die Phasenverschiebung der
Da im Rückwärtspfad nur eine Übertragungs- beiden Signale d1 und d2 genau  (also 180ı ),
funktion berücksichtigt werden muss, ist dieser so ist die Antivalenz zu jedem Zeitpunkt gege-
bereits durch Gl. 13.43 eindeutig beschrie- ben und der Ausgang des EXOR-Gatters bleibt
ben. ständig auf „1“ (Abb. 13.29d). Die Steuerspan-
nung für den VCO wird in diesem Fall positiv,
so dass eine Beschleunigung der VCO-Frequenz
13.5.2 Digitaler PLL auftritt. In Abhängigkeit vom Tastverhältnis TQ
am Ausgang Q des EXOR-Gatters kann die Steu-
Im Gegensatz zum linearen PLL (Typ 1) verar- erspannung des VCO alle Werte zwischen Ud
beitet der digitale PLL (Typ 2 bis Typ 4) digitale, und CUd annehmen. Bei einem Tastverhältnis TQ
d. h. rechteckförmige Signale. von 50% des Steuerausgangs Q nach Abb. 13.29c
Der einfachste digitale PLL ist mit einem wird die Steuerspannung zu null. Die beiden Si-
PD-Glied vom Typ 2 aufgebaut. Dabei handelt gnale haben dabei eine Phasenlage von 90ı zuein-
es sich um ein Exklusive-Oder-Gatter (EXOR- ander. Wird der VCO weder beschleunigt noch
Gatter), das die beiden digitalen Eingangssignale gebremst (Steuerspannung D 0), so hat die Pha-
d1 und d2 miteinander vergleicht. d1 entspricht senregelung ihren stabilen Punkt erreicht. Die
dabei dem Referenzsignal URef .t/ des linearen Regelkennlinie (Steuerspannung in Abhängigkeit
PLL und d2 dem durch N geteilten Ausgangs- von der Phasenlage) für den PD-Typ 2 zeigt
signal des VCO UVCO .t/. Die Arbeitsweise des Abb. 13.30. Wird die Regelkennlinie durch unter-
EXOR-Gatters sowie dessen Wahrheitstabelle schiedliche Tastverhältnisse begrenzt, so flachen
zeigt Abb. 13.29. die Spitzen ab, wie es in Abb. 13.30 gekennzeich-
Abbildung 13.29a enthält die Verknüpfungs- net ist.
tabelle des EXOR-Gatters (Abschn. 11.3.1). Aus Die Steuerspannung des VCO ist bei einem
der Wahrheitstabelle ist zu ersehen, dass der Aus- Tastverhältnis von 0% bis 50% negativ und von
gang nur dann wahr ist, wenn die beiden Eingän- 50% bis 100% positiv.
13 Entwicklung digitaler Schaltungen 649

immer ein Tastverhältnis von 50% ein. Abbil-


dung 13.32 veranschaulicht das Frequenz- und
Phasenverhalten des PD-Typs 2.
Für sehr große Frequenzunterschiede !
ist leicht zu erkennen, dass die Antivalenz-
Bedingung fast im Takt der höheren Frequenz
erfüllt wird. Das hierdurch entstandene 50%-
ige Tastverhältnis ergibt die Steuerspannung
null. Auch bei geringen Frequenzunterschieden
erhält man ein gemitteltes Tastverhältnis von
50%. Für den PLL ist dieser Zustand stets sta-
bil. Eine Ausregelung des Frequenzunterschiedes
Abb. 13.30 Regelkennlinie für den PD-Typ 2 (Frequenzablage) erfolgt demnach beim Typ 2
nicht. Es liegt kein frequenzsensitives Verhalten
des PD-Gliedes vor. Das PD-Glied vom Typ 2
Haben die beiden Eingangssignale d1 und d2 eignet sich daher vorwiegend für symmetrische
unterschiedliche Tastverhältnisse, so tritt eine Be- Eingangssignale gleicher Frequenz, weil es aus-
grenzung der Regelkennlinie auf. Das bedeutet, schließlich phasensensitiv ist.
dass für mehrere Phasenwinkel dieselbe Steuer- Wird an Stelle der pegelabhängigen Verknüp-
spannung aus dem Tastverhältnis abgeleitet wird fung des EXOR-Gatters eine flankenabhängige
und dieses ebenfalls für mehrere Phasenwinkel Verknüpfung eingesetzt, so erhält man den PD-
gleich bleibt (Abb. 13.31). Typ 3; man realisiert dies mit Hilfe eines Master-
Da sich das Tastverhältnis TQ des Ausgangs- Slave-Flip-Flop (Abb. 13.33).
signals aus dem Verhältnis der „1“-Dauer zur Die beiden digitalen Eingangsspannungen d1
„0“-Dauer ergibt, bleibt es für die Fälle a bis c in und d2 setzen und löschen dabei abwechselnd
Abb. 13.31 gleich. Die begrenzte Steuerkennlinie das Flip-Flop durch ihre negative Flanke. Das
ist in Abb. 13.30 rot eingetragen. Der Ziehbe- Referenzsignal d1 setzt dabei mit seiner abfal-
reich, der die Funktion des PLL sicherstellt, wird lenden Flanke das JK-Flip-Flop auf „1“ und d2
demnach ebenfalls eingeschränkt. Er liegt sym- setzt es wieder zurück. Die Pulsbreite am Aus-
metrisch zum Tastverhältnis von 50% (90ı Pha- gang Q entspricht dabei dem Abstand beider
senlage). abfallenden Flanken und ist somit unabhängig
Liegen am PD-Glied vom Typ 2 Eingangssi- vom Tastverhältnis der Eingangssignale (die La-
gnale unterschiedlicher Frequenz, so stellt sich ge der positiven Flanke zur negativen Flanke wird

Abb. 13.31 Unsymmetrische Eingangssignale beim PD-Typ 2. Gleiche Tastverhältnisse TQ bei unterschiedlichen Pha-
senlagen ' von d1 und d2 . a ' D 0ı , b ' D 90ı , c ' D 0ı < ' < 90ı
650

Abb. 13.32 Phasensensitives Verhalten des PD-Typs 2


J. Gutekunst
13 Entwicklung digitaler Schaltungen 651

Abb. 13.33 PD-Glied vom Typ 3


Abb. 13.35 Regelkennlinie des PD-Typ 3

nicht festgehalten). Sind beide Eingangsfrequen-


zen gleich, so erhält man am Ausgang ein Signal, Im nicht eingerasteten Zustand sind die bei-
das direkt proportional zur Phasenverschiebung den Eingangssignale d1 und d2 verschieden. Der
beider Signale ist. Abbildung 13.34 zeigt diesen PD-Typ 3 liefert an seinem Ausgang ein unsym-
eingerasteten Zustand. metrisches Taktsignal, dessen Tastverhältnis dem
Für einen Phasenfehler von 180ı (Abb. Frequenzunterschied beider Signale entspricht.
13.34a) ist das Ausgangssignal des JK-Flip- Abbildung 13.36 zeigt das Verhalten des PD-
Flop ein symmetrisches Rechtecksignal (die Typs 3 bei Frequenzablage.
abfallenden Flanken der Eingangssignale haben Am Beispiel von d1  d2 soll der Vor-
denselben Abstand); es hat somit ein Tastver- teil des flankengetriggerten PD-Gliedes verdeut-
hältnis von 50%. Wie oben bereits beschrieben, licht werden. Das durch d2 zurückgesetzte Aus-
wird in diesem Fall keine Steuerspannung er- gangssignal des JK-Flip-Flop wird sofort durch
zeugt, so dass es sich um den stabilen Zustand die nächste abfallende Flanke von d1 wieder ge-
des PLL handelt. Bei sehr kleinen Phasenfehlern setzt. Die weiteren Flanken von d1 haben auf
(Abb. 13.34b) wird das Tastverhältnis nahezu das Ausgangssignal keinen Einfluss mehr. Umge-
null, während es bei Phasenfehlern um 360ı eins kehrt zeigt Abb. 13.36 für d1  d2 ein Tastver-
ist (Abb. 13.34c). Die Phasenregelkennlinie weist hältnis von nahezu null. Die davon abgeleiteten
deshalb einen Sprung beim Übergang von 360ı Steuerspannungen ziehen den VCO auf seine er-
nach 0ı auf (Abb. 13.35). wünschte Frequenz, bis die Steuerspannung null

Abb. 13.34 Eingerasteter PLL vom Typ 3. Tastverhältnisse TQ am Ausgang Q des PD-Typs 3 in Abhängigkeit von
unterschiedlichen Phasen '. a ' D 180ı , b ' D 30ı , c ' D 340ı
652

Abb. 13.36 Phasen- und frequenzsensitives Verhalten des PD-Typs 3


J. Gutekunst
13 Entwicklung digitaler Schaltungen 653

Abb. 13.37 PD-Glied vom


Typ 4

wird. Dies ist bei einem Tastverhältnis von 50% der Funktionsweise dieses PD-Gliedes vom Typ 4
der Fall. seien folgende vier Fälle betrachtet:
Nähern sich die beiden Eingangsfrequenzen a) Frequenzgleichheit mit Phasenvoreilung,
an, so tritt eine Wechselwirkung zwischen der b) Frequenzgleichheit mit Phasennacheilung,
Phasensensitivität und der Frequenzsensitivität c) Referenzfrequenz d1 > d2 ,
auf. Das Tastverhältnis TQ ändert sich periodisch d) Referenzfrequenz d1 < d2 .
zwischen 0% und 100%. Dabei verursacht der Sind beide Eingangssignale d1 und d2 gleich,
Phasensprung von 360ı auf 0ı ebenfalls einen so bereitet im Fall a) die positive Flanke des Re-
Sprung in der Steuerspannung, so dass der VCO ferenzsignals d1 den „UP“-Ausgang vor. Mit der
mit einer sägezahnförmigen Spannung modu- negativen Flanke von d1 wird dieser dann auf
liert wird (Abb. 13.35). Da keine negativen null gesetzt. Die negative Flanke von d2 setzt
Frequenzen entstehen können, ergibt sich die ihrerseits wieder das Ausgangssignal „UP“ auf
Frequenz der Sägezahnspannung aus j!1  !2 j. eins. Die Pulsbreite entspricht dabei dem zeitli-
Dies hat zur Folge, dass man bei annähernd glei- chen Versatz der beiden Signale (Phasenablage).
chen Eingangsfrequenzen der VCO aufgrund der Der „DOWN“-Ausgang bleibt während dieser
Steuerspannung nicht unterscheiden kann, wel- Zeit ständig auf eins. Da das PD-Glied vom Typ
ches der beiden Signale d1 oder d2 die höhere 4 mit negativer Logik (der Zustand „0“ wird als
Eingangsfrequenz besitzt. Deshalb tritt beim PD- „wahr“ angenommen) betrieben wird, steht die
Typ 3 in der Nähe des eingerasteten Zustandes „1“ am „DOWN“-Ausgang für den inaktiven Zu-
ein nicht exakt definierbarer Bereich auf. stand. Die Mittelung des inaktiven Ausgangs mit
Die Erweiterung des Typs 3 führt schließlich dem gepulsten „UP“-Ausgang zieht den VCO in
zum PD-Glied vom Typ 4. Der PD-Typ 4 be- seiner Frequenz nach oben (engl.: up). Dies er-
steht im Wesentlichen aus zwei RS-Flip-Flops folgt solange, bis der Phasenfehler ausgeglichen
(Reset=Set-Flip-Flops) und zwei nachgeschalte- ist. Analog dazu pulst im Fall b) der Ausgang
ten Latches („transparentes“ Speicherelement). „DOWN“. Er wird durch die positive Flanke von
Die Latches werden durch NAND-Gatter reali- d1 , während d2 eins ist, vorbereitet. In diesem
siert, wie Abb. 13.37 zeigt. Fall bleibt der Ausgang „UP“ ständig auf eins
Die beiden Ausgänge dieses PD-Typs werden (inaktiv).
mit „UP“ und „DOWN“ bezeichnet und ziehen Sind die beiden Eingangsfrequenzen d1 und d2
den VCO in die entsprechende Richtung. Die unterschiedlich groß, so erkennt das PD-Glied,
Steuerspannung gewinnt man dabei aus dem Mit- an welchem Eingang sich die höhere Frequenz
telwert der beiden Steuersignale. Zur Erläuterung befindet. Im Fall c) d1 > d2 wird der „UP“-
654

Abb. 13.38 Phasen- und frequenzsensitives Verhalten des PD-Typs 4


J. Gutekunst
13 Entwicklung digitaler Schaltungen 655

Abb. 13.39 Regelkennli-


nie des PD-Typ 4

Ausgang ständig gepulst. Der VCO wird dem- scheiden:


nach solange „beschleunigt“, bis er dieselbe Fre- 1. passives RC-Filter ohne Nullstelle (b D 0/,
quenz aufweist. Bei d) wird er wegen seiner 2. passives RC-Filter allgemein (a; b; c; d ¤ 0),
höheren Frequenz durch den „DOWN“-Ausgang 3. aktives Filter ohne Nullstelle (b; c D 0),
gebremst. Abbildung 13.38 gibt eine Übersicht 4. aktives Filter mit Pol- und Nullstelle (c D 0).
über das phasen- und frequenzsensitive Verhalten Die aktiven Filter unter 3 und 4 stellen dabei
des PD-Typs 4. Integratoren dar. Nachfolgende Tab. 13.4 zeigt
Trägt man die Steuerspannung über der Phase den Aufbau der vier Filter 1. Ordnung sowie ihre
auf, so erhält man die Regelkennlinie des PD- Übertragungskennlinie.
Typs 4. Sie weist im Gegensatz zum Typ 3 einen Abhängig vom Ordnungsgrad des Filters lässt
Phasensprung bei 2  auf, wie Abb. 13.39 zeigt. sich die Ordnung der PLL-Schaltung bestim-
Im Gegensatz zum PD-Typ 3 erhält man bei men. Allgemein gilt, dass der Ordnungsgrad
annähernder Frequenzgleichheit von d1 D d2 ein eines Netzwerkes durch den Ordnungsgrad der
eindeutig definiertes Signal. Das frequenzsensi- zur Lösung notwendigen Differenzialgleichung
tive Verhalten auch bei sehr großen Frequenzun- bestimmt wird. Für die Phasenübertragungs-
terschieden ermöglicht theoretisch einen unendli- funktion (Grundfunktion des PLL) erhält man
chen Ziehbereich. Der VCO wird stets von einer allgemein ein Polynom erster Ordnung, das die
Seite her in einen stabilen Zustand gezogen, bei Übertragungsfunktion des Tiefpassfilters bein-
dem die Steuerspannung des VCO null wird. haltet. Setzt man die Übertragungsfunktion des
Tiefpassfilters ein, so erhöht sich der Ordnungs-
grad um die Ordnung des Tiefpasses. Allgemein
13.5.3 Tiefpass 1. Ordnung
gilt daher:
Das dem PD-Glied nachgeschaltete Tiefpassfilter
ist in der Regel ein Tiefpass 1. Ordnung (Ab-
I Ordnungszahl des PLL gleich Ordnungszahl
schn. 8.4.3.1). Seine Übertragungsfunktion ergibt
des Filters plus 1.
sich aus dem Verhältnis der Eingangsspannung
zur Ausgangsspannung, wie Gl. 13.42 zeigt. All-
gemein ergibt sich H (j!) nach Gl. 13.45: Bei der Verwendung eines Tiefpassfilters
a C b.j !/ 1. Ordnung erhält man demnach einen PLL
H.j !/ D : (13.45) 2. Ordnung. In der Praxis hat sich gezeigt,
c C d.j !/
dass in nahezu allen Anwendungsfällen ein PLL
Für die Übertragungsfunktion H.j!/ des Tief- 2. Ordnung ausreichend ist, so dass kein Tiefpass
passfilters lassen sich insgesamt vier Fälle unter- höherer Ordnung als 1 notwendig ist.
656 J. Gutekunst

Tab. 13.4 Filter 1. Ordnung


Filtertyp Passive Filter Aktive Filter
1. Passives Filter ohne 2. Allgemeines passives 3. Aktives Filter mit 4. Aktives Filter mit Pol-
Nullstellen Filter Polstelle aber ohne Null- und Nullstelle
stelle
Schaltung

1Cj ! R2 C 1Cj ! R2 C
F .j !/ D 1
1Cj ! R C 1Cj ! C.R1 CR2 /
1
j! RC j ! R1 C
Kennlinie U U U U

ω ω ω ω

b) Entwickeln Sie mit Hilfe des Pulsfahrplanes


13.6 Zur Übung
eine Schaltung, die beide Flanken differen-
ziert.
Ü 13-1 Warum eignen sich besonders periodi-
c) Kann man mit der Schaltung unter b) eine
sche Ereignisse für die Entwicklung mit Hilfe des
Frequenzverdoppelung erreichen?
Pulsfahrplans?
d) Die Schaltung in Abschn. 13.2 zur Differen-
zierung der Vorderflanke und die Schaltung
Ü 13-2 Für einen Pulsgenerator soll eine Schal-
nach a) sollen in einer gemeinsamen Schal-
tung entwickelt werden, mit deren Hilfe man das
tung verbunden werden, die einen Ausgang
Tastverhältnis am Ausgang in 5%-Schritten ein-
für die Vorderflanken-Pulse und einen Aus-
stellen kann (unter dem Tastverhältnis versteht
gang für die Rückflanken-Pulse besitzt. Wen-
man die Dauer der „1“ zur Gesamtdauer des Pul-
den Sie dabei die Gesetze von de Morgan an
ses, wenn die Angabe in % erfolgt). Dies soll durch
(Abschn. 11.3.2).
einen Ringzähler erfolgen, dessen Zählfrequenz
von der Pulswiederhol-Frequenz abhängig ist.
a) Wie viel schneller muss der Ringzähler zäh- Ü 13-4
len? a) Ist der k-Faktor eine Leitungskonstante?
b) Wie viele Produkt-Terme erwarten Sie bei ei- b) Tritt bei k D 1000 auch eine Bandbegrenzung
nem Tastverhältnis von 5%, 25% und 75%? des zu übertragenden Signals auf?
c) Zeichnen Sie den Pulsfahrplan für ein Tast-
verhältnis von 10% und für 85% und stellen
Sie die Booleschen Gleichungen auf. Ü 13-5 Das Ausgangssignal einer digitalen
d) Durch welchen „Trick“ vereinfacht sich die Schaltung soll über eine Leitung mit Z D 150 
Boolesche Gleichung beim Tastverhältnis von übertragen werden. Bei einem Pegel von 4,5 V
85% erheblich? kann man den Ausgang maximal mit 20 mA be-
lasten.
Ü 13-3 Digitale Differenzierglieder werden in a) Wie groß ist der Quellenwiderstand RQ zu
der Schaltungstechnik häufig gebraucht. wählen, damit der Ausgang nicht überlastet
a) Entwickeln Sie mit Hilfe des Pulsfahrplanes wird?
eine Schaltung, die die abfallende Flanke ei- b) Welcher Einkoppelfaktor aQ ergibt sich da-
nes Pulses differenziert. mit?
13 Entwicklung digitaler Schaltungen 657

c) Wie reduziert sich die Ausgangsbelastung samt 18 Flip-Flop-Bauteile an, die eine Eingangs-
der Schaltung bei Anpassung am Leitungsan- kapazität von 4 pF besitzen. Die Schaltung ist
fang? auf einer Multilayer-Karte (FR-4) aufgebaut. Die
d) Ist diese eingangsseitige Leitungsanpassung Leiterbahnen haben eine Breite von 0,5 mm und
auch bei einem Wellenwiderstand von Z D einen Abstand von 0,3 mm zur Massefläche.
100  möglich? a) Wie groß ist der Wellenwiderstand der Lei-
tungen?
Ü 13-6 Zur Übertragung eines Fernsehsignals
b) Wie groß ist die Intrinsic-Kapazität der Lei-
wird ein 75  Koaxial-Kabel verwendet. Die Ein-
tung?
speisung in das Kabel erfolgt durch einen spezi-
c) Wie groß ist die gesamte kapazitive Last?
ellen Treiber ohne Anpassung. Das Kabelende ist
d) Welche maximale Leitungslänge ergibt sich
mit einem 68 -Widerstand abgeschlossen.
daraus?
a) Wie groß ist der Einlauffaktor aQ ?
e) Wie groß dürfen demnach die mittleren Lei-
b) Wie groß sind Brechungsfaktor und Reflexi-
tungslängen zwischen den Bauteilen sein? Ist
ons-Koeffizient am Leitungsende?
das realistisch?
c) Wie groß ist der Fehlabschluss?
f) Welche Möglichkeiten zur Abhilfe gibt es?
d) Zeichnen Sie das Lattice-Diagramm für 1 Re-
g) Da keine abgeschlossenen Leitungen ver-
flexion auf dem Kabel und tragen Sie den
wendet werden sollen, soll ein „Takt-Baum“
normierten Spannungsverlauf für beide Enden
(engl.: clock-tree) die Funktion sicherstellen.
auf.
Dazu werden Puffer-Bauteile (Buffer) ver-
Ü 13-7 In einem Verzweigungspunkt treffen sich wendet, deren Schaltflanken ebenfalls 3 ns
vier Leitungen. Am Leitungsanfang von L1 sitzt betragen. Ziel ist es, eine mittlere Leitungs-
eine ideal angepasste Quelle, so dass L1 die länge zwischen den Bauteilen von
3 cm
einspeisende Leitung in den Knoten ist. Die Lei- zu erhalten. Bestimmen Sie die Anzahl der
tungslängen L1 W L2 W L3 W L4 verhalten sich wie Bauelemente („Äste“) des Taktbaumes und
3 W 1 W 2 W 1. Die Wellenwiderstände der Leitun- zeichnen Sie die Schaltung.
gen sind Z1 D 150 , Z2 D 200 , Z3 D 75  h) Welche Gefahr besteht bei einem Takt-Baum?
und Z4 D 150 . Alle Leitungen sind mit 100  i) Prüfen Sie Punkt h) für obigen Takt-Baum
abgeschlossen. nach und ergänzen Sie ihn gegebenenfalls
a) Zeichnen Sie den Leitungsknoten mit den ent- durch redundante Bauelemente.
sprechenden Längenverhältnissen und tragen
Sie die Abschlusswiderstände ein. Ü 13-10 Glitches sind Störpulse in Schaltungen.
b) Bestimmen Sie aQ , bn und n für alle Stoß- a) Wodurch entstehen sie?
stellen. b) Durch welche zwei Möglichkeiten kann man
c) Erstellen Sie das Lattice-Diagramm. sie beseitigen?
d) Tragen Sie den Spannungsverlauf an allen
vier Leitungsenden auf. Ü 13-11 Die Adressdekodierung zur Speicher-
auswahl in einem Mikroprozessor-System ver-
Ü 13-8 Die meisten Schaltungen realisiert man
wendet die Adressleitungen A 20 bis A 23. Ein
mit nicht abgeschlossenen Leitungen.
4-zu-16-Dekoder mit einer Dekodierzeit von ma-
a) Welche Voraussetzung muss erfüllt sein, da-
ximal 22 ns erzeugt in Abhängigkeit von A 20 bis
mit ein störungsfreier Betrieb möglich ist?
A 23 das Chip-Select-Signal für die entsprechen-
b) Welche Größen haben darauf einen maßgeb-
de Speicherbank. Seinen Ausgang schaltet man
lichen Einfluss?
durch das Signal OE (engl.: output enable) aktiv.
Ü 13-9 In einer synchron arbeitenden Schaltung a) Welche zeitliche Anforderung besteht an das
werden alle Ereignisse durch einen 20 MHz-Takt Signal OE?
(Flankensteilheit 3 ns) synchronisiert und festge- b) Welche Gefahr besteht, wenn OE zu früh ak-
halten. Dazu steuert man durch den Takt insge- tiviert wird?
658 J. Gutekunst

c) Welche Möglichkeiten der Abhilfe hätte man  Burton, E. A.: (1989) Transmission-Line Me-
im Fall b)? thods Aid Memory-Board Design. Elektronic
d) Könnte dem Problem in b) begegnet werden, Design (1989) Jan. S. 58=62
indem die Adressen synchronisiert werden  Elektronik Lexikon. Klöckner Moeller.
und somit zum selben Zeitpunkt am Dekoder  Föllinger, O., Kluwe, M.: (2011) Laplace- und
anstehen? Fourier-Transformation. 10. Auflage, VDE-
Verlag.
 Föllinger, O.: (2008) Regelungstechnik.
13.7 Weiterführende Literatur 10. Auflage, Hüthig Verlag.
 Keuper, A.: (1988) FFT in der Praxis. Elektron.
 Al-Araji, S. R.: (2006) Digital Phase Lock J. (1988) Nr. 20, S. 104=110.
Loop. Springer Verlag.  Spiegel, M. R.: Fourier-Analysis. McGraw-
 Best, R.: (1993) Theorie und Anwendung des Hill. New York. Das Qualitäts ABC. Texas
Phase-locked Loops. Aarau: AT Verlag. Instruments.
ASIC
14
Jürgen Gutekunst

Steigende Anforderungen an Baugruppen und Struktur. Sie werden dem Kundenwunsch ent-
die Lösung komplexer Probleme durch Schal- sprechend nachträglich verdrahtet. Dies kann
tungen machen immer mehr den Einsatz indivi- durch ein programmierbares Verknüpfungsfeld
dueller Digital- und Analogbauteile notwendig. geschehen oder durch das nachträgliche Aufbrin-
Auch der Trend zur Verkleinerung bestehen- gen einer oder mehrerer Metallisierungsebenen.
der Schaltungen spielt dabei eine entscheidende Aus diesem Grund heißen sie auch Halbkun-
Rolle. Möglich wird dies durch Bauteilfamilien, den-Bauteile, da lediglich die Metallisierungs-
die unter dem Begriff kundenspezifische Bauteile ebenen dem Kundenwunsch entsprechend zu
oder ASIC (Application Specific Integrated Cir- fertigen sind. Kunden-ASICs baut man nicht
cuit) zusammengefasst sind. Grundsätzlich neu auf vorhandenen Fertigungsmasken auf, son-
ist der Begriff des kundenspezifischen Bauteils dern entwirft und fertigt sie völlig nach dem
nicht. Bereits Mitte der sechziger Jahre waren Wunsch des Anwenders. Abbildung 14.1 gibt ei-
sie bekannt, hatten jedoch erst Anfang der acht- ne Übersicht über die gebräuchlichsten ASIC-
ziger Jahre den notwendigen Durchbruch erzielt. Bauteile, eingeteilt in Kunden- und Halbkunden-
Durch ASIC werden Teilfunktionen einer Schal- ASICs.
tung oder sogar ganze Schaltungen auf einem Für die Verwirklichung einer Schaltung in
Silicium-Plättchen, dem Chip, zusammengefasst. einem kundenspezifischen Schaltkreis sprechen
Je nach Komplexität kann das Gehäuse mehr als viele Gründe:
400 Anschlüsse (Pins) haben.  geringerer Platzbedarf,
Mit der raschen Verbreitung der kundenspezi-  kürzere Schaltzeiten und damit schnellere Ver-
fischen Schaltkreise entstand auch eine verwir- arbeitung der Signale,
rende Anzahl von Begriffen und Abkürzungen,  geringerer Leistungsbedarf bei gleicher Ge-
die zum Teil von Hersteller zu Hersteller ver- schwindigkeit,
schieden sind. In Abschn. 14.1 sind die gebräuch-  verbesserte Störsicherheit wegen fehlender
lichsten Abkürzungen erläutert. Leitungsverbindungen,
Grundsätzlich lassen sich die ASIC-Bauteile  kostengünstige Produktion, da nur noch weni-
in zwei Gruppen einordnen: Halbkunden-Bau- ge Bauteile auf der Leiterplatte zu bestücken
teile (Semi-Custom) und Kunden-Bauteile (Cus- sind,
tom, oft auch als Vollkundenschaltkreise, Full-  kostengünstige Lagerhaltung, da die Vielfalt
Custom, bezeichnet). Als Halbkunden-ASIC be- der Bauelemente zurückgeht und
zeichnet man Bauteile mit einer vorgefertigten  Schutz des eigenen Know-hows vor Plagiaten.
Diesen offensichtlichen Vorteilen stehen
J. Gutekunst ()  ein hoher Entwicklungsaufwand,
E-Mail: jgutekunst@web.de  damit hohe Entwicklungskosten und

© Springer-Verlag GmbH Deutschland 2017 659


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_14
660 J. Gutekunst

Abb. 14.1 Übersicht über ASIC

Abb. 14.2 Aufwand bei der ASIC-Entwicklung

 eine spezielle Entwicklungsumgebung ein- Die Entwicklungsumgebung und Simulation


schließlich umfangreicher Simulationen ent- können bei sehr komplexen Bauteilen in den
gegen, die schließlich nur durch entsprechen- meisten Fällen nur noch speziell ausgebildete
de Stückzahlen zu akzeptablen Preisen führen. Applikations-Ingenieure in speziellen ASIC-De-
14 ASIC 661

sign-Center vornehmen, in denen große Com- Matrix (OR-Array, Abschn. 14.2). Darüber hin-
puter zur Simulation zur Verfügung stehen. Der aus lassen flexible Ausgangsmodule eine Reihe
Einstieg in die kundenspezifischen Schaltkreise unterschiedlicher Konfigurationen zu, die sowohl
ist durch die kleineren programmierbaren Bautei- interne Rückkopplungen, als auch eine Ankopp-
le (PLD: Programmable Logic Device) selbst bei lung an ein Bussystem durch Tri-State-Ausgänge
Einzelstückzahlen sehr günstig. Abbildung 14.2 erlauben, die hochohmig geschaltet werden kön-
zeigen die Komplexität und der damit zusammen- nen. Das GAL-Bauteil erlaubt so höchste Flexi-
hängende Aufwand für die verschiedenen ASIC- bilität bei der Programmierung logischer Netz-
Familien. Die angegebenen Werte sind Richtwer- werke. Die Handhabung ist dabei durch ent-
te. sprechende Software-Unterstützung gleicherma-
Bei innovativen und strategischen Entwick- ßen einfach wie bei den PAL.
lungsvorhaben ist es möglich, dass der hohe Ent-
wicklungsaufwand, beispielsweise für ein Gate- EPLD EPLD steht für Erasable Programmable
Array, auch bei kleinen Stückzahlen gerechtfer- Logic Device. Mit diesem Bauteil ist es gelun-
tigt ist. gen, den Übergang zu einem löschbaren ASIC zu
schaffen, analog zum Übergang vom PROM zum
EPROM. Zur Programmierung und zum Löschen
14.1 Übersicht setzt man den von den EPROM her bekannten
Effekt (Einbindung heißer Elektronen in die Ba-
14.1.1 Digitale ASIC-Familien
siszone, s. Abschn. 12.3.2) ein und kann damit
Die Vielfalt der ASIC-Familien in Abb. 14.1 ist die programmierte logische Verknüpfung unter
das Ergebnis unterschiedlichster Anwendungen. der Einwirkung von ultraviolettem Licht wieder
Welche schließlich zum Einsatz kommt, hängt rückgängig machen. Der prinzipielle Aufbau und
nicht zuletzt von der Komplexität, den Kosten die Programmierung eines EPLD entspricht dem
und selbstverständlich auch vom Entwicklungs- eines PAL.
aufwand ab. Im nachfolgenden sei eine Dar-
stellung der wichtigsten ASIC-Bauteile gegeben. EEPLD Auch bei der Entwicklung der EEPLD
Darüber hinaus sind im Abschn. 14.1.2 die ge- (Electrical Erasable Programmable Logic De-
mischten analog-digitalen Gate-Arrays beschrie- vice) stand die Speicherentwicklung Pate. Das
ben. Verfahren, das bei den EEPROMs Verwendung
findet (Abschn. 12.3.2), erlaubt das Löschen ei-
PAL PAL steht für Programmable Array Logic
nes Bauteiles durch eine definierte Löschspan-
und wird auch oft mit PLA abgekürzt. In sei-
nung. Damit kann man Bauteile beispielsweise
nem Kern ist es ähnlich aufgebaut wie ein PROM
direkt in der Schaltung programmieren, löschen
(Abschn. 14.2). Im Wesentlichen zieht man für
oder rekonfigurieren.
die Realisierung der logischen Verknüpfung ein
AND-Array heran, das an ein OR-Array ange-
koppelt ist. Die Programmierung des PAL er- Gate-Array Mit dem Gate-Array steigt die
folgt im Entwicklungslabor mit einem einfa- Komplexität der ASIC stark an. Hier stehen
chen Programmiergerät, das in der Regel auch dem Anwender bereits mehr als 300.000 Gat-
für PROM (Programmable Read Only Memory) ter-Funktionen zur Verfügung, die zur Realisie-
und EPROM (Erasable Programmable Read Only rung seiner Schaltung nutzbar sind. Ein Gatter
Memory) Verwendung findet. Die PALs zählen (engl.: gate) entspricht dabei einer UND-Ver-
zu den preisgünstigen ASICs und lassen sich oft knüpfung und ist das kleinste Element eines
in kurzer Zeit verwirklichen. Gate-Arrays. Diese kann man jedoch nicht mehr
durch einen einfachen Programmiervorgang mit-
GAL Die GAL (Generic Array Logic) verfügen einander verknüpfen, sondern muss sie mit Hilfe
zusätzlich über eine programmierbare ODER- von Masken, die einen zusätzlichen, kundenspe-
662 J. Gutekunst

zifischen Fertigungsschritt erfordern, verdrahten 14.1.2 Analoge ASIC


(Abschn. 14.3).
Während das Gate-Array eine strukturierte Die Realisierung analoger ASICs ist wesentlich
Anordnung mit Verdrahtungskanälen besitzt, ist schwieriger als die Realisierung digitaler Bau-
diese bei den Sea of Gates-Bauteilen (See von teile und stellt daher eine hohe technologische
Gattern) völlig aufgelöst. Die Verdrahtung durch Herausforderung für die ASIC-Industrie dar. Da-
eine oder mehrere Metallisierungsmasken erfolgt bei liegt das Problem nicht bei dem Aufbau ak-
dabei über die Gatter hinweg, was einen geringen tiver Elemente, wie beispielsweise Transistoren,
Teil nicht nutzbar werden lässt. Die Anzahl der Stromquellen und Operationsverstärker, sondern
realisierten Gatter auf dem Chip liegen aber heu- vielmehr bei den passiven Bauelementen wie Wi-
te bereits bei über 300.000. derstände, Kondensatoren und Induktivitäten. Da
Die Realisierung von Schaltungen mit pro- man die passiven Bauelemente als Einzelbautei-
grammierbaren Gate-Arrays erlaubt die Erstel- le durch andere Grundstoffe als das ASIC-Chip
lung kundenspezifischer Bauteiles bis hin zu herstellt, also nicht auf Halbleiterbasis (z. B.
ersten funktionsfähigen Mustern durch den Ent- Kohleschichtwiderstände und Folienkondensato-
wickler. In Abschn. 14.3.3 wird darauf noch aus- ren), kann man auch nur einen sehr geringen Teil
führlich eingegangen. durch besondere Siliciumstrukturen nachbilden.
Dabei sind größere Kondensatoren und Indukti-
Standard-Zellen-Array (Standard Cell Array) vitäten nahezu unmöglich. Um dennoch eine Be-
Mit dem Standard-Zellen-Array (Standard Cell schaltung der aktiven Bauelemente (Transistoren,
Array) vollzieht sich der Schritt zu den Kunden- Operationsverstärker) auf dem Chip zu ermög-
schaltkreisen. Wesentlicher Unterschied zu al- lichen, bringt man spezielle Strukturen auf dem
len vorherigen ASIC-Bauteilen ist der komplette Silicium auf. Da diese sehr viel Platz beanspru-
Aufbau des Chips nach den Angaben des Kun- chen, sind sie nur in geringer Anzahl möglich.
den. Demnach greift man nicht auf vorgefertigte Die meisten analogen ASICs besitzen neben
Strukturen wie bei den PALs und den Gate- ihren analogen Funktionen einen digitalen Kern,
Arrays zurück, sondern erzeugt stets alle Masken der die Möglichkeiten des Chips erheblich erwei-
für das Bauteil von Grund auf neu. Damit liegen tert. Dadurch sind beispielsweise analog=digitale
die Entwicklungskosten für diese ASIC-Bauteile Schnittstellen, wie A=D- und D=A-Wandler zu
wesentlich höher und setzen entsprechend ho- verwirklichen (Abschn. 9). Die analogen Makro-
he Stückzahlen für eine kostengünstige Fertigung zellen sind bei den gemischten Gate-Arrays um
voraus. den digitalen Kern angeordnet. Abbildung 14.3
Bei der Entwicklung eines Standard-Zellen- zeigt ein analog-digital gemischtes Gate-Array in
Bauteiles greift man auf standardisierte Schal- bipolarer Technik.
tungsteile, sogenannten Makros, zurück. Diese Aus der Aufteilung der verschiedenen Felder
Makros sind in einer Bibliothek als ein klei- ist deutlich der notwendige Platzbedarf der ana-
nes Programm abgelegt und lassen sich durch logen Funktionsblöcke zu erkennen. Der digitale
die CAD-Software aufrufen. Deshalb spricht man Kern in der Mitte benötigt nur einen Bruchteil
hier auch von Soft-Makros. der Gesamtfläche. Der Chip vom Typ TSFJ09 hat
außer einigen sehr schnellen ECL-Gatterblöcken
Vollkundenschaltkreise (Full Custom IC) Für (ECL, Emitter-Coupled-Logic, Abschn. 12.1.5)
den Vollkundenschaltkreis sind ebenfalls, wie bei auch einen D=A-Wandler und einen eingebau-
den Standard-Bauteilen, sämtliche Masken zu er- ten Oszillator. Die Widerstandswerte, die auf dem
zeugen. Die Entwicklung des Bauteiles erfolgt Chip zur Verfügung stehen, reichen von 100 
jedoch nicht mehr über Makro-Bibliotheken, son- bis 50 k, die Kondensatoren haben eine Kapa-
dern über optimierte Verfahren auf Silicium- zität von 2,5 pF und 7 pF. Hier wird die bereits
ebene. Auch diese sehr aufwändige Entwicklung oben erwähnte Einschränkung bei den passiven
setzt hohe Stückzahlen voraus. Bauteilen deutlich.
14 ASIC 663

Abb. 14.3 Analog-digital gemischtes Gate-Array (Werkfoto: SGS-Thomson)


664 J. Gutekunst

Abb. 14.4 Chip-Layout


für den Schaltkreis TSPJ09

Die Verdrahtung dieses Chips erfolgt durch Schaltelemente zusammengestellt sowie Funkti-
eine zweilagige Metallisierung (engl.: Double onsmakros, die man daraus herstellen kann.
Layer Metallization). Wie diese für eine kleine- Analoge ASICs, bei denen die Funktion durch
re Schaltung zu realisieren ist, zeigt Abb. 14.4. die Verdrahtung vorgefertigter Makrozellen reali-
Mit Hilfe von CAE=CAD-Unterstützung siert wird, heißen auch Makrochips.
(Computer Aided Engineering, Computer Aided Das Einbinden analoger Funktionen ist bei
Design) setzt man die einzelnen analogen und den Standard-Zellen Bauteilen einfacher. Hier
digitalen Bauteile zu Makros zusammen. Da- greift man nicht auf bestehende Teilfunktionen
bei übernimmt der Computer die Konstruktion, zurück, sondern baut den Chip von Grund auf
beispielsweise eines Operationsverstärkers aus neu auf. Dadurch ergibt sich auch eine Optimie-
einzelnen Transistoren und Widerstandselemen- rung bei der Platzierung analoger Baugruppen,
ten. Auf analogen und gemischten Gate-Arrays eine wichtige Voraussetzung bei hohen Schalt-
befinden sich Schaltelemente, wie sie typisch für geschwindigkeiten und Verarbeitungsfrequenzen.
integrierte Schaltungen sind. Ein Beispiel da- So ist mit Hilfe von Standard-Zellen eine analoge
für ist der Multiemitter-Transistor, der auch bei Signalverarbeitung bei Frequenzen von 100 MHz
der Verwirklichung digitaler Schaltfunktionen und mehr (UKW-Bereich) möglich, spezielle
mit mehreren Eingängen Verwendung findet. Im GaAs-Bauteile arbeiten sogar bis weit in den
nachfolgenden Abb. 14.5 sind einige wichtige Giga-Hertz-Bereich.
14 ASIC 665

Abb. 14.5 Schaltelemente und Makros analoger Gate-Arrays

Die Verwendung von ASIC-Bauteilen bedeu- In Anlehnung an die Technologie verschiede-


tet in jedem Fall auch einen Schutz der Schaltung ner nicht flüchtiger Speicher, wie beispielsweise
vor unbefugtem Nachbau. Nicht wenige Firmen das PROM (Programmable Read Only Memo-
sichern sich so einen technologischen Vorsprung. ry, Abschn. 12.3.2), stellt man auch bei diesen
Einige ASIC-Typen, die von Firmen entwickelt Bauteilen die Verbindungen entweder durch Ab-
oder durch Forschungslabors finanziert wurden, schmelzen kleiner Sicherungselemente (speziell
sind käuflich. Sie tragen die Bezeichnung ASSP beim PAL) oder durch Einlagern energiereicher
(Application Specific Standard Product). In Ab- Elektronen in die Basiszonen der Transistoren
schn. 14.4.3 sind sie kurz beschrieben. (EPLD und EEPLD) her. Während ein program-
miertes PAL wie das PROM nicht mehr ge-
löscht werden kann (die Sicherungselemente sind
dauerhaft zerstört), lässt sich das EPLD durch
14.2 Programmierbare logische Bestrahlung mit ultraviolettem Licht und das EE-
Bauteile (PLD) PLD durch Anlegen einer Löschspannung wieder
in den unprogrammierten Zustand zurückführen
Unter dem Begriff der programmierbaren logi- (Abschn. 12.3.2, EPROM und EEPROM). Die-
schen Bauteile (engl.: Programmable Logic De- sen Vorteil nutzt man gerade beim Einsatz in der
vices, PLD) sind die PAL (Programmable Ar- Entwicklung, da Änderungen nicht zu falsch pro-
ray Logic), EPLD (Erasable Programmable Lo- grammierten Bauteilen führen.
gic Device) und EEPLD (Electrically Erasable Mit den PLD-Bauteilen lassen sich kleine-
Programmable Logic Device) zusammengefasst. re logische Schaltungen verwirklichen. Sie sind
Vorgestellt wurden die ersten PAL bereits 1977 als Bindeglied zwischen den Logik-Familien
von MMI (Monolithic Memories Inc.). Die in- und den Gate-Arrays bzw. Kunden-ICs einzu-
terne Verknüpfungsstruktur zur Realisierung der ordnen. Der große Vorteil dieser Bauteile liegt
logischen Funktion ist bei allen Bauteilen gleich. vor allem darin, dass die Herstellung der ange-
Wesentlicher Unterschied ist die Herstellung strebten Funktion nicht durch einen zusätzlichen
der programmierten Funktion durch verschiede- Arbeitsprozess während der Chipherstellung er-
ne Verfahren. folgt, sondern durch einfache Programmierung
666 J. Gutekunst

Abb. 14.6 Vergleich von PAL, PROM und FPAL. a PAL, programmierbares AND-Array, b PROM, programmierbares
OR-Array, c FPAL, programmierbares AND und OR-Array

im Entwicklungslabor. Damit hat der Entwickler dem OR-Array und der Ausgangsschaltung. Un-
ter einem Array versteht man ein Verknüpfungs-
die sehr schnelle und effiziente Möglichkeit, eine
logische Funktion auf einem Chip zu verwirkli- feld, das beispielsweise beim AND-Array aus
chen. So kann er Fehler sehr schnell erkennen programmierbaren UND-Verknüpfungen besteht
und durch einfaches Umprogrammieren beseiti- und beim OR-Array aus ODER-Verknüpfungen.
gen. PLD-Bauteile ersetzen durchschnittlich 10 Das OR-Array ist auf dem Chip fest mit den Aus-
Standard-Bauelemente und haben vor allem dort gängen verdrahtet, wie die Gesamtstruktur des
Einzug gehalten, wo Lösungen mit kombinatori- PAL in Abb. 14.6a zeigt. Die programmierbaren
Elemente in Abb. 14.6 sind durch ein X für den
scher Logik gefragt sind. Ein typisches Beispiel
hierfür sind Adressdekodierungen. Sequenzielle unprogrammierten Zustand gekennzeichnet.
asynchrone Schaltungen lassen sich hingegen nur Das PROM besitzt im Gegensatz zum PAL
schwer verwirklichen, da bis auf einige Ausnah-ein fest verdrahtetes UND-Feld (Abb. 14.6b),
men nur eine synchron getaktete Registerebene welches die Funktion der Adressdekodierung er-
zur Verfügung steht. füllt. Von hier aus greift man auf das program-
mierbare ODER-Feld zu, das der Speicherma-
Wegen der grundsätzlich gleichen Struktur der
Bauteile sei Aufbau, Programmierung und Rea- trix (einschließlich Leselogik) entspricht (Ab-
lisierung einer Schaltung in den nachfolgenden schn. 12.3.3, Abb. 12.42). Um jede Speicherzelle
Abschnitten exemplarisch am Beispiel des PAL- zu erreichen, muss die Adressdekodierung für
Bauteiles aufgezeigt. n Eingänge 2n UND-Verknüpfungen zur Verfü-
gung stellen.
Es liegt nun nahe, eine Kombination aus
14.2.1 Aufbau des PAL beiden programmierbaren Strukturen zu entwi-
(Programmable Array Logic) ckeln. Dies wurde bei den so genannten FPALs
(Field Programmable Array Logic) verwirklicht.
Das PAL lässt sich in vier Teilbereiche unter- Die dadurch erreichte hochflexible Struktur zeigt
teilen: der Eingangsschaltung, dem AND-Array, Abb. 14.6c.
14 ASIC 667

Abb. 14.7 Eingangsschaltungen

Allerdings sind FPALs nicht sehr verbreitet,


da die meisten Hersteller dieser programmierba-
ren Bauteile gleich den Schritt zum GAL-Bauteil
(Generic Array Logic) vollzogen haben. We-
sentlicher Unterschied zu den FPALs sind die
umfangreichen Konfigurationsmöglichkeiten der
Ausgangsschaltung (Abschn. 14.2.1.4). Abb. 14.8 Zusammenfassung zur Produktlinie

14.2.1.1 Eingangsschaltung des PALs


Die Eingangsschaltung hat die Aufgabe, dem Array mit UND verknüpft. Das AND-Array bil-
nachfolgenden AND-Array das Eingangssignal det demnach die Konjunktion der Booleschen
Verknüpfung nach. Um alle Eingangssignale so-
sowohl invertiert als auch nicht invertiert zur Ver-
fügung zu stellen. Dies geschieht durch einen wohl positiv als auch negativ verknüpfen zu
Eingangstreiber, der neben dem eigentlichen können, muss jedes verwendete UND-Gatter ge-
Ausgang noch einen zweiten Ausgang für das in-nauso viele Eingänge besitzen, wie Eingangslei-
tungen von der Eingangsschaltung zur Verfügung
vertierte Signal besitzt. Bei getakteten Eingängen
kann dieser Treiber auch als D-Flip-Flop aus- gestellt werden. Nachdem die Eingangssignale
in negativer und positiver Logik vorliegen, sind
geführt sein, das stets mit der positiven Flanke
den Daten-Zustand am D-Eingang übernimmt. dies genau doppelt so viele Eingangsleitungen,
wie Eingänge vorhanden sind. Für ein Bauteil
Damit lassen sich zeitlich variierende Eingangs-
mit 8 Eingängen bedeutet dies, dass jedes UND-
signale auf das Taktsignal synchronisieren. Ab-
bildung 14.7 zeigt die beiden Eingangsmöglich-Gatter der Verknüpfungsmatrix 16 Eingänge be-
keiten. sitzen muss. Um diese Vielzahl der Eingangslei-
Durch die Ankopplung beider Ausgänge an tungen zeichnerisch zu vereinfachen, fasst man
das nachfolgende AND-Array stehen so das ne- diese Linien zu Produkt-Linien (engl.: product
terms) zusammen. Abbildung 14.8 zeigt die Ver-
gierte und das nicht negierte Eingangssignal zur
weiteren Verknüpfung zur Verfügung. Dies ist einfachung durch die Produkt-Linien in der PAL-
eine wichtige Voraussetzung zur Erfüllung der Schreibweise für die beiden Eingangssignale A
Booleschen Gleichungen (Abschn. 11.3), da in- und B, die auf ein UND-Gatter mit vier Eingän-
nerhalb des Verknüpfungsfeldes keine Signale gen geschaltet werden.
invertiert werden können. Das AND-Array muss Der Begriff der Produkt-Linie ist aus der
daher doppelt so viele Leitungen zur VerfügungBooleschen Algebra abgeleitet, in der die Kon-
stellen, wie Eingangssignale vorhanden sind. junktion durch das Produkt-Zeichen  zwischen
den Eingangsvariablen gebildet wird (z. B. P D
14.2.1.2 Verknüpfungen im AND-Array A  B/.
Die von den Eingangspuffern zur Verfügung ge- Funktionell wird obige Schaltungsanordnung
stellten Eingangssignale werden in dem AND- jedoch erst, wenn man in die Verknüpfungsma-
668 J. Gutekunst

Abb. 14.9 Programmierung der UND-Verknüpfung. a ausführliche Schaltungsschreibweise. b PAL-Schreibweise

trix programmierbare Verknüpfungselemente, so


genannte Sicherungen (engl.: Fuses), einführt.
Diese bestimmen schließlich, welche Eingangs-
signale an das UND-Gatter angelegt werden. Im
nicht programmierten Zustand sind alle Sicherun-
gen intakt. Abbildung 14.9 zeigt an einem ein-
fachen Beispiel, wie die Programmierung einer
Gleichung durch die Sicherungselemente in der
Matrix erfolgt. Zur Verdeutlichung der Verknüp-
fung ist neben der PAL-Schreibweise (rechts)
nochmals der ausführliche Signalverlauf aufge-
zeigt (links).

14.2.1.3 Verknüpfungen im OR-Array


Die Ausgänge des AND-Arrays (die Produkt- Abb. 14.10 Zusammenfassung der Produktlinien im OR-
Linien) fasst man in einer ODER-Matrix (OR- Array
Array) zusammen. Das OR-Array ist bei den
PALs fest programmiert (Abb. 14.6a). Die im
AND-Array erzielten Konjunktionen lassen sich OR-Array, und die Bildung des Summensignals S
nun mit Hilfe des OR-Arrays disjunktiv verknüp- aus den Produktsignalen P1 bis P4.
fen. Damit stehen alle Booleschen Verknüpfungs-
elemente (Konjunktion, Disjunktion und Inverter) 14.2.1.4 Ausgangsschaltungen
zur Verwirklichung einer Schaltung zur Verfü- Bei einfachen PAL-Bauteilen führt man die Aus-
gung. Abbildung 14.10 verdeutlicht den Signal- gänge der ODER-Verknüpfungen direkt heraus.
fluss der Eingänge A und B über das AND- und Doch immer mehr Bauteile weisen auch hier
14 ASIC 669

Abb. 14.11 Rückführung eines Ausgangssignals. a Kombinatorische Rückkopplung, b Rückkopplung über Ausgangs-
register

konfigurierbare Elemente auf, die eine flexible


Gestaltung der Ausgänge zulassen.
Eine recht bald genutzte Möglichkeit war die
Rückführung einzelner Verknüpfungsergebnis-
se in das AND-Array. Diese programmierbare
Rückführungsleitung hat die gleiche Treiber-
schaltung wie die Eingänge, so dass dieses Signal
ebenfalls negiert und nicht negiert in der AND-
Matrix zur Verfügung steht. Damit lassen sich
Zwischenergebnisse direkt in weiteren Schal-
tungsteilen verwenden. Für jede dieser Rückkop-
pelleitungen sind im AND-Array zwei program-
mierbare Leitungen und somit auch zwei Eingän- Abb. 14.12 Programmierbare Ausgangsschaltung
ge an den UND-Gattern bereitzustellen. Abbil-
dung 14.11 zeigt die Rückführung des Signals
Sn in das AND-Array durch die entsprechende lassen sich zahlreiche Datenpfade zur Konfigu-
Programmierung der Ausgangssicherungen. rierung der Ausgangsschaltung programmieren.
Bleibt die Sicherung F dabei in Takt, so steht Abbildung 14.12 zeigt den komplexen Aufbau
an diesem Ausgang (Pin) das Zwischenergebnis einer solchen programmierbaren Ausgangsschal-
zur Verfügung. Wird die Sicherung bei der Pro- tung.
grammierung zerstört, so kann auf dieses Signal Bei den Ausgangsschaltungen lassen sich drei
nicht mehr zugegriffen werden, und der Pin bleibt Arten unterscheiden: bidirektionaler Ausgang,
ungenutzt. kombinatorischer Ausgang und registergesteuer-
Die GAL-Bauteile (Generic Array Logic) wei- ter Ausgang. Programmiert man den bidirektio-
sen eine sehr flexible Ausgangsstruktur auf. Ih- nalen Ausgang fest für eine Richtung, so kann
re Ausgangsschaltung umfasst zahlreiche pro- man diese Makrozelle auch als Eingang ver-
grammierbare Zustände und trägt deshalb auch wenden, da durch die Rückkoppelleitungen ein
die Bezeichnung Ausgangs-Makrozelle. Darun- direkter Zugriff auf das AND-Array besteht. Die
ter befinden sich neben der programmierbaren Anzahl der möglichen Eingänge lässt sich so mit
Rückkopplung auch ein Ausgangsregister so- Hilfe der Ausgangs-Makrozelle erhöhen. Aller-
wie ein Tri-State-Buffer (Ausgangstreiber, der dings stehen dann entsprechend weniger Ausgän-
drei Zustände einnehmen kann: logisch „1“, lo- ge zur Verfügung. Abbildung 14.13 gibt einen
gisch „0“ und „Z“, hochohmig). Darüber hinaus Überblick über die Möglichkeiten.
670

Abb. 14.13 Ausgangskonfigurationen


J. Gutekunst
14 ASIC 671

14.2.2 Realisierung einer Schaltung Tab. 14.1 Beispiele für Boolesche Operatoren in der
PAL-Schreibweise
Zeichen Beschreibung
Die Verwirklichung einer Schaltung mit Hilfe = Negierung
eines PALs erfolgt mit entsprechenden Entwick-  UND-Verknüpfung (Konjunktion)
lungswerkzeugen auf einem Computer. Sie set- C ODER-Verknüpfung (Disjunktion)
:C: Exclusive ODER-Verknüpfung (Antivalenz)
zen die logische Verknüpfung in eine Datei um,
D kombinatorischer Ausgang
mit der die PALs programmiert werden können,
:D Registerausgang
ähnlich wie die PROMs. Für die Eingabe der D Latch-Ausgang
Schaltung stehen mehrere Möglichkeiten zur Ver-
fügung:
 Eingabe der Booleschen Gleichungen, zeichen, sind in der Tab. 14.1 zusammenge-
 Eingabe der Zustandstabelle, stellt.
 grafische Eingabe des Stromlaufs und  Nach der Eingabe der Gleichungen und des
 Eingabe durch eine Wertetabelle. gewünschten Bauteiles erfolgt die Erstellung
Während die Eingabe der Booleschen Glei- der Programmier-Dateien durch das Pro-
chungen direkt von den verschiedenen Program- gramm.
men unterstützt wird, sind bei den anderen Mög-  Eine Überprüfung dieser Programmierung
lichkeiten stets Umsetzungen notwendig. Die kann zusätzlich durch eine Simulation erfol-
Eingabe des Stromlaufplans setzt einen leistungs- gen. Dazu wird in einer Datei der zeitliche Ab-
fähigen Computer mit entsprechenden CAD-Pro- lauf der Eingangssignale als Befehlssequenz
grammen (Computer Aided Design) voraus. Aus abgelegt. Beim Abarbeiten dieser Datei liefert
der Verknüpfungsliste lassen sich anschließend der Simulator die durch die Schaltung erzeug-
die Booleschen Gleichungen zur Programmie- ten Ausgangssignale.
rung des PLD-Bauteiles ableiten.  Zur weiteren Überprüfung hat der Entwickler
Die Vorgehensweise zur Entwicklung einer die Möglichkeit, sich eine ganze Reihe von
Schaltung mit Hilfe eines PAL-Bauteiles ist im Zwischen-Dateien anzusehen. So beispiels-
Wesentlichen dieselbe, wie bei der Entwick- weise die Simulations-Historie, den JEDEC-
lung mit Standardbauelementen. Die gesamte File (weltweit standardisierte Programmier-
Entwicklung führt man bis einschließlich der daten-Format) und den Fuse-Pattern-File, in
Programmierung des Bauteiles auf einem Rechner dem die Programmierzustände der Sicherun-
durch. gen abgelegt werden. Daraus lässt sich auch
Die Schritte für eine Schaltungsrealisierung ein Ausnutzungsgrad des PALs ableiten.
durch PAL seien im Einzelnen nochmals verdeut-  Der letzte Schritt ist die tatsächliche Program-
licht: mierung des Bauteiles mit Hilfe eines uni-
 Erstellen einer Spezifikation, die besagt, wel- versellen Programmiergerätes. Sämtliche Pro-
che Schaltung durch das PAL zu realisieren grammiergeräte sind dabei in der Lage, den
ist. Dies geschieht im Allgemeinen durch eine standardisierten JEDEC-File zur Programmie-
verbale Umschreibung sowie durch die Fest- rung von Bauteilen (gültig auch für PROM,
legung und Bezeichnung der Ein- und Aus- EPROM, PAL usw.) zu verarbeiten.
gangsvariablen und deren Zeitverhalten.
 Im nächsten Schritt leitet man aus obiger Spe- Beispiel 14.2-1
zifikation die Boole’schen Gleichungen. Dabei Mit Hilfe eines PAL soll ein synchroner Zäh-
ist auf die spezielle Schreibweise der verschie- ler mit 4 Bit Breite aufgebaut werden, der in
denen Programmiersprachen zu achten, damit Abhängigkeit eines Steuersignals sowohl auf-
das nachfolgende Programm die Gleichun- wärts als auch abwärts zählen kann. Darüber
gen richtig verarbeiten kann. Beispiele für die hinaus soll er sich auf jeden beliebigen An-
wichtigsten Verknüpfungs- und Zuweisungs- fangswert setzen lassen.
672 J. Gutekunst

Lösung Q0: D Q0  LOAD  CTR


(14.4)
C LOAD  CTR  D0
Zur Herleitung der Booleschen Gleichungen
wird eine Zustandstabelle erstellt. Diese ent- Das Zuweisungszeichen WD zeigt dabei an,
hält neben dem momentanen Zustand auch dass es sich um einen Registerausgang han-
den Zustand nach dem nächsten Zählimpuls. delt, der erst nach dem nächsten Takt den
Sie beschreibt also das Übergangsverhalten kombinatorischen Zustand der rechten Glei-
der Schaltung und wird deshalb auch oft chungsseite übernimmt (Tab. 14.1).
als Übergangstabelle bezeichnet. Tabelle 14.2 Als nächstes erfolgen die Festlegung des
zeigt die Zustandstabelle für dieses Beispiel. Bauteiltyps und die Pinbelegung der An-
In Abhängigkeit des Steuersignals UP (auf- schlüsse. Da es sich um einen 4-Bit-Zähler
wärts) erfolgt eine Erhöhung oder Erniedri- handelt, wird auch ein Bauteil mit 4 Aus-
gung des momentanen Zählwertes um 1. Wäh- gangsregistern benötigt, wie es beim PAL
rend des Zählvorgangs sind die beiden Steu- 16RP4 der Fall ist. Einige Anschlüsse des
ersignale Load (laden) und Clear (löschen) Bauteiles liegen bereits fest, wie beispielswei-
inaktiv, also „0“. Soll ein neuer Zählwert von se VCC (C5 V Anschluss) am Pin 20 und GnD
den Eingangsleitungen D 0 bis D 3 übernom- (0 V) am Pin 10. Auch der Takteingang am
men werden, so erfolgt dies durch das Aktivie- Pin 1 ist bereits vorgeschrieben. Für dieses
ren von Load. Der ursprüngliche Zustand des Beispiel erfolgt die übrige Festlegung der An-
Zählers kann dabei jeder Wert gewesen sein, schlüsse nach Abb. 14.14.
was in der Tabelle durch ein X gekennzeichnet Mit diesen Informationen (Boolesche Glei-
ist (engl.: x D don’t care). Dieselben Voraus- chungen, Bauteiltyp und Pinbelegung) kann
setzungen gelten für das Löschen des Zählers man die Datei zur Beschreibung des PALs
durch Aktivieren des Löschsignals Clear. anlegen. Sie trägt üblicherweise neben dem
Mit Hilfe dieser Tabelle lassen sich die Entwicklungsnamen die Endung PDS, was für
Booleschen Gleichungen ableiten. Durch Re- PLD-Design steht. In dieser Beschreibungs-
duktion der Oder-Normalform der Ausgangs- datei sind auch die Anweisungen für die an-
signale, die aus 16 Vollkonjunktionen besteht schließende Simulation beschrieben.
(Abschn. 11.3), erhält man schließlich die
Gl. 14.1 bis 14.4:
14.2.3 Testen von PLD-Bauteilen

Q3: D Q3  Q2  Q1  Q0  UP  LOAD  CTR Der Test programmierbarer Bauteile wird gerade


C Q3  Q2  Q1  Q0  UP  LOAD  CTR durch die Vielzahl der möglichen Konfiguratio-
C Q3  Q2  UP  LOAD  CTR
nen notwendig. Er ist ungleich schwieriger durch-
C Q3  Q2  Q0  LOAD  CTR
C Q3  Q1  UP  LOAD  CTR zuführen als der Test von Standard TTL- oder
C Q3  Q1  Q0  LOAD  CTR CMOS-Bauteilen. Letztere kann man vor allem
C LOAD  CTR  D3
bereits beim Hersteller vollständig testen, wäh-
(14.1) rend die Funktion der Sicherungselemente allen-
Q2: D Q2  Q1  Q0  UP  LOAD  CTR falls exemplarisch, nicht aber in den vom Kunden
C Q2  Q1  Q0  UP  LOAD  CTR zu programmierenden Feldern überprüft werden
C Q2  Q1  Q0  LOAD  CTR
(14.2) kann. Eine Ausnahme bilden die löschbaren PLD-
C Q2  Q1  UP  LOAD  CTR Bauteile, die der Hersteller ebenfalls vollständig
C Q2  Q0  UP  LOAD  CTR
C LOAD  CTR  D2
testen und anschließend wieder in den unprogram-
mierten Zustand zurücksetzen kann.
Q1: D Q1  Q0  UP  LOAD  CTR
Erfahrungswerte zeigen, dass ungetestete Lo-
C Q1  Q0  UP  LOAD  CTR
C Q1  Q0  UP  LOAD  CTR (14.3) gikbauteile eine Ausfallquote von etwa 1% ha-
C Q1  Q0  UP  LOAD  CTR ben, und somit auch die Ausfallwahrscheinlich-
C LOAD  CTR  D1 keit der Schaltung, in der sie eingesetzt sind,
14 ASIC 673

Tab. 14.2 Zustandstabelle momentaner Zustand nächster Zustand


für 4-Bit-Zähler
UP Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 Load Clear

1 0 0 0 0 0 0 0 1 0 0
1 0 0 0 1 0 0 1 0 0 0
1 0 0 1 0 0 0 1 1 0 0
1 0 0 1 1 0 1 0 0 0 0
1 0 1 0 0 0 1 0 1 0 0
1 0 1 0 1 0 1 1 0 0 0
1 0 1 1 0 0 1 1 1 0 0
aufwärts zählen

1 0 1 1 1 1 0 0 0 0 0
1 1 0 0 0 1 0 0 1 0 0
1 1 0 0 1 1 0 1 0 0 0
1 1 0 1 0 1 0 1 1 0 0
1 1 0 1 1 1 1 0 0 0 0
1 1 1 0 0 1 1 0 1 0 0
1 1 1 0 1 1 1 1 0 0 0
1 1 1 1 0 1 1 1 1 0 0
1 1 1 1 1 0 0 0 0 0 0
0 0 0 0 0 1 1 1 1 0 0
0 1 1 1 1 1 1 1 0 0 0
0 1 1 1 0 1 1 0 1 0 0
0 1 1 0 1 1 1 0 0 0 0
0 1 1 0 0 1 0 1 1 0 0
0 1 0 1 1 1 0 1 0 0 0
0 1 0 1 0 1 0 0 1 0 0
aufwärts zählen

0 1 0 0 1 1 0 0 0 0 0
0 1 0 0 0 0 1 1 1 0 0
0 0 1 1 1 0 1 1 0 0 0
0 0 1 1 0 0 1 0 1 0 0
0 0 1 0 1 0 1 0 0 0 0
0 0 1 0 0 0 0 1 1 0 0
0 0 0 1 1 0 0 1 0 0 0
0 0 0 1 0 0 0 0 1 0 0
0 0 0 0 1 0 0 0 0 0 0
laden X X X X X D3 D2 D1 D0 1 0
zurück
X X X X X 0 0 0 0 X 1
setzen
X Zustand egal, D3 bis DO Eingangsdaten werden übernommen
674 J. Gutekunst

fekten Verbindungszonen kann so auf verunreinig-


te Masken oder einen fehlerhaften Herstellungs-
prozess hinweisen. Üblicherweise wird daraufhin
das gesamte Los nicht verwendet.
Der Test eines programmierbaren Bauteiles er-
folgt beim Planer mit Hilfe von Testvektoren. Sie
führen eine rein funktionelle Prüfung der Schal-
tung durch und werden von den Schaltungs-Da-
ten abgeleitet. Testvektoren sind Bitmuster, die an
eine Schaltung angelegt werden und auf die eine
bestimmte Reaktion des Ausgangs erwartet wird.
Sie bestehen somit aus Eingangsdaten und Aus-
gangsdaten. Decken sich die Ausgangsdaten nicht
mit dem Bitmuster, das am Ausgang des Bautei-
les anliegt, so ist durch diesen Testvektor ein Feh-
Abb. 14.14 Pinbelegung für 4-Bit-Zähler ler erkannt worden. In der Regel sind dieses Feh-
ler, bei denen ein Signal einen festen logischen
Zustand einnimmt und sich nicht bewegen lässt.
Diese Fehler bezeichnet man als Stack-At-Fehler.
Da zwei Zustände eingenommen werden können,
spricht man von einem Stack-At-0 (SA0)- oder ei-
nem Stack-At-1 (SA1)-Fehler. Für ein einfaches
UND-Gatter mit zwei Eingängen gibt es 4 SA-
Fehlermöglichkeiten am Eingang und 2 am Aus-
gang. Zur vollständigen Erfassung sind 26 , also
64 Testvektoren, notwendig.
Abhängig von der Anzahl der Ein- und Aus-
gänge berechnet sich die Anzahl der notwendigen
Abb. 14.15 Ausfallwahrscheinlichkeit in Abhängigkeit Testvektoren NTV nach
nicht getesteter Bauelemente
NTV D 22.NE CNA / (14.5)
mit
um 1% erhöhen. Mit der Anzahl der ungeteste- NTV Anzahl der Testvektoren
ten Bauteile pro Leiterplatte erhöht sich entspre- NE Anzahl der Eingänge
chend die Ausfallwahrscheinlichkeit. Für sehr NA Anzahl der Ausgänge.
komplexe Leiterplatten mit 20 und mehr unge- Die Zahl 2 im Exponenten beschreibt die
testeten Bauelementen würde bereits jede 5. Lei- Möglichkeit, beide Fehlerzustände SAO und SA1
terplatte einen Defekt aufweisen. Dieser Zusam- einzunehmen. Bereits bei 5 Ein- und Ausgängen
menhang ist in Abb. 14.15 dargestellt. sind mehr als 1000 Testvektoren notwendig. Dies
Den Test einmalig programmierbarer Bauteile zeigt, dass eine Unterstützung zur Erzeugung der
führt der Hersteller statistisch durch. Dazu pro- Testmuster durch den Rechner unerlässlich ist.
grammiert er von einem Los einige Musterwafer Dieser führt auch eine Optimierung bei der Inter-
in einer bestimmten Reihenfolge mit einem vorge- pretation der Prüfungsergebnisse durch, so dass
gebenen Testmuster. Die Auswertung fehlgeschla- bei sehr großen Schaltungen eine deutliche Ver-
gener Programmierungen gibt schließlich Auf- ringerung der notwendigen Anzahl von Testvek-
schluss, ob dies innerhalb der statistischen Streu- toren möglich ist. Damit bleibt der Testaufwand
ung liegt, oder ob es sich dabei um mehrere untypi- auch bei nahezu 100%-iger Testabdeckung in ei-
sche Ausreißer handelt. Eine Lokalisierung der de- nem erträglichen Rahmen.
14 ASIC 675

Die Testvektoren werden der zur Programmie- 14.3.1 Kanal-Gate-Array


rung notwendigen JEDEC-Datei angehängt. Ein
Test lässt sich deshalb unmittelbar im Anschluss Gate-Arrays mit Verdrahtungskanälen zählen
an die Programmierung durchführen. heute zu den am meisten verbreiteten kunden-
spezifischen Schaltungen. Der Grund für ihren
weiten Einsatzbereich liegt vor allem darin, dass
sie in ihrer Komplexität von nur wenigen Gat-
14.3 Digitale Gate-Arrays tern bis zu 100.000 Gattern und mehr verfügbar
sind. Damit steht für nahezu jeden Anwendungs-
Den größten Entwicklungsfortschritt auf dem Ge- fall ein geeignetes Grundbauteil zur Verfügung.
biet der kundenspezifischen Schaltungen haben Ein Gatter (richtiger: Gatter-Äquivalent) steht
Ende der achtziger Jahre die digitalen Gate- dabei für die Verwirklichung der Booleschen
Arrays erfahren. Die Verbesserung der alten und NAND- (NICHT-UND) bzw. NOR- (NICHT-
die Entwicklung neuer Strukturen haben den Ein- ODER)-Funktion in einer Schaltung. Aus herstel-
satz von Gate-Arrays auch für kleine und mittlere lungstechnischen Gründen fasst man oft mehrere
Unternehmen erschwinglich gemacht und so zu Gatterfunktionen in einer Primär-Zelle zusam-
einer breiten Akzeptanz in der Industrie geführt. men. Motorola kann beispielsweise durch ih-
Bei sinkenden Kosten nahm die Komplexität der re Primär-Zelle der HCA62A00-Serie 3 Gatter-
ASIC rapide zu. Dies ist vor allem auf die im- Äquivalente bilden. Den Aufbau (Layout) dieser
mer feiner werdenden Strukturen auf den Wa- Zelle sowie die 16 darin enthaltenen CMOS-
fern zurückzuführen. In gleichem Maß gingen Transistoren zeigt Abb. 14.16.
auch die damit erzielten Gatterschaltzeiten zu- Abbildung 14.17 zeigt ebenfalls die beiden
rück. Spannungsversorgungssignale VCC (C5 V) und
Bei den digitalen Gate-Arrays haben sich vor GND (0 V) zur Speisung der einzelnen Gate-
allem folgende drei grundsätzliche Strukturen Array-Zellen. Sie sind im obigen Beispiel ge-
durchgesetzt: trennt für die Ausgangstreiber und für den digi-
 (Kanal-)Gate-Array: Im allgemeinen Sprach- talen Verknüpfungskern ausgeführt. Der Grund
gebrauch verbirgt sich hinter diesem Be- dafür liegt in einer besseren Entkopplung von
griff eine vorgefertigte Silicium-Struktur, die Verknüpfungslogik (Primär-Zellen) und Aus-
erst durch Verdrahtung in bestimmten Ver- gangstreiber. Strom- und Spannungssprünge der
bindungskanälen ihre eigentliche Funktion Ausgangstreiber haben somit keinen Einfluss auf
erhält. die Logik-Zellen des Chip-Kerns. Das 0 V-Po-
 See von Gattern (Sea of Gates): Dieses Gate- tenzial (Masse) ist zudem noch als weitere Ab-
Array bezeichnet man auch oft als kanalloses schirmung um den gesamten Chip geführt. Die
Gate-Array (engl.: Channelless Gate-Array), Herausführung der Versorgungsspannung erfolgt
da hier die Verdrahtung der einzelnen Gatter über mehrere Pins. Dies können bei komplexen
über die Zellen hinweg und nicht in speziellen Bauteilen 30 Pins oder mehr sein.
Freiräumen erfolgt. Vordefinierte Funktionsblöcke, so genannte
 Programmierbare Gate-Arrays: Sie bieten den Makrosymbole, ermöglichen die Entwicklung ei-
schnellsten Weg, um komplexe kundenspezifi- ner Schaltung mit den bekannten TTL-Schalt-
sche Lösungen auf einem Chip zu realisieren zeichen. Damit entfällt die Notwendigkeit kom-
und werden vom Kunden selbst entwickelt plexer Gate-Arrays (VLSI, Very Large Scale In-
und programmiert. Bei einer Komplexität von tegration) auf Transistor- oder Gatterebene zu
mehr als 20.000 Gattern sind sowohl löschba- entwickeln. Darüber hinaus kann man mit Ma-
re Bauteile (LCA, Logic Cell Array), als auch krosymbolen weitere Funktionsblöcke definie-
einmalig programmierbare Bauteile (FPGA, ren. Sie werden als Soft-Makros bezeichnet. Da-
Field Programmable Gate-Array) verfügbar. zu gehört beispielsweise ein 16-Bit-Zähler, der
676 J. Gutekunst

Abb. 14.17 Aufbau eines Gate-Array mit Verdrahtungs-


kanälen

Abb. 14.16 Primär-Zelle von Motorola fur 3 Gatter-


Aquivalente

aus vier 4-Bit-Zähler Makros zusammengesetzt


ist und als Soft-Makro in einer benutzerspezifi-
schen Bibliothek abgelegt wurde.

14.3.2 Kanallose Gate-Arrays


(Sea of Gates) Abb. 14.18 Aufbau eines Sea of Gates-Bauteiles

Der Drang nach immer höherer Integrationsdich-


te führte schließlich zur Entwicklung kanalloser zellen sowie die Spannungszuführungen, wie
Gate-Arrays, auch Sea of Gates genannt. Die Abb. 14.18 veranschaulicht.
Architektur solcher Bauteile verfügt dabei über Bei den kanallosen Gate-Arrays erfolgt die
einen Kern, der vollständig mit aktiven Tran- Verdrahtung in zwei oder drei Metallisierungs-
sistoren angefüllt ist, eben ein Meer von Gat- ebenen über unbenutzte Transistorzellen hinweg.
tern (engl.: Sea of Gates). Um den Kern herum Dies erlaubt eine sehr flexible Führung der ein-
befinden sich konfigurierbare Ein-=Ausgangs- zelnen Verbindungen und eine Optimierung der
14 ASIC 677

Verdrahtung zeitkritischer Signale. Transistorzel-


len, über die eine Verbindung geführt wird, lassen
sich allerdings nicht mehr als Schaltungselemen-
te verwenden.
Aus diesem Grund erreichen die kanallosen
Gate-Arrays keine 100%-ige Ausnutzung, son-
dern es sind nur maximal 60% der aktiven Ele-
mente nutzbar. Wie hoch der Nutzungsgrad wirk-
lich ist, hängt von unterschiedlichen Einflüssen
ab, beispielsweise von der Anzahl der möglichen
Metallisierungsebenen. Die Brutto-Gatterzahl bei
den Sea of Gates-Bauteilen gibt daher nur be-
dingt Aufschluss über die Verfügbarkeit der Gat-
ter.
Die kanallosen Gate-Arrays haben einen ganz
Abb. 14.19 Schaltzelle bei kanallosen Gate-Arrays
entscheidenden Vorteil: durch die freie Verdrah-
tung kann man vorgefertigte, optimierte Soft-
ware-Bausteine (Soft-Makros) verwenden. Sie Auch für die Ausgangstreiber sind eine Rei-
sind bezüglich Flächenbedarf und Signalleitungs- he von Konfigurationsmöglichkeiten vorgesehen,
länge optimiert und bieten daher innerhalb des die als Makros verfügbar sind. So können bei-
Makros eine bestmögliche Ausnutzung des Sea spielsweise Leistungstreiber mit 16 mA oder Tri-
of Gate-Chips. Beispiele für solche optimierte State-Ausgänge für den direkten Anschluss an
Makrofunktionen sind Speichermodule (RAM, einen Prozessorbus genauso realisiert werden,
Random Access Memory, oder ROM, Read Only wie bidirektionale, also umschaltbare Eingänge.
Memory) und 16-Bit-Multiplizierer. Sea of Gates-Bauteile setzt man in der Re-
SGS Thomson verwendet bei ihrer ISB9000- gel bei sehr großen Schaltungs-Designs ein, die
Serie eine Basis-Zelle, die aus 3 n-Transistoren 50.000 und mehr Gatter-Äquivalente benötigen.
und 3 p-Transistoren besteht. Ihre physikalischen Die Anzahl der notwendigen Ein- bzw. Ausgänge
Eigenschaften sind so gewählt, dass sie diesel- und die damit verbundene Anzahl von Gehäuse-
ben Schaltzeiten für die abfallende wie für die anschlüssen (Pins) ist damit auch entsprechend
ansteigende Flanke erreichen. Das Layout einer hoch. Nur spezielle Gehäuse, wie beispielsweise
solchen Matrixzelle zeigt Abb. 14.19. das Pin Grid Array (PGA)-Gehäuse, kann diese
Jede dieser Basiszellen besitzt 10 horizontale Vielzahl von Kontakten aufnehmen.
Verdrahtungskanäle auf der ersten Verdrahtungs- Abbildung 14.20 zeigt ein Sea of Gates-
ebene und 9 auf der zweiten. Von beiden Ebenen Bauteil aus der Serie TC140G der Firma Toshiba
aus kann man die Basiszelle mit der Metallisie- in einem PGA-Gehäuse mit 299 Anschlüssen.
rung mit Hilfe von Durchkontaktierungen (engl.: Die Identifikation der einzelnen Pins erfolgt
Vias) verbinden. mit Hilfe einer 20 20-Matrix. Zur Kennzeich-
Die Schaltungsentwicklung für ein Gate- nung des 1. Pins (1 A) wurde dieser am Gehäuse
Array erfolgt durch umfangreiche Rechnerunter- freigelassen.
stützung. Dabei berücksichtigt man die physika-
lischen Eigenschaften und die spezifische An-
ordnung der Basiszellen. Der Planer selbst greift 14.3.3 Programmierbare Gate-Arrays
auf die vorgefertigten Makros zurück, die als
einfachste Funktion beispielsweise die NAND- Als Einstieg in die kundenspezifischen Bauteile
und NOR-Funktionen beschreiben. Die Makros haben sich die maskenprogrammierbaren Gate-
reichen dabei von diesen einfachen Booleschen Arrays in nahezu allen Gebieten durchgesetzt.
Operatoren bis zu komplexen Zählern. Dies liegt in erste Linie an der Realisierung klei-
678 J. Gutekunst

Abb. 14.20 Anschlussbelegung eines hochkomplexen Sea of Gates-Bauteiles (Werkfoto: Toshiba)

ner Schaltungen (Risikominderung beim Einstieg


in eine neue Technologie) bei sehr hoher Flexibi-
lität. Demgegenüber stehen immer noch eine lan-
ge und kostspielige Entwicklung, die vor allem
für kleinere Unternehmen oft eine Hemmschwel-
le darstellt.
Auf der anderen Seite stehen für eine kos-
tengünstige Verwirklichung kundenspezifischer
Schaltungen die PLD-Bauteile zur Verfügung.
Bei hohen Anforderungen an den Umfang der
Schaltung und an die Schaltung selbst scheitert
meist der Einsatz der PLD-Bauteile, da ihre Gat-
terzahl sehr begrenzt ist. Mit den programmierba-
ren Gate-Arrays wurde diese Lücke geschlossen.
Bei einer Komplexität von mehr als 20.000 Gat-
tern stoßen sie in Größenordnungen vor, die bis
dahin den Gate-Arrays vorbehalten waren.
Abb. 14.21 Zellstruktur des LCA-Bauteiles
Moderne Entwicklungswerkzeuge, die auf na-
hezu allen Rechnerplattformen erhältlich sind,
erleichtern darüber hinaus dem Entwickler den
um ein vom Anwender programmierbares Bau-
Einstieg in die kundenspezifischen Schaltkrei-
teil handelt, zählt es wegen seiner völlig anderen
se. Entwicklung, Simulation und Herstellung des
Strukturierung und hohen Komplexität zu den
Bauteiles unterliegen ausschließlich seiner Ver-
Gate-Arrays und nicht zu den PLD-Bauteilen.
antwortung.
Wie aus dem Namen Logic Cell Array bereits
hervorgeht, befinden sich auf dem Siliciumchip
14.3.3.1 Logic Cell Array (LCA) eine Reihe gleichartiger Zellen, die vom Benut-
Anfang 1987 präsentierte Monolithic Memories zer konfiguriert werden. Über Verdrahtungskanä-
Inc. (MMI) das Logic Cell Array LCA M2064. le kann man die einzelnen Zellen miteinander
Obwohl es sich bei diesem Bauteil ebenfalls verbinden. Abbildung 14.21 zeigt den prinzipi-
14 ASIC 679

Abb. 14.22 Schaltmatrix eines LCA-Bauteiles

ellen Aufbau eines LCA-Bauteiles. Die konfigu- Steuerein- bzw. -ausgänge des LCA-Bautei-
rierbare Logik-Zelle mit ihren programmierbaren les sorgen für den synchronen Datenaustausch
Datenpfaden ist dabei vereinfacht herausgezeich- zwischen Boot-PROM und zu konfigurieren-
net. der LCA. Abbildung 14.23 veranschaulicht vier
Die Verbindung zwischen einzelnen Zellen grundsätzliche Möglichkeiten, ein LCA-Bauteil
stellt man durch programmierbare Schaltmatri- in der Schaltung zu programmieren.
zen her. Sie besitzen an den Knotenpunkten Wird das LCA-Bauteil mit Hilfe eines Mi-
eine 6-Transistor-Zelle, ähnlich den statischen kroprozessors konfiguriert (Slave Mode oder Pe-
SRAM-Speicherzellen (Static Random Access ripheral Mode), so dient die Datenleitung DO
Memory), die ständig neu beschrieben werden als serieller Datenkanal. Ein Treiber-Programm
können. Dies ermöglicht das Programmieren des sorgt für die Umsetzung der Programmierdaten in
Bauteiles in der Anwenderschaltung. einen seriellen Datenstrom auf der Leitung D0.
Jeder Umsteigepunkt des programmierbaren
Datenpfades ist jedoch mit einem Zeitverlust ver- 14.3.3.2 Field Programmable Gate-Array
bunden. Aus diesem Grund gibt es auf dem (FPGA)
LCA-Chip auch noch eine Reihe direkter Verbin- Wie das LCA bietet auch das FPGA (Field
dungen, deren Konfigurationsmöglichkeiten al- Programmable Gate-Array) bei kostengünstiger
lerdings eingeschränkt sind. Dafür ermöglichen Entwicklung und der selbstständigen Program-
sie kritischen Signalen auf schnellstem Weg zu mierbarkeit durch den Anwender (ähnlich den
anderen Zellen zu gelangen. In Abb. 14.22 ist PLD-Bauteilen) eine Integrationsdichte, die den
die Anordnung der Schaltmatrizen sowie der di- Gate-Arrays entspricht. Der Aufbau des Chips ist
rekten Verbindungen zu sehen, die man als Long jedoch im Unterschied zum LCA der Architek-
Line Interconnection bezeichnet. tur der Gate-Arrays sehr ähnlich (horizontale und
Im spannungslosen Zustand verliert das LCA- vertikale Verdrahtungskanäle). Während sich die
Bauteil seine Konfiguration, so dass es bei je- horizontalen Verbindungen zwischen den Logik-
dem Einschaltvorgang geladen werden muss. Blöcken befinden, werden die vertikalen Verbin-
Dies kann entweder durch ein kleines EPROM dungen über die Module geführt.
(Erasable Programmable Read Only Memory, Die Verbindungen zwischen den Modulen be-
Abschn. 12.3.2) erfolgen, das einen seriellen stehen aus unterschiedlich langen Leitungen. Ihre
Datenausgang besitzt, oder durch einen Mikro- Länge reicht dabei von minimal zwei Blocklän-
prozessor. Der Konfigurationsspeicher wird als gen bis schließlich zu Leitungen, die den gesam-
Boot-PROM bezeichnet (engl. boot: obendrein). ten Kanal durchqueren.
680 J. Gutekunst

Abb. 14.23 Grundsätzliche Möglichkeiten zur Programmierung eines LCA-Bauteiles

Die kurzen Leitungssegmente bieten dabei ein hängig von der realisierten Booleschen Funktion.
Höchstmaß an Flexibilität. Benötigt man in ei- Die Boole’schen Verknüpfungen sind in einer Ta-
nem Design mehrere lange Leitungen, so kön- belle abgelegt, so dass die Eingangssignale der
nen die einzelnen Verbindungselemente zusam- Verknüpfung entsprechend auf einen Tabellen-
mengeschaltet werden. Allerdings bedeutet jeder platz zeigen. Der Inhalt dieses Tabellenplatzes
Umsteigepunkt von einer Leitung auf eine an- gibt dann das Ergebnis der Verknüpfung wieder.
dere eine Verzögerung des Signals. Speziell bei Da die Funktionsweise der Look-Up-Tabellen
Entwicklungen mit Datenbussen ist auf die lang- dem Aufbau von Speichern ähnlich ist, können
samste Datenleitung zu achten. sie auch in kleinerem Umfang als Speicher konfi-
Die Makrozellen, die bei den FPGA-Bauteilen guriert werden.
Verwendung finden, erfahren eine ständige Wei- Auch andere Hersteller bedienen sich dieser
terentwicklung. Die ersten Strukturen, die fest- Look-Up-Tabellen, um Boole’sche Verknüpfun-
programmierbar auf diesem Chips realisiert wur- gen auf dem Chip zu verwirklichen.
den, entsprachen im Wesentlichen den LCA- Im Gegensatz zu den PLD-Bauteilen, bei de-
Zellen (Abb. 14.21). Ende 1990 stellten Actel nen die nicht genutzten Sicherungselemente zer-
und XILINX eine neue Generation hochkomple- stört werden, werden bei den FPGA die leitenden
xer FPGA-Bauteile vor. Die konfigurierbaren Lo- Verbindungen zwischen den Modulen und den
gikblöcke (CLB, Configurable Logic Block) der Leitungen programmiert. Dazu erzeugt man so-
Firma XILINX besitzen dabei zwei unabhängige genannte Anti-Fuses (umgekehrte Sicherungen),
Flip-Flops und eine umfangreiche kombinatori- ein Verbindungselement, das bei Anlegen einer
sche Logik. Insgesamt bieten 13 Eingänge und 4 Programmierspannung dauerhaft in den leiten-
Ausgänge den Zugriff auf die Verknüpfungsmög- den Zustand übergeht. Diese Art der Verbin-
lichkeiten des CLB. Eine Neuerung stellt dabei dungsherstellung hat einen entscheidenden Vor-
die Verwirklichung der Booleschen Gleichungen teil: Da bei einem Schaltungsentwurf nur ein ge-
durch sogenannte Funktionsgeneratoren dar. Drei ringer Teil der möglichen Verbindungen benötigt
von ihnen sind in der XILINX-Makrozelle imple- wird, bleiben alle anderen verfügbaren Knoten-
mentiert (Abb. 14.24). stellen von der Programmiersoftware unbeachtet.
Die Durchlaufzeit (engl.: Propagation Delay) Hingegen werden bei den PROM und PAL al-
durch die Funktionsgeneratoren ist dabei unab- le nicht benötigten Verbindungen (oft mehr als
14 ASIC 681

Abb. 14.24 CLB der Firma XILINX

90%) durch die Programmierung zerstört. Bei lem die Spannungsversorgungen (C5 V und Mas-
den FPGA, mit mehr als 700.000 möglichen pro- se), aber auch eine spezielle Takt-Leitung, die
grammierbaren Verbindungen, würde dies einen über gesonderte Leitungstreiber zu jedem Modul
erheblichen Zeitaufwand bedeuten. geführt werden. Damit vermeidet man Taktver-
Ein weiterer Vorteil der Anti-Fuse-Program- zögerungen (engl.: clock-skew), wie sie durch
mierung ist die deutliche Verringerung des Pro- programmierbare Umsteigepunkte erzeugt wer-
grammier-Stresses des Chips. Geringere Ausfall- den. Darüber hinaus stehen für Testzwecke noch
wahrscheinlichkeit und eine Erhöhung der Chip- eine Reihe von Zugriffsmöglichkeiten auf die
lebensdauer, angegeben als MTBF (Mean Time einzelnen Logikblöcke und Signalleitungen zur
Between Failure) in Stunden, sind das Ergebnis. Verfügung.
Die Programmierung der Anti-Fuse-Verbin-
dungen erfolgt durch das Anlegen einer über-
höhten Spannung. Dies lässt sich direkt mit 14.4 Standard-Zellen-ASIC
den Kontaktierungen eines Gate-Arrays zwischen
den verschiedenen Metallisierungsebenen ver- PAL- und Gate-Array-Entwicklungen können bei
gleichen. Damit alle Knoten anwählbar sind, sehr großen Stückzahlen (100.000 pro Jahr und
befinden sich zwischen den Leitungssegmenten mehr) für Fertigung und Produktion sehr kost-
Transistoren, die man durch horizontale und ver- spielig werden. Die Voraussetzung für die Ent-
tikale Kontroll-Leitungen steuern kann. Abbil- wicklung eines Vollkundenschaltkreises muss da-
dung 14.25 zeigt die Programmierung einiger mit aber noch nicht erfüllt sein. Geschlossen
Anti-Fuses und die sich dadurch ergebenden bei- wird diese Lücke durch die Standard-Zellen-
den Datenpfade zwischen den Logikblöcken. ASICs, die zu den Halbkunden-Bauteilen zäh-
Neben diesen konfigurierbaren Leitungen be- len.
finden sich noch weitere Leitungen auf dem Chip, Durchgesetzt haben sich die Standard-Zellen-
deren Funktion festgelegt ist. Dies sind vor al- ASIC zuerst in der Konsumelektronik. Die dort
682 J. Gutekunst

Abb. 14.25 Programmierung eines Datenpfades

anfallenden hohen Stückzahlen sprachen für ei-


ne Integration auch komplexer Baugruppen auf
einem Silizium-Chip. Gleichzeitig wurde damit
in den meisten Fällen die Funktionalität erhöht,
bei geringerem Platzbedarf und geringeren Pro-
duktionskosten. Dem Ziel, kostengünstige End-
produkte auf den Markt zu bringen, ist man mit
den ASIC-Bauteilen einen großen Schritt näher
gekommen. Dass dies gleichermaßen für ana-
loge und digitale ASICs gilt, zeigt Abb. 14.26
am Beispiel der Fernsehübertragung über Satelli-
ten.
Werden mehr als 100.000 kundenspezifische Abb. 14.26 ASIC in der Unterhaltungselektronik (Werk-
foto: Telefunken)
Schaltkreise pro Jahr benötigt, greift man nicht
mehr auf die vorgefertigten Strukturen zurück,
sondern wählt die flexiblen Verbindungsmög- tungskanäle zur Verfügung), meistens jedoch die
lichkeiten der Standard-Zellen-Bauteile. Der sehr Tatsache, dass eine Schaltungsentwicklung mit
teuren Entwicklung (daher nur für hohe Stück- entsprechend vielen Gatteräquivalenten nicht ge-
zahlen geeignet) steht die Optimierung der Chip- nau die Größe der vorgefertigten Chips besitzt.
fläche entgegen. So bleibt stets ein Rest von ungenutzten Gatter-
Der Ausnutzungsgrad bei Gate-Arrays oder funktionen.
anderen vorgefertigten Chips kann nur im theo- Dieser Nachteil – jede Chip-Fläche ist mit
retischen Fall 100% erreichen. Doch meist bleibt Kosten verbunden – tritt bei den Standard-Zellen
ein erheblicher Teil der Chip-Fläche ungenutzt. nicht auf, weil der Chip völlig neu aufgebaut
Gründe dafür können sowohl Layout-Schwierig- wird. Durch Optimierung des Designs ist es mög-
keiten sein (es stehen nicht genügend Verdrah- lich, die Anzahl der Chips auf dem Wafer zu
14 ASIC 683

Abb. 14.27 Wafer für die Chip-Herstellung

erhöhen. Auch führt man die Wafer, die aus hoch-


reinem Silicium bestehen, in unterschiedlichen Abb. 14.28 Ausschnitt eines Wafers (Werkfoto: Telefun-
Durchmessern aus. Je größer der Durchmesser ken)
eines Wafers ist, desto mehr Chips kann man
in einer Arbeitsfolge fertigen. Abbildung 14.27
Ein Silicon Compiler, der die Makros auf
zeigt zwei Wafer mit 6 Zoll und 3 Zoll Durch-
die Chip-Strukturen zurückführt, setzt schließ-
messer.
lich den am Computer entwickelten Stromlauf
Die Verwendung sehr großer Wafer ist nicht
(Schaltung) in die notwendigen Herstellungspro-
unproblematisch. Dies setzt sehr genaue Masken
zesse um. Die Siliciumfläche wird optimiert, um
und sehr gute Optiken voraus, um Konturverzer-
so eine möglichst hohe Ausnutzung zu errei-
rungen am Rand zu vermeiden.
chen. Dies hat direkten Einfluss auf die An-
zahl der Chips, die man auf einem Wafer er-
zeugen kann. Auch hier lässt sich bereits ein
14.4.1 Aufbau der weiterer Unterschied zu den Gate-Arrays erken-
Standard-Zellen-ASIC nen: Eine Flächenoptimierung ist bei den vor-
diffundierten Strukturen des Gate-Arrays nicht
Im Gegensatz zu den Gate-Arrays basiert das möglich. Abbildung 14.28 zeigt einen Waferaus-
Standard-Zellen-Verfahren nicht auf vordiffun- schnitt mit vollständiger Ausnutzung der Chipflä-
dierten Wafern, sondern auf charakteristischen che.
Einzelzellen, die durch entsprechende Makros Eine Einschränkung der Flächenoptimierung
konfiguriert werden. Diese Makros sind Soft- kann durch die Anzahl der notwendigen Ein-=
ware-Bausteine, die sowohl einfache Gatterfunk- Ausgangs-Signale und die dazu notwendigen
tionen (NAND, NOR), als auch komplexe Zähler Treiberzellen erforderlich sein. Dies tritt insbe-
und ganze Baugruppen umfassen (z. B. eine se- sondere dort auf, wo sehr viele Signale miteinan-
rielle Schnittstelle). Sie sind in einer Bibliothek der verknüpft werden, die eigentliche Schaltung
zusammengefasst und lassen sich jederzeit in ei- aber verhältnismäßig einfach ausfällt. In diesem
ne Schaltung integrieren. Prinzipiell kann man Fall bestimmen die maximal möglich anzuord-
durch dieses Verfahren jede Schaltung wieder als nenden I=O-Pads die notwendige Siliciumfläche.
Makro in eine weitere Schaltung integrieren. Ein Um auch bei diesem Problem eine Optimie-
Beispiel dafür ist der Rechenkern des Mikropro- rung durchzuführen, stehen dem Anwender un-
zessors Z 80, der als Makro in der Standard- terschiedliche Ein-=Ausgangs-Schaltungen zur
Zellen-Bibliothek zur Verfügung steht. Verfügung. Ihre Abmessungen (Profile) unter-
684 J. Gutekunst

Abb. 14.29 Verschiedene Profile von Ein-=Ausgangszellen

scheidet man in mittel, hoch und niedrig. Abbil- gen. Da die Einrichtungskosten und die Pro-
dung 14.29 verdeutlicht den Vorteil unterschied- grammierung unabhängig von den Stückzahlen
licher I=O-Profile. erfolgt, ist man beim Elektronenstrahl-Direkt-
Bei kleinen Schaltungen mit hoher Pinzahl Schreibverfahren nicht auf bestimmte Losgrößen
lässt sich die Chipfläche durch die Verwendung festgelegt. So können auch sehr kleine Stückzah-
des hohen I=O-Profils optimieren, umgekehrt fin- len, theoretisch auch Einzelstücke, auf einem Wa-
den niedrige Profile bei komplexen Designs und fer neben anderen Designs verwirklicht werden.
wenigen Ein- und Ausgängen Verwendung. Ein ebenfalls großer Vorteil ist die einfache
Archivierung der Designs. Sie werden auf Ser-
vern (früher Magnetbändern) gespeichert und
14.4.2 Elektronenstrahl-Direkt- abgelegt. Masken hingegen müssen in klimati-
Schreibverfahren sierten Lagerräumen sehr sorgfältig aufbewahrt
werden, damit sich in den oft nur wenige m
Einen völlig neuen Weg zu kundenspezifischen breiten Strukturen keine Verzerrungen ergeben
Schaltkreisen ging die Firma ES2 (European Si- oder gar eine Schrumpfung des Films erfolgt.
licon Structures). Ihr Ziel war es, Prototypen in Die Aufbereitung der Design-Daten des ASIC
kurzer Lieferzeit und in kleinen Stückzahlen her- erfolgt mit Hilfe eines Großrechners. Dabei wer-
zustellen. Dazu ging sie von der Maskentechnik den die geometrischen Leitungszüge in mehrere
ab und entwickelte ein völlig neues Produkti- Polygone zerlegt (engl.: Fracturing). Ein weiterer
onsverfahren. Die hohe Flexibilität der Standard- Rechner steuert den Elektronenstrahl. Dabei wird
Zellen sollte erhalten bleiben und die erforderli- nicht nur die Richtung und Intensität gesteuert,
che Stückzahl erheblich verringert werden. Die sondern auch die Form des Elektronenstrahles.
Lösung dieser Herausforderung führte zu dem Abbildung 14.30 zeigt den prinzipiellen Aufbau
Elektronenstrahl-Direkt-Schreibverfahren. eines Elektronenstrahl-Direkt-Schreibautomaten.
Das Schreiben der Geometrien direkt auf den Ein Strahl, dessen Geometrie rechteckig oder
Wafer erspart die Herstellung teurer Masken und dreieckig sein kann, zudem mit einstellbarer Kan-
ermöglicht größere Freiheiten bei der Erstel- tenlänge, wird von zwei unterschiedlichen Ab-
lung kundenspezifischer Schaltkreise. Auch kann lenkeinheiten gesteuert. Dabei steuert die große
man ohne Mehraufwand verschiedene Struk- und damit langsamere Einheit den Strahl von ei-
turen auf einem Wafer gleichzeitig unterbrin- nem Layoutbereich zum anderen, also von Chip zu
14 ASIC 685

Abb. 14.31 Application Specific Standard Product: 2 D-


Abb. 14.30 Elektronenstrahl-Direkt-Schreibverfahren Convolver (Werkfoto: Plessey)

Chip auf dem Wafer. Die kleinere, sehr viel schnel-


bestens geschützt werden kann. In Baugrup-
lere Ablenkeinheit ist hingegen für die Struktur
penbeschreibungen und Veröffentlichungen stellt
innerhalb der einzelnen Bereiche und somit fürman daher ASIC-Bauteile meist als Black-Box
das eigentliche Chiplayout zuständig. Durch die
dar, die außer den Eingangssignalen keine wei-
Steuerung der Strahlbreite kann man große Flä-tere Funktionsbeschreibung enthält. Die ASIC
chen durch einen breiten Elektronenstrahl erheb-
dieser Art sind auch auf dem freien Markt nur mit
lich schneller beschreiben. Für sehr feine Struktu-
dem Einverständnis des Planers oder des Auftrag-
ren, wie beispielsweise Kanten, kann der Elektro-
gebers erhältlich.
nenstrahl bis auf ein Quadrat mit 0;1 m Kanten- Völlig anders verhält sich dies mit den Appli-
länge verengt und positioniert werden. cation Specific Standard Products (ASSP). Dabei
Da der Elektronenstrahl völlig unabhängig handelt es sich ebenfalls um kundenspezifische
von weiteren Komponenten zur Strukturbildung Bauteile, die jedoch als Standard eingeführt wur-
ist, sind praktisch keine Begrenzungen für dieden und somit für jedermann erhältlich sind. Ein
Größe der Chips und die Anzahl der verschie- Beispiel dafür ist der 2 D-Convolver Chip PD-
denen Designs pro Wafer vorhanden. Lediglich SP16488 von Plessey gemäß Abb. 14.31.
die Datenaufbereitung erfordert bei steigenden ASSP-Bauteile gehen meist aus geförderten
Design-Varianten einen erheblichen Aufwand, soProjektvorhaben hervor. Doch auch einige spe-
dass der dazu erforderliche Rechenaufwand einezielle ASIC-Entwicklungen, von denen sich der
wirtschaftliche Grenze darstellt. Hersteller eine weitere Verbreitung auf dem
Markt verspricht, sind als Standardprodukte im
Angebot. Beispiele hierfür sind spezielle Grafik-
14.4.3 Standardisierte Kontroller für den PC (VGA-Chips, Video-Gra-
Kundenschaltkreise fik-Adapter-Bauteile) oder Bus-Kontroller-Bau-
(Application Specific Standard teile, wie z. B. der VMEbus-Baustein FGA002
Products, ASSP) von NEC.
Ebenso vielfältig wie die verschiedenen Rea-
Kundenspezifische Bauteile haben den Vorteil, lisierungsmöglichkeiten sind die Gehäuse der
dass ein Entwicklungsvorsprung (Know-how) ASIC-Bauteile. In Abhängigkeit der notwendi-
686 J. Gutekunst

Abb. 14.32 ASIC als Chip


auf einem Hybrid-Bauteil
(Werkfoto: Telefunken)

gen Kontakte sind heute nahezu alle Varianten Ellwein, Ch.: (1998) Programmierbare Logik
denkbar. mit PAL und CPLD, Oldenbourg Industriever-
Hybride Schaltkreise lassen sich auch ganz lag.
 Fricke, K.: (2009) Digitaltechnik. 6. Auflage,
ohne Gehäuse herstellen. In diesem Fall wird der
ViewegCTeubner Verlag.
Chip direkt auf die Leiterplatte geklebt, und die
 Müller. D., Herrmann, G.: (2004) ASIC – Ent-
Kontakte werden mit sehr feinen Drähten, so ge-
nannten Bond-Drähten, hergestellt. Zum Schutz wurf und Test. Hanser Verlag.
vor Umwelteinflüssen wird der gesamte hybri-  PAL Device Handbook. AMD and MMI.
 Programmable Gate Arrays. AMD.
de Schaltkreis anschließend mit einem Schutzlack
 Reichardt, J.: (2011) Lehrbuch Digitaltechnik:
überzogen. Abbildung 14.32 zeigt einen Chip von
wenigen Quadratmillimetern Größe zwischen ei- Eine Einführung mit VHDL. 2. Auflage, Ol-
nigen passiven Bauelementen. Im Bild links be- denbourg Wissenschaftsverlag.
 Reichardt, J., Schwarz, B.: (2012) VHDL-
findet sich eine Diode, die kaum größer als ein
Stecknadelkopf ist. Synthese: Entwurf digitaler Schaltungen und
Systeme. 6. Auflage, Oldenbourg Wissen-
schaftsverlag.
14.5 Weiterführende Literatur  Rottner, E.: (1990) Testen und Programmie-
ren von Logikbausteinen. Markt & Technik
 ACT Field Programmable Gate Arrays. Actel. Design & Elektronik (1990) Nr. 9. Sonder-
 ASIC Data Book. Mietec. druck.
Schnittstellen, Bussysteme und Netze
15
Jürgen Gutekunst

Schnittstellen, Bussysteme und Netze finden sich und nutzen diesen gemeinsam. Bei parallelen
heute in nahezu allen technischen Bereichen und Bussystemen werden zusätzliche Steuerleitungen
stellen die Basis der Kommunikationssysteme dar. zur Verwaltung verwendet.
Im nachfolgenden Abschnitt wird auf die wich- Bei Bussystemen ist grundsätzlich eine Adres-
tigsten eingegangen. sierung der Teilnehmer notwendig, damit das
In der Norm sind die Begriffe folgendermaßen Datenpaket – unabhängig ob seriell oder par-
definiert: allel verschickt – an den richtigen Ort ge-
langt. Entsprechend aufwändig ist die dazugehö-
Schnittstelle Unter Schnittstelle versteht man rige Arbitrierung (Abschn. 15.2.1 und Abschn.
die Verbindung zweier Systeme. Die beiden Sys- 15.4.1).
teme (oder Geräte) sind über die Schnittstel- Serielle Bussysteme haben in den letzten Jah-
lenleitungen miteinander verbunden. Schnittstel- ren immer mehr Bedeutung erlangt. Dies liegt
len sind charakterisiert durch die technischen vor allem im einfachen Aufbau der Busstruk-
Beschaffenheiten, die Art der mit der Schnitt- tur, aber vor allem an der sehr kostengünstigen
stellenleitung übertragenen Signale und die Be- Implementierung bei gleichzeitig hoher Daten-
deutung der übertragenen Signale. Standardisier- leistung.
te Schnittstellen erleichtern den Austausch von
kommunizierenden Funktionseinheiten. Schnitt-
stellen stellen in der Regel eine Punkt-zu-Punkt- Netz Netze spiegeln die inhomogene Architek-
Verbindung dar (Abschn. 15.2). Die Schnittstelle tur über Busgrenzen hinweg wider. Man spricht
beschreibt nicht nur die Zahl der Leitungen und auch von lose gekoppelten Systemen, an dem
deren Bezeichnung, sondern mechanische, funk- viele einzelne, räumlich getrennte Rechner oder
tionale und elektrische Eigenschaften der einzel- Geräte angeschlossen sind. Die Kommunikation
nen Verbindungen. erfolgt durch den Austausch von Nachrichten un-
ter Beachtung bestimmter Regeln.
Bussysteme Ein Bussystem stellt ein Verbin- Dabei können in einem Netzwerk durchaus
dungssystem zwischen mehreren Teilnehmern unterschiedliche Protokolle und Medien verwen-
(bzw. Schaltwerken) dar. Dabei teilen sich die det werden. Beim Umstieg von einem Protokoll
Teilnehmer denselben Datenübertragungsweg auf ein anderes werden Gateways oder Proxies
eingesetzt.
J. Gutekunst () In Abb. 15.1 sind Schnittstellen, Bussysteme
E-Mail: jgutekunst@web.de und Netzwerke gegenübergestellt.

© Springer-Verlag GmbH Deutschland 2017 687


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_15
688 J. Gutekunst

Punkt-zu-Punkt Bussystem Netzwerk


Schnittstelle
Teilnehmer 1 …2 …n
Teilnehmer 1
Teilnehmer 1

Anschluss
Stecker
Teilnehmer 1 Teilnehmer 2
Übertragungsstrecke
• Seriell z.B.
• parallel drahtlos
Übertragungsstrecke Übertragungsstrecke
• Seriell • verschiedene
• parallel Topologien
• drahtlos Teilnehmer 3 • unterschiedliche
Medien

Stecker
Teilnehmer 2
Teilnehmer n
Teilnehmer 2

Abb. 15.1 Schnittstellen, Bussystem und Netzwerk

Punkt-zu-Punkt Punkt-zu-Gruppe Punkt-zu-allen


(Peer to Peer) (Multicast) (Broadcast)

Teilnehmer 1 Teilnehmer 1
Teilnehmer 1

Teilnehmer 2 Teilnehmer 2

Teilnehmer 3 Teilnehmer 3

Teilnehmer 4 Teilnehmer 4

Teilnehmern Teilnehmern
Alle Teilnehmer
Teilnehmer 2 werden
angesprochene angesprochen
Gruppe

Abb. 15.2 Kommunikationsarten

VPNs (Virtual Privat Network)) und Punkt zu


15.1 Grundbegriffe der
allen (Broadcast, z. B. Systemmanager an alle
Datenübertragung
Teilnehmer).
Die in Abb. 15.2 dargestellten grundsätzlichen
15.1.1 Verbindung, Betrieb Verbindungsarten sind unabhängig von der Aus-
und Übertragung prägung der Verbindung. Diese kann
 seriell,
In Abb. 15.2 sind die wesentlichen Verbindungs-  parallel oder auch
arten aufgezeigt. Man unterscheidet folgende drei  drahtlos
Verbindungsarten: Punkt zu Punkt (end-to-end ausgeführt sein. Entsprechende Arbitrierungsme-
oder auch peer-to-peer, wie beispielsweise Rech- chanismen, die protokollabhängig sind, stellen
ner-Drucker, USB-Geräte an Rechner oder Blue den richtigen Verbindungsaufbau sicher.
Tooth-Geräte), Punkt zu Gruppe (Multicast, z. B. Es gibt grundsätzlich zwei verschiedene Über-
Leitrechner zu bestimmten Steuergeräten oder tragungsarten (Abb. 15.3): serielle Übertragung
15 Schnittstellen, Bussysteme und Netze 689

Serielle Datenübertragung
Parallel/Seriell Umsetzer Seriell/Parallel Umsetzer

Daten Daten

Takterzeugung Taktrückgewinnung

Parallel Datenübertragung

Daten
Daten Adressen Daten
Steuerleitungen

Ausgangs Treiber Eingangs Treiber

Abb. 15.3 Serielle und parallele Datenübertragung

Abb. 15.4 Uni- Uni-direktionale Datenübertragung: Simplex


direktionale und
bi-direktionale Daten- Teilnehmer 1 Daten Teilnehmer 2
übertragung

Bi-direktionale Datenübertragung: Halb-Duplex

Teilnehmer 1 Daten Teilnehmer 2

Bi-direktionale Datenübertragung: Voll-Duplex

Teilnehmer 1 Teilnehmer 2

(Zeichen werden nacheinander übertragen) und in schnellen Rechnersystemen als Rückwandbus


parallele Übertragung (Zeichen werden gleich- (engl. backplane) eingesetzt werden.
zeitig auf verschiedenen Leitungen übertragen). Die Datenübertragung kann auf drei mögliche
Die drahtlose Datenübertragung fällt dabei unter Arten erfolgen
die serielle Datenübertragung, da der Datenstrom  uni-direktionale Datenübertragung (Simplex-
ebenfalls bit-seriell gesendet wird. Betrieb),
Wie Abb. 15.3 auch zeigt, ist die paralle-  bi-direktionale Datenübertragung mit gemein-
le Datenübertragung erheblich schneller als die sam genutzter Datenverbindung (Halb-Du-
serielle. So können in einem 64-Bit Rechnersys- plex-Betrieb) und
tem in einem Taktzyklus das gesamte Datenwort  bi-direktionale Datenübertragung mit getrenn-
übertragen werden, während die serielle Über- tem Datenweg für jede Richtung (Voll-Duplex-
tragung mindestens 64 Takte für die Nutzdaten Betrieb).
(engl.: payload) benötigt plus die notwendigen Abbildung 15.4 veranschaulicht die unterschied-
Takte für Datensicherung und Arbitrierung. lichen Kommunikationsverfahren zwischen zwei
Demgegenüber steht der enorm hohe Auf- oder mehreren Teilnehmern. Insbesondere fällt
wand bei parallelen Systemen, die heute nur noch auf, dass der Voll-Duplex-Betrieb folgerichtig
690 J. Gutekunst

Taktrückgewinnung
Parallel/ Erweiterung um aus Seriell/
Seriell Synchronisations Synchronisations parallel
Umsetzer Bits Bits Umsetzer

Daten + Sync.
Daten Daten

Takt

Abb. 15.5 Asynchrone Datenübertragung mit Taktrückgewinnung

einen zweiten unabhängigen Übertragungskanal I Hinweis: Oft werden Sicherungsmaßnahmen


benötigt, der insbesondere bei parallelen Sys- in Form eines Parity-Bits oder eines CRC (Cyclic
temen zu erheblichen Mehrkosten führt. Daher Redundancy Check) mit übertragen. Diese sind
findet sich diese Verbindungsart fast ausschließ- Bestandteile der Nutzdaten (Abschn. 11.2, Ko-
lich in seriellen Kommunikationssystemen. des und Abschn. 15.3.1, Serielle Schnittstellen).

Bei der synchronen Datenübertragung wer-


15.1.2 Grundlagen zur seriellen den Sender und Empfänger aus der gleichen
Datenübertragung Taktquelle versorgt. Das bedeutet, dass zum Da-
tensignal auch das Taktsignal übertragen werden
Um bei einer bitseriellen Übertragung der Daten muss. Abbildung 15.6 zeigt die dazu notwendige
den Beginn und das Ende eines Zeichens erken- Verschaltung.
nen zu können, muss die Übertragung innerhalb Der Vorteil der synchronen Datenübertragung
einer gewissen Zeitspanne erfolgen, d. h. Sender liegt in den sehr hohen Geschwindigkeiten, die
und Empfänger sind zu synchronisieren. Da der damit erreicht werden, und den wesentlich gerin-
Takt in der Regel nicht „mitgeliefert“ wird, muss gerem Aufwand auf der Empfangsseite, um die
er aus dem Datenstrom zurück gewonnen wer- Daten zu lesen (Abb. 15.6). Dieser Vorteil macht
den. In diesem Fall spricht man von asynchroner sich vor allem in den schnelleren Reaktionszeiten
Datenübertragung. Dazu wird meist vor dem ei- (Performance) bemerkbar. Ein Beispiel für die
gentlichen Datenwort Synchronisierungspulse ge- synchrone Datenübertragung ist die SPI-Schnitt-
schickt, aus denen der empfangende Teilnehmer stelle (Abschn. 15.3.1).
den Takt ableiten kann. Danach folgt ein Start-Bit, Ein weiteres Steuerelement in der seriellen
die Nutzdaten und das Stopp-Bit. Abbildung 15.5 Datenübertragung ist das Handshake-Verfahren.
veranschaulicht diesen Zusammenhang. Unter Handshake versteht man eine Verständi-
Zur Taktrückgewinnung wird in der Regel ein gung zwischen Sender und Empfänger, damit
digitaler PLL verwendet (Abschn. 13.5). Mit dem der Sender bzw. der Empfänger weiß, wann er
so erzeugten Takt wird die Datenleitung mit Hilfe ungestört senden bzw. empfangen kann. Man un-
eines taktflankengesteuerten Flip-Flops abgetas- terschiedet dabei zwischen
tet und so die Information eingelesen und abge-  Software-Handshake und
speichert.  Hardware-Handshake.
Synchronisation, Start-Bit, Nutzdaten und Beim Software-Handshake ist nur eine Leitung
Stopp-Bit unterliegen dabei strengen Regeln. vorhanden. Auf ihr signalisiert der Sender dem
Diese Regeln können unterschiedlich sein und Empfänger, dass er sendebereit ist. Der Emp-
werden als Übertragungsprotokoll bezeichnet. fänger bestätigt das Signal mit empfangsbereit.
15 Schnittstellen, Bussysteme und Netze 691

Parallel/ Daten und Takt werden über die Seriell/


Seriell serielle Verbindung übertragen parallel
Umsetzer Umsetzer

Daten
Daten Daten

Takt

Takterzeugung

Abb. 15.6 Synchrone Datenübertragung

Software Handshake Hardware Handshake Zeit


Zeit
Datenleitung
Datenleitung
Request to send (RTS) -
S E - Ruhezustand S E
Clear to send (CTS)

sendebereit
Anfrage RTS -
S E 1 S E

empfangsbereit
S E 1
Freigabe S E -
CTS
Daten
Daten
S E 1
Datenübertragung S E 1
CTS

„Daten erhalten“
S E 1 Quittierung
Quittierung

Ʃ Übertragungszyklen: 4 Ʃ Übertragungszyklen 1

Abb. 15.7 Software und Hardware Handshake Verfahren

Daraufhin übermittelt der Sender die Nachricht Abb. 15.7 ist ebenfalls gut zu sehen, dass das
(z. B. einen Text). Der Empfänger bestätigt den CTS-Signal während der ganzen Übertragungs-
Empfang. Abbildung 15.7 verdeutlicht das in der dauer aktiviert anliegt (ebenfalls rot gekennzeich-
linken Hälfte. net). Liegt eine Störung beim Empfänger vor, so
Der Hardware-Handshake, in Abb. 15.7 rechts wird das CTS-Signal inaktiv gesetzt und der Sen-
dargestellt, benutzt drei Leitungen: eine Datenlei- der stoppt die Datenübertragung.
tung zur Datenübertragung und zwei Handshake- Handshakes werden immer dann eingesetzt,
Leitungen: wenn beispielsweise der Empfänger neben der
 Request to send (RTS), sendebereit und Kommunikation noch andere Aufgaben wahr
 Clear to send (CTS), empfangsbereit. nimmt und nicht immer verfügbar ist. In diesem
Die Handshake Signale RTS und CTS stehen un- Fall kann zwar der Empfangspuffer eine defi-
mittelbar zur Verfügung und sind daher für die nierte Anzahl von Daten aufnehmen, diese ist
Gesamtübertragungszeit nicht von Bedeutung. In aber begrenzt. Damit keine Daten verloren gehen,
692 J. Gutekunst

Sender Übertragungsweg Empfänger

Ausgangsregister

Adressregister
A0
A1
Adressleitung
An

n Leitungen für Adressen notwendig


Eingangsregister

Datenregister
D0
D1
Ausgangs-/

Datenleitung

Dm

m Leitungen für Daten notwendig

Steuerleitung (CS, Takt, etc.)

Abb. 15.8 Parallele Datenübertragung mit getrenntem Daten- und Adressbus

wird die Empfangsbereitschaft inaktiv gesetzt, al- plette Datensatz als ganzes zur Verfügung steht
so das Handshake-Signal deaktiviert. und daher erheblich schneller abgearbeitet wer-
Da der Hardware-Handshake wesentlich den kann. Dies setzt eine entsprechend aufwän-
schneller ist als der Software-Handshake, da we- dige Datenverbindung voraus. Grundsätzlich sind
niger Zeichen zu übertragen sind, wird er bevor- immer drei Informationsgruppen zur parallelen
zugt eingesetzt (Abschn. 15.3.1). Auch erreicht Datenübertragung notwendig:
man dadurch eine höhere Datensicherheit.  die Datengruppe oder auch Datenbus genannt,
 die Adressgruppe oder Adressbus und
 die Steuergruppe.
15.1.3 Grundlagen zur parallele Abbildung 15.8 zeigt eine allgemeine Darstel-
Datenübertragung lung einer parallelen Datenübertragung.
Wie aus Abb. 15.8 zu ersehen, ist für die
Die parallele Datenübertragung wird vor allem Adressierung nur 1 Teilnehmer verantwortlich,
beim Austausch sehr großer Datenmengen bei der auch zugleich die Steuerung übernimmt. Da-
sehr hohen Geschwindigkeiten verwendet. Sie bei wird auch in den meisten Fällen ein Taktsi-
geht zurück auf die ersten Rechner, bei denen gnal übertragen, das das sichere und synchrone
in den 80er Jahren der Prozessorbus – meist ein Einlesen der Daten ermöglicht.
8 Bit breiter Bus – auch für die Ansteuerung der Für die Ansteuerung von Peripherie hat man
Peripherie verwendet wurde. schnell erkannt, dass die aufwändige parallele
Parallele Datenübertragung kann in den we- Übertragung mit steigender Bit-Breite nicht mehr
sentlichen Verbindungsformen, wie in Abschn. sinnvoll umsetzbar ist. So würden Verbindungs-
15.1.1 beschrieben, erfolgen: leitungen mit extrem hoher Adernzahl notwen-
 als Punkt-zu-Punkt Verbindung oder dig, mit entsprechend hohen Kosten.
 als Bussystem mit Multicast und Broadcast Ei- Aus diesem Grund hat man schon früh begon-
genschaften. nen, Teile der Information zu multiplexen. Da-
Der wesentliche Unterschied zur bitseriellen bei werden beispielsweise Daten und Adressen
Übertragung (Abschn. 15.1.2) ist, dass der kom- auf denselben Leitungen übertragen und an der
15 Schnittstellen, Bussysteme und Netze 693

Sender Überbertragungsweg Empfänger

Ausgangsregister

Adressregister
D0 A0 AS
D1 A1
Datenleitung
Eingangsregister

Dn An

Datenregister
Ausgangs-/

n Leitungen für Daten und Adressen

Steuerleitung (Adress-Strobes, Daten-Strobe, etc.)


DS

Steuerung

Abb. 15.9 Parallele Datenübertragung mit gemultiplexten Daten-Adressleitungen

Empfangsseite wieder getrennt. Abbildung 15.9 gestiegen ist, dass die parallelen Schnittstellen als
veranschaulicht die modifizierte parallele Daten- Verbindung von peripheren Geräte nahezu bedeu-
übertragung. tungslos geworden sind. Einzig der Messgeräte-
Im Steuerbus werden dazu zwei zusätzli- Bus nach IEC findet sich nach wie vor in den La-
che Signale benötigt, der Adress-Strobe und der bors, weshalb auf ihn im Abschn. 15.2.1 näher
Daten-Strobe. Üblicherweise werden die Adres- eingegangen wird, sowie der SCSI-Bus in Ab-
sen zuerst gesendet und anschließend mit dem schn. 15.2.2.
Adress-Strobe in das Adressregister geladen. Un-
mittelbar danach werden die Daten mit Hilfe des
Daten-Strobes in das Datenregister des Empfän- 15.1.4 Topologien
gers geladen und stehen nun dem Empfänger zur
Verfügung. Serielle und parallele Bussysteme gibt es in un-
Bei der parallelen Datenübertragung erfolgt terschiedlichen Ausprägungen. Ein wesentliches
die Adresserkennung mit wenigen Ausnahmen Merkmal ist dabei, wie die Struktur des Netzwer-
immer auf der Empfängerseite. Das heißt, dass kes aufgebaut ist. Man unterscheidet:
die nachgeschaltete Adressdekodierung entschei-  Sternstruktur,
det, ob das empfangene Datum für diese Bau-  Ringstruktur,
gruppe gültig ist oder verworfen wird.  Busstruktur und
Wichtige Vertreter von parallelen Datenüber-  Baumstruktur.
tragungssystemen sind: Dabei können auch Kombinationen der oben auf-
 der IEC-Bus zur Messgeräte-Steuerung (Ab- geführten Strukturen auftreten. Abbildung 15.10
schn. 15.2.1) gibt hierzu eine Übersicht und nennt auch einige
 der VME-Bus als Rückwandbus für Rechner- Beispiele.
systeme und Damit auf dem gemeinsamen Busmedium
 der SCSI-Bus als periphere Rechnerschnitt- (Buskabel) Daten ausgetauscht werden können,
stelle (Abschn. 15.2.2). muss der Zugriff geregelt werden. In Abhängig-
Allgemein kann gesagt werden, dass die Leis- keit der Kommunikationsinitiative unterscheidet
tungsfähigkeit der seriellen Schnittstellen derart man
694 J. Gutekunst

Topologie Bus- / Linien-Struktur Stern-Struktur Ring-Struktur Baum-Struktur

M: Master
Aufbau S: Slave M
M
M S S
M S S
S
S S S
S S
S S S S
M: Master S
S: Slave
M: Master
S: Slave
M: Master
S: Slave
S S

Beispiele Profibus Interbus S AS-Interface


DIO-Bus
CAN-Bus Ethernet LON
Ethernet
Bit-Bus Sercos

Abb. 15.10 Unterschiedliche Bus-Topologien

 Multi-Master Busse und


15.2 Parallele Schnittstellen
 Mono-Master oder Master-Slave Busse.
Bei Multi-Master Bussen kann jeder Busteilneh-
Bereits in den frühen 80er Jahren wurden die par-
mer die Initiative zur Kommunikation ergreifen.
allele Datenübertragung zum Anschluss an Rech-
Allerdings müssen die Zugriffsrechte und -regeln
nersysteme genutzt. Viele sind mittlerweile nicht
eingehalten werden. Die wichtigsten Vertreter
mehr auf dem Markt verfügbar, wie beispielswei-
hierfür sind der CAN-Bus bei den Standard Feld-
se die Centronics-Schnittstelle für Drucker. Nur
bussen und die TCP=IP-Kommunikation bei den
wenige haben sich bis in die Gegenwart gehalten
ethernetbasierten Feldbussen.
und wurden weiterentwickelt.
Bei Mono-Master Bussen gibt es nur einen In-
In den nachfolgenden Abschnitten wird auf
itiator. Dieser fragt die anderen Teilnehmer zy-
die beiden wesentlichen Vertreter ausführlicher
klisch ab. Die passiven Teilnehmer werden als
eingegangen.
Slaves (S) oder Devices bezeichnet. Dieses Ver-
fahren wird auch oft als „Scanning-Verfahren“ be-
zeichnet, da der Master die angeschlossenen Devi-
ces einzeln nacheinander abfragt. Für den Augen- 15.2.1 IEC-Bus
blick der Kommunikation entsteht so eine Punkt-
zu-Punkt-Verbindung. Damit wird die Determi- Eine im Laborbereich häufig anzutreffende
nistik sichergestellt, die beispielsweise in Maschi- Schnittstelle ist die IEC-Bus-Schnittstelle (IEC:
nenablaufsteuerungen zwingend notwendig ist. International Electrotechnical Commission).
Durch den IEC-Bus lassen sich unterschiedlichs-
I Hinweis: In einem deterministischen System te Mess-Systeme zusammenschließen. Es liegen
erfolgt die Reaktion auf ein Ereignis in einem die Normen IEC-625 Teil 1 und 2 (25poliger
maximal zulässigen Zeitraum sicher. Wenn also Sub-D Stecker) und IEEE-488 (24poliger Ste-
ein System garantieren kann, dass eine Ant- cker) zugrunde. Folgende drei Leitungsgruppen
wort auf eine Anfrage innerhalb einer vorge- sind zu unterscheiden (Abb. 15.11):
gebenen Zeit sicher verfügbar ist, gilt es als  Datenleitungen,
deterministisch. Dies ist unabhängig von der  Handshake-Leitungen und
Geschwindigkeit.  Steuerleitungen.
15 Schnittstellen, Bussysteme und Netze 695

D1
D2 Datenleitungen
D1 – D8
D8

3 Handshake Leitungen: NDAC, NRFD und DAV

5 Steuerleitungen:
EOJ, REN, SRQ, IFC und ATN

Steuerrechner Messgerät Plotter oder


(z.B. PC) Drucker

Abb. 15.11 Aufbau und Topologie des IEC-Bus

Datenleitungen Beim IEC-Bus stehen 8 Daten- empfangen können. Sind die anstehenden Daten
leitungen zur Verfügung, die zur Ein- und Aus- übernommen, so teilen dies die Empfänger durch
gabe dienen, d. h. man kann sowohl Daten emp- die NDAC-Leitung (keine Daten empfangen) mit.
fangen als auch senden. Somit ist der Datenbus Anschließend erklärt der Sender durch die DAV-
ein bi-direktionaler Bus, dessen Kommunikati- Leitung (Daten stehen bereit) die Daten solange
onsrichtung durch entsprechende Steuerleitungen für ungültig, bis neue Daten auf den Datenlei-
eingestellt wird. tungen bereitstehen. Sind die Empfänger mit der
Verarbeitung der übernommenen Daten fertig, so
Handshake-Leitungen Der IEC-Bus hat drei teilen sie dies durch die NRFD- und NDAC-Lei-
Handshake-Leitungen. tungen über den Handshake-Bus mit.
DAV: Data Valid, Daten stehen bereit,
NRFD: Not Ready For Data, keine Bereitschaft Steuerleitungen Zur Steuerung des Datenflus-
zur Datenübernahme und ses dienen beim IEC-Bus folgende fünf Leitun-
NDAC: No Data Accepted, keine Daten empfan- gen:
gen. REN: Remote Enable, Fernsteuerungssignal,
Am Bus können zwar mehrere Sender vorhan- EOI: End or Identify, Ende- oder Identifikati-
den sein, jedoch darf nur jeweils ein Sender aktiv onssignal,
sein. Man darf auch nur dann senden, wenn alle IFC: Interface Clear, Interface betriebsbereit
angesprochenen Teilnehmer sich als empfangsbe- schalten,
reit gemeldet haben (der Kommunikationsablauf SRQ: Service Request, Bedienungsanforde-
ist entsprechend dem Hardware-Handshake nach rung und
Abb. 15.7). Durch die Leitung DAV (Daten ste- ATN: Attention, Achtung- oder Null-Leitung.
hen bereit) teilt der Sender mit, dass auf den Durch die REN-Leitung schaltet man die an den
Datenleitungen Daten zum Empfang bereitste- Bus angeschlossenen Geräte auf Fernsteuerungs-
hen. Anschließend erklären die Empfänger über betrieb um und schaltet die Bedienungsfunktio-
die NRFD-Leitung (keine Bereitschaft zur Da- nen an den Geräten aus. Nach dem letzten Byte
tenübernahme), dass sie keine weiteren Daten eines Datenblocks wird die EOI-Leitung (Ende-
696 J. Gutekunst

Abb. 15.12 Steckerbele-


gung nach IEEE-488 und
IEC-625

Signal) aktiviert oder die ATN-Leitung (Ach- 1 Mbyte=s. Maximal kann man 15 Geräte an-
tung) meldet, welche der angeschlossenen Gerä- schließen, wobei mindestens zwei Geräte vorhan-
te einen Datentransfer benötigen. Anschließend den sein müssen, von denen eines als Steuerein-
werden alle angeschlossenen Geräte in einen de- heit (IEC-Bus-Controller) eingerichtet sein muss.
finierten Grundzustand versetzt (Reset). Mit der
SRQ-Leitung (Bedienungsanforderung) fordert Nachrichten und Befehle im IEC-Bussystem
ein am Bus angeschlossenes Gerät eine Bedie- Zusätzlich zu den Handshake-Leitungen sendet
nung vom Steuergerät (Controller) an. Die ATN- man Befehle und Nachrichten auch als Byte-
Leitung zeigt an, ob sich gültige Daten auf den folgen über den Bus. Diese Nachrichten lassen
Datenleitungen befinden oder ob Adressen und sich in vier Gruppen einteilen: Universalbefeh-
Gerätenachrichten über die Datenleitungen aus- le (für alle Teilnehmer), adressierte Befehle (nur
getauscht werden. für bestimmte Teilnehmer gültig), Adressen (an-
geschlossene Geräte können als Sender oder
Elektrische Festlegungen Die elektrischen Pe- Empfänger eingestellt werden) und Unteradres-
gel der Bussignale entsprechen den Pegeln von sen und Sekundärbefehle (Geräte mit Zwei-Byte-
TTL-Bauteilen (Abschn. 12.1.1). Das heißt, gül- Adressen).
tige Pegel sind 0 V US 0;8 V und 2;4 V Abbildung 15.12 zeigt die Steckerbelegung
US 5 V. Die Zuordnung der Pegel zu logischen für den IEC-Bus, einmal für den Stecker nach
Werten entspricht der einer negativen Logik, d. h. IEEE-488 und den 25-poligen Sub-D-Stecker
eine Spannung US 0;8 V entspricht dem Wert nach IEC-625.
„1“ und eine Spannung US
0;8 V entspricht Tabelle 15.1 stellt die einzelnen Signale ge-
dem Wert „0“. Die Signale der einzelnen Gerä- genüber.
te werden mit logisch- UND verknüpft, d. h., die
Spannung US kann nur dann den Wert 2,4 V über- 15.2.2 SCSI-Bus
schreiten, wenn alle Geräte diesen Pegel senden.
Eine Gesamtkabellänge von 20 m sollte man SCSI steht für Small Computer System Interface
nicht überschreiten und die Abstände zwischen und ist bereits seit mehr als 25 Jahren nicht mehr
den Geräten sollten nicht größer als 2 m sein aus der Rechnertechnik wegzudenken. Es ist ein
(Ausnahme: Zwischen dem Controller und ers- 8=16-Bit paralleles Interface, das zunächst nur für
tem Gerät dürfen bis zu 4 m Abstand sein). die Anschaltung von schnellen Peripheriegeräten
Die Ausgabegeschwindigkeit liegt, abhängig von geplant war, wie beispielsweise Festplattenspei-
den Ausgabebauteilen, zwischen 250 Byte=s und cher und später Brenner für CD-ROMs.
15 Schnittstellen, Bussysteme und Netze 697

Tab. 15.1 Signalbelegung der Steckerkontakte nach 640 MByte=s zu übertragen. Tabelle 15.2 gibt
IEEE-488 und IEC-625 eine Übersicht über die unterschiedlichen Evo-
Kontakt Nr. IEEE-488 IEC-625 lutionsstufen und die damit zusammenhängende
1 D1 D1 Performance Steigerung.
2 D2 D2 Wie aus der Tabelle zu ersehen ist, basiert die
3 D3 D3 Standard Variante immer auf einem 8-Bit Da-
4 D4 D4 tenbus, während die Wide Variante einen doppelt
5 EOI REN
breiten Datenbus, also 16-Bit, besitzt. Die letzten
6 DAV EOI
Entwicklungen, Ultra-160, Ultra-320 und Ultra-
7 NRFD DAV
640 haben grundsätzlich einen 16 Bit breiten
8 NDAC NRFD
9 IFC NDAC
Datenbus. Für diese Ausprägungen findet man
10 SRQ IFC auch die Bezeichnung Ultra-3, Ultra-4 und Ultra-
11 ATN SRQ 5 SCSI.
12 Abschirmung ATN Der SCSI-Bus wird heute vorwiegend in Re-
13 D5 Abschirmung chenzentren bei Serversystemen eingesetzt. Die
14 D6 D5 unterschiedlichen Ausprägungen haben auch un-
15 D7 D6 terschiedliche Stecker und Verbindungsleitungen
16 D8 D7 hervorgebracht (rechte Spalte in Tab. 15.2).
17 REN D8 Die Weiterentwicklung hat auch Einfluss auf
18 GND GND die elektrischen Signale gehabt. So gibt es heute
19 GND GND
3 elektrische Standards:
20 GND GND
 Single-Ended SCSI (SE),
21 GND GND
 Low-Voltage-Differential SCSI (LVD) und
22 GND GND
23 GND GND
 High-Voltage-Differential SCSI (HVD).
24 GND GND Letzteres wird nur in großen Rechnersystemen
25 – GND eingesetzt und ist nicht kompatibel zu SE und
LVD. LVD-Geräte sind hingegen meist rück-
wärtskompatibel und können auch im SE-Mode
gefahren werden.
SCSI hat über die Zeit verschiedene Innova- Typischer Weise werden für SCSI-Verbindun-
tionszyklen erlebt und ist heute in der schnells- gen 50-polige bzw. 68-polige Leitungen verwen-
ten Variante als SCSI-640 in der Lage, etwa det. Einzige Ausnahme ist die neueste Generation

Tab. 15.2 Verschiedene SCSI Standards und deren Eigenschaften


SCSI Übertragungsrate Daten Breite Takt Buslänge Max. Teilnehmer Leitungs-Typ
[MByte=s] [Bit] [MHz] [m] [Anzahl] [Adernzahl]
SCSI (SCSI-1) 5 8 5 6 8 50
Wide SCSI (SCSI-2) 10 16 5 3 16 68
Fast SCSI (SCSI-2) 10 8 10 3 8 50
Fast-Wide (SCSI-2) 20 16 10 3 16 68
Ultra SCSI (SCSI-3) 20 8 20 3 8 50
Ultra Wide (SCSI-3) 40 16 20 3 8 68
Ultra 2 SCSI 40 8 40 12 8 50
Ultra 2 Wide SCSI 80 16 40 12 16 68
Ultra 160 SCSI 160 16 40 12 16 68
Ultra320 SCSI 320 16 80 12 16 80
Ultra 640 SCSI 640 16 160 10 16 80
698 J. Gutekunst

Tab. 15.3 Signalbelegung


 SROLJHU6WHFNHU :LGH6&6,  
beim 40-poligen und 68-
poligem Leitungstyp  :LGH SROLJ :LGH 

6( /9' 3LQ 3LQ 3LQ 3LQ /9'6(

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '% 3      '% 3 

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '% 3      '% 3 

0DVVH 0DVVH     0DVVH

0DVVH 'LIIVHQV     0DVVH

7HUPSZU 7HUPSZU     7HUPSZU

7HUPSZU 7HUPSZU     7HUPSZU

UHVHUYLHUW UHVHUYLHUW     UHVHUYLHUW

0DVVH 0DVVH     0DVVH

6LJQDOPDVVH $71     $71

0DVVH 0DVVH     0DVVH

6LJQDOPDVVH %6<     %6<

6LJQDOPDVVH $&.     $&.

6LJQDOPDVVH 567     567

6LJQDOPDVVH 06*     06*

6LJQDOPDVVH 6(/     6(/

6LJQDOPDVVH &'     &'

6LJQDOPDVVH 5(4     5(4

6LJQDOPDVVH ,2     ,2

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  

6LJQDOPDVVH '%       '%  


15 Schnittstellen, Bussysteme und Netze 699

Abb. 15.13 SCSI Abschlussstecker und seine Beschaltung

SCSI-320, die ein 80-poliges Kabel benötigt. Der


15.3 Serielle Schnittstellen
Unterschied zwischen SE-SCSI und LVD-SCSI
zeigt die Signalbelegung in Tab. 15.3. Dabei
15.3.1 TIA-232-F, V.24-Schnittstelle
wird bei der Single-Ended-Variante jede zweite
Ader als Bezugspotenzial verwendet und trägt so
das Masse Potenzial (GND). In der differenziel- Die V.24-Schnittstelle, in Europa üblicherwei-
len Variante (LVD) wird diese Signalleitung zur se auch als RS-232 bezeichnet (RS steht dabei
Übertragung des Differenzsignals verwendet. für radio sector), ist eine serielle Schnittstelle,
Auf Grund der hohen Datengeschwindigkei- die sowohl Daten empfangen als auch senden
ten muss der Datenbus abgeschlossen werden. kann. Für diese Schnittstelle gibt es eine Viel-
Dies ist notwendig, da sonst Reflexionen am Lei- falt von Bezeichnungen und Normen, so dass es
tungsende die Datenübertragung stören können oftmals zu Begriffsverwirrungen kommt. V.24 ist
und den Dateninhalt verfälschen und damit un- eine Abkürzung der internationalen Norm CCITT
brauchbar machen (Abschn. 13.3). V.24, in der allerdings keine elektrischen Eigen-
Es gibt zwei Möglichkeiten der Busterminie- schaften festgelegt sind. In der entsprechenden
rung: amerikanischen Norm TIA-232-F (1997) sind
 passive Terminierung durch ein Widerstands- dagegen nur die elektrischen Eigenschaften de-
netzwerk und finiert (TIA: Telecommunication Industry Asso-
 aktive Terminierung durch eine Konstant- ciation). Abbildung 15.14 zeigt die Schnittstel-
stromquelle. lensignale und deren Bezeichnungen.
Die aktive Terminierung findet man vorwiegend Darüber hinaus findet man auch noch gele-
in Rechnersystemen, um die Verlustleistung so gentlich Sondersignale, wie SQD (Signal Quality
gering wie möglich zu halten. Die passive Ter- Detect, Pin 21) oder RI (Ring Indicator, Pin 22),
minierung erfolgt hingegen als externe Termi- eine Art „Klingelleitung“ zum „Anklopfen“.
nierung und ist in Form eines Abschlusssteckers
realisiert. Abbildung 15.13 zeigt ein Bild eines 15.3.1.1 Signale
solchen Abschlusssteckers und die zugehörige Die Leitungen nach V.24 unterteilt man in: Da-
einfache Beschaltung, die für jede Leitung not- tenleitungen, Steuerleitungen, Meldeleitungen,
wendig ist. Taktleitungen und Stromversorgungsleitungen.
700 J. Gutekunst

DEE, Datenendeinrichtung DÜE, Datenübertragungseinrichtung


DTE, Data Terminal Equipment DCE, Data Communication Equipment
GND (Bezugspotential)
Datenübertragungs TXD (Transmit Data, Sendedaten)
signale, GND
RXD (Recieve Data, Empfangsdaten)

RTS (Request To Send, Empfangsanfrage)


CTS (Clear To Send, Empfangsbereitschaft)
Handshake Signale
DTR (Data Terminal Ready, Sendebereitschaft)

DSR (Data Set Ready, Betriebsbereitschaft)


Handshake Signale,
Erweiterung DCD (Data Carrier Detect, Signal erkannt

TC (Transmitter Clock, Sendeschritttakt)


Taktletungen RC (Reciever Clock, Empfangsschritttakt)

Abb. 15.14 Schnittstellen Signale der V.24-Schnittstelle

Datenleitungen Da der gesamte Datenaus- Kontakt Nr. 6: DSR (Data Set Ready), Betriebs-
tausch der V.24-Schnittstelle bitseriell erfolgt, bereitschaft und
braucht man nur zwei Leitungen (eine Hin- und Kontakt Nr. 8: DCD (Data Channel Received
eine Rückleitung). Diese sind: Line Signal Detector), Empfangssignal-
Kontakt Nr. 2: TXD (Transmit Data), Sendeda- pegel.
ten und
Kontakt Nr. 3: RXD (Received Data), Emp- Taktleitungen Um eine synchrone Datenüber-
fangsdaten. tragung zu ermöglichen, müssen Taktleitungen
vorhanden sein, die den Sende- und Empfangs-
schrittakt des Senders zum Empfänger bzw.
Steuerleitungen Sie dienen zum Ein- und Aus-
umgekehrt übermitteln. Dabei kann man unter-
schalten des Senders vom Empfänger aus und zur
schiedliche Geschwindigkeiten zum Senden bzw.
Festlegung des Übertragungszustandes des Sen-
zum Empfang benutzen, und zwar mit folgenden
ders. Diese sind:
Leitungen:
Kontakt Nr. 4: RTS (Request To Send), Sender
Kontakt Nr. 15: TC (Transmitter Signal Ele-
einschalten und
ment Timing), Sendeschrittakt vom Sender
Kontakt Nr. 20: DTR (Data Terminal Ready),
(DÜE) an den Empfänger (DEE),
Endgerät betriebsbereit (kann auch als
Kontakt Nr. 17: RC (Receiver Signal Element Ti-
BUSY-Signal des Empfängers angesehen
ming), Empfangsschritt-Takt vom Sender
werden).
(DÜE) an den Empfänger (DEE) und
Kontakt Nr. 24: Transmitter Signal Element Ti-
Meldeleitungen Um die Betriebsbereitschaft ming, Sendeschritt-Takt vom Empfänger
und die Sendebereitschaft des Senders anzuzei- (DEE) an den Sender (DÜE).
gen, bedient sich der Sender zweier Leitungen
(CTS und DSR). Der Empfänger zeigt mit Hilfe Stromversorgungsleitungen Für einen siche-
der Leitung DCD an, ob bei den empfange- ren Betrieb, verbindet man beim Sender und
nen Informationen die richtigen Pegelverhältnis- Empfänger die Schutzerde und die Betriebser-
se (s. elektrische Eigenschaften) herrschen. Diese de (Bezugspotenzial 0 V) miteinander. Dies ge-
Leitungen sind: schieht mit den Leitungen Stift Nr. 1: (Protective
Kontakt Nr. 5: CTS (Clear To Send), Sendebe- Ground), Schutzerde und Stift Nr. 7: (Signal
reitschaft, Ground), Betriebserde.
15 Schnittstellen, Bussysteme und Netze 701

15.3.1.2 Elektrische Eigenschaften der Stromversorgungsleitungen) gekreuzt werden


müssen. Kommt bei der Zusammenschaltung kei-
Pegelfestlegung Die elektrischen Eigenschaf- ne Übertragung zustande, so sind folgende Punk-
ten der V.24=TIA232F-Schnittstelle (bzw. V.24= te zu überprüfen: Übertragungsgeschwindigkeit,
V.28-Schnittstelle) sind durch Spannungen defi- Datenformat, Paritätsprüfung und Protokollver-
niert, die in den Bereichen von 15 V bis C15 V fahren.
liegen können. Im Bereich zwischen 3 V und
C3 V ist ein ungültiger Bereich definiert, um Übertragungsgeschwindigkeit Die Übertra-
die Signale deutlich trennen zu können. Die Pe- gungsgeschwindigkeiten von beiden Geräten
gelfestlegung definiert eine logische „1“ (engl.: müssen übereinstimmen. Sie sind bei den meis-
MARK) für Spannungen im Bereich 15 V < ten Geräten entweder mit Hilfe von Programmen
US < 3 V und eine logische „0“ (engl.: SPACE) (früher auch DIP-Schaltern) einzustellen. V.24
für Spannungen im Bereich C3 V < US < C15 V. verwendet folgende Übertragungsgeschwindig-
keiten: 50, 75, 110, 134,5, 150, 200, 300, 600,
Leitungslängen Die Kabellänge hängt bei den 1200, 1800, 2400, 4800, 9600, 19.200, 38.400
Normen TIA232 bzw. V.28 im Wesentlichen von und 115:200 Bit=s. Bei letzterer Datenrate ver-
der Übertragungsgeschwindigkeit ab. Bei Ge- kürzt sich die Leitungslänge auf < 2 m.
schwindigkeiten über 1000 Bit=s wird die Lei-
tungslänge L (in m) nach der Formel L D Datenformate Hierbei gibt es die Möglichkeit,
1=G  106 empfohlen, wobei G die Übertragungs- die Wortlänge (5 Bit bis 8 Bit) und die Zahl der
geschwindigkeit in Bit=s ist. Die Norm V.28 legt Stopp-Bits (1, 1,5 oder 2) zu wählen. Vorange-
keine Längen fest, verlangt aber, dass die Last- stellt wird ein Startschritt, der nicht abgeschaltet
kapazität (unter Einschluss der Kabelkapazität) bzw. verlängert werden kann.
2500 pF nicht überschreitet.
Da die Leitungen nach dem TIA-Standard Paritätsprüfung Man unterscheidet zwischen
nicht abgeschlossen werden, entstehen an den keiner Parität (keine Fehlererkennung), gera-
Leitungsenden grundsätzlich Reflexionen (Ab- der Parität (engl.: even) und ungerader Parität
schn. 13.3.1). Um dennoch die dadurch auftreten- (engl.: odd). Das Parity-Bit hat dabei die Aufga-
den Störungen bei höheren Übertragungsraten zu be, beispielsweise bei gerader Parität die Anzahl
minimieren, begrenzt der Standard die Flanken- der Einsen in einem Wort auf eine gerade An-
steilheit auf maximal 30 V=s. zahl zu ergänzen, wenn dies nicht gegeben ist.
Die Prüfsumme gibt dabei stets „0“, wie Tab. 15.4
15.3.1.3 Verbindungen und Fehlersuche zeigt.
Da man über V.24-Schnittstellen sowohl Sender So ist in obiger Tabelle der dezimale Wert 2
mit Empfängern (z. B. Computer mit Drucker durch das binäre Wort 0010 beschrieben, was bei
oder Modem) als auch Sender mit Sendern (z. B. gerader Parität eine 1 als Ergänzung zur Folge
Computer mit Computern) zusammenschalten hat. Bei der dezimalen Zahl 3 ist dies nicht not-
kann, ergibt sich ein erstes Anschlussproblem. Da wendig, da das binäre Wort bereits zwei 1sen
zusätzlich nicht von jedem Gerät alle Leitungen enthält.
benutzt bzw. angeboten werden, ist die Zusam- Die ungerade Parität arbeitet nach derselben
menschaltung zweier Geräte mittels V.24-Kabeln Vorgehensweise, die Prüfsumme ist dabei aller-
längst nicht so einfach wie beispielsweise bei der dings stets „1“. Deshalb muss das übertragene
Centronics-Schnittstelle. Ist eines der beiden Ge- Wort immer eine ungerade Anzahl an 1sen auf-
räte als Sender (DÜE) und das andere als Emp- weisen.
fänger (DEE) ausgelegt, so kann man die Leitun-
gen geradeaus durchverbinden, während bei der Protokollverfahren In den Protokollen legt
Zusammenschaltung zweier als Sender ausgeleg- man fest, nach welchem Ereignis der Sender zu
ter Geräte die meisten Leitungen (mit Ausnahme senden beginnen darf und wann er unterbrechen
702 J. Gutekunst

Tab. 15.4 Gerades und ungerades Prüfbit


Bit 3 Bit 2 Bit 1 Bit 0 Even Parity Prüf-Summe Odd Parity Prüf-Summe
Dez. Wert 23 22 21 20
0 0 0 0 0 0 0 1 1
1 0 0 0 1 1 0 0 1
2 0 0 1 0 1 0 0 1
3 0 0 1 1 0 0 1 1
4 0 1 0 0 1 0 0 1
5 0 1 0 1 0 0 1 1
6 0 1 1 0 0 0 1 1
7 0 1 1 1 1 0 0 1
8 1 0 0 0 1 0 0 1
9 1 0 0 1 0 0 1 1

bzw. abbrechen muss. Dazu muss zuerst bekannt dung findet. Abbildung 15.15 zeigt die Signalbe-
sein, ob die beiden zu verbindenden Geräte Sen- legung beider Stecker.
der oder Empfänger sind (DÜE oder DEE), weil Die Datenleitungen RXD und TXD sowie die
dann gekreuzte oder gerade Kabel zu benutzen wichtigsten Handshake Leitungen CTS und RTS
sind. Im Weiteren ist zu prüfen, mit welchem sind in Abb. 15.15 rot hervorgehoben. Sie sind für
Protokoll und über welche Leitungen die beiden eine schnelle und sichere Datenverbindung min-
Geräte kommunizieren sollen. destens notwendig und wird weiter unten in der
Von den verschiedenen Arten von Protokol- 5-Draht Variante näher erläutert.
len sind die wichtigsten das XON=XOFF-Proto- Für den Verbindungsaufbau zwischen zwei
koll und das ETX=ACK-Protokoll (ETX: End of Geräten haben sich nachfolgende Verdrahtungs-
Text; ACK: Acknowledge). Beim XON=XOFF- varianten etabliert.
Protokoll benutzt man Zeichen, um die Sende-
berechtigung zu erteilen (Software Handshake), Die Dreidrahtverbindungen Im einfachsten
während man beim ETX=ACK-Protokoll sowohl Fall kann man zwei V.24=RS232-Geräte mit den
die Steuerleitung DTR als auch Zeichen verwen- beiden Datenleitungen verbinden und dem korre-
det. Je nach Art des Protokolls werden also zur spondierendem Bezugspotenzial. In diesem Fall
Steuerung der Kommunikation mehr oder weni- können jedoch keinerlei Informationen über den
ger Leitungen betrieben. Zustand der Kommunikation ausgetauscht wer-
den und es ist zwingend ein Software Hand-
15.3.1.4 Anschlussmöglichkeiten shake nach Abschn. 15.1.2 notwendig. Abbil-
Aufgrund der umfangreichen Nutzung der unter- dung 15.16 zeigt den einfachen Aufbau, die not-
schiedlichen Steuersignale gibt es entsprechend wendige Verdrahtung und Pin-Belegung.
viele Anschlussmöglichkeiten. Für den Betrieb
einer RS-232-Schnittstelle haben sich jedoch nur 5-fach Variante und Mehrdrahtverbindungen
ein paar wenige etabliert, die im Folgenden erläu- Zusätzlich zu den Datenleitungen können zur
tert werden sollen. Kommunikationssteuerung die Signale CTS und
Im Wesentlichen werden als physikalische RTS verwendet werden.
Schnittstelle ein Hier liegt bereits ein einfaches Handshake-
 25-poliger D-Sub Stecker oder ein Verfahren vor: Über die Meldeleitung CTS teilt
 9-poliger D-Sub Stecker der Sender mit, dass er weitere Daten bereit
verwendet. Der 9-polige Stecker hat sich vor al- hält, während der Empfänger über die Leitung
lem bei den Heimcomputern durchgesetzt, wäh- RTS mitteilt, dass er Daten empfangen kann. Die
rend der 25-polige Stecker bei Endgeräten wie Übertragung findet nur dann statt, wenn beide Si-
Scanner, Bar-Code-Leser oder Drucker Verwen- gnale einen „1“-Pegel besitzen.
15 Schnittstellen, Bussysteme und Netze 703

Abb. 15.15 Signalbele- Abschirmung 1


gung der RS232=V.24 14
Steckverbinder TXD 2
15 TC 25-poliger D-Stecker, DEE (DTE)
RXD 3
16
RTS 4
17 RC
CTS 5 9-poliger D-Stecker, DEE (DTE)
18
DSR 6
19
Signal GND 7
20 DTR
DCD 8
21 SQD 1
9 6 DSR
RXD 2
22 RI 7 RTS
10
23 TXD 3
8 CTS
11
24 DTR 4
9 RI
12
25 Signal GND 5
13

Abb. 15.16 Einfache 25-poliger Anschluss 25-poliger Anschluss


3-Draht-Verbindung zwi-
schen zwei Teilnehmern

7 Signal GND 7
2 2
TXD TXD
3 3
RXD RXD

Hinweis: die Pinbelegung zeigt den Buchsenkontakt der Stecker

Abb. 15.17 Einfache Ver- 25-poliger Anschluss 9-poliger Anschluss


bindung zwischen einem
25-poligen und 9-poligen
Teilnehmer 7 Signal GND 5
2 3
TXD TXD
3 2
RXD RXD
RTS 4 7 RTS
5 8
CTS CTS

Hinweis: die Pinbelegung zeigt den Buchsenkontakt der Stecker

Da Datenendgeräte sowohl 25-polige Stecker Abbildung 15.18 zeigt eine praktische Aus-
als auch 9-polige Stecker aufweisen, wird oft führung des oben beschriebenen Kabels.
ein Adapterkabel angewendet. Auf der Basis der Eine weiterführende Form dieses Handshakes
5-fach-Variante wird in Abb. 15.17 die Verschal- ist der Mehrdraht-Handshake, bei dem zusätz-
tung aufgezeigt. lich noch die Leitungen DSR (DSR: Data Send
704 J. Gutekunst

Transmitter Signal Element Timing; Sendeschrit-


takt vom Sender zum Empfänger) und RC (RC:
Receiver Signal Element Timing; Empfangs-
schrittakt vom Sender zum Empfänger) sowie die
Leitung zum Anschluss 24 benötigt werden.

15.3.1.5 Funktionsüberprüfung
Abb. 15.18 Verbindungskabel zwischen 25-poligen und Mit einem Teststecker (Abb. 15.20) kann man
9-poligen DEE Teilnehmern die Funktion der Schnittstelle überprüfen und mit
einem einfachen Programm Zeichen senden und
wieder empfangen.
Ready; Betriebsbereitschaft) und DTR (DTR: Der Teststecker in der linken Hälfte von
Data Terminal Ready; Endgerät betriebsbereit) Abb. 15.20 wird auch als „Loop-Back-Connec-
benutzt werden (Abb. 15.19). Wiederum erfolgt tor“ bezeichnet.
eine Übertragung erst dann, wenn alle Meldelei- In der rechten Hälfte von Abb. 15.20 wird die
tungen einen „1“-Pegel führen. Rückführung des Handshake-Signales auch dazu
Falls beide Geräte über eigene Taktgeber ver- genutzt, um unterschiedlich ausgeprägte RS232
fügen, können auch noch die Leitungen TC (TC: Schnittstellen zu verbinden. Damit der Teilneh-

Abb. 15.19 Mehrdraht 25-poliger Anschluss 25-poliger Anschluss


Handshake-Verbindung
zwischen zwei Datenend- 7 Signal GND 7
geräten 2 2
TXD TXD
3 3
RXD RXD
4 4
RTS RTS
5 5
CTS CTS
6 6
DSR DSR
8 8
DCD DCD
20 20
DTR DTR

Hinweis: die Pinbelegung zeigt den Buchsenkontakt der Stecker

Teilnehmer mit Teilnehmer ohne


25-poliger Teststecker Handshake Signalen Handshake Signalen
7 Signal GND 7
TXD
2 2 2
TXD TXD RXD
3 Daten-Simulation 3 3
RXD RXD RTS
4 4
RTS RTS
5 5
CTS CTS
6 6
DSR Handshake -Simulation DSR
8 8
DCD DCD
20 20
DTR DTR

Hinweis: die Pinbelegung zeigt den Buchsenkontakt der Stecker

Abb. 15.20 Verdrahtung für Daten- und Handshake-Simulation


15 Schnittstellen, Bussysteme und Netze 705

Abb. 15.21 I2 C-Bus mit VDD


mehreren Teilnehmern
Pull Up
Wider-
Master stände Slave 1 Slave 2 Slave n

SDA
SCL

mer mit Handshake-Signalen die Kommunikati- Abb. 15.22 sind die beiden Pull-Up-Widerstän-
on durchführen kann, müssen seine Handshake- de eingezeichnet. Sie kommen in einem I2 C-Bus-
Signale entsprechend dem Teststecker verdrah- System nur einmal vor.
tet werden. Die Kommunikation selbst entspricht Die Widerstände RS (serieller Einkoppelwi-
der 3-Draht-Verbindung in Abb. 15.16, was Soft- derstand) und die Kapazitäten C dienen zum
ware-Handshakes empfiehlt. Leitungsabschluss und bedämpfen die harmoni-
schen Anteile des Takt- und Datensignals. Ihre
Dimensionierung ist abhängig von
15.3.2 I2 C -Schnittstelle  der Länge,
 der Anzahl der Teilnehmer,
Die I2 C-Schnittstelle wurde in den 80er Jahren  der Übertragungsrate und dem
von Philips entwickelt und lag schließlich 1992  physikalischen Aufbau auf der Leiterplatte.
in der Spezifikation 1.0 vor. Sie ist ausschließ-
I Hinweis: Insbesondere bei hohen Übertra-
lich eine geräteinterne Schnittstelle und dient zur
gungsraten ist zur Einhaltung der EMV-Ab-
seriellen Kommunikation von digitalen Baustei-
strahlungsrichtlinien die serielle Einkopplung
nen untereinander. I2 C leitet sich dabei von Inter
notwendig. Durch die RC-Zeitkonstante erfolgt
Integrated Circuit ab. Die I2 C-Schnittstelle zählt
die Bedämpfung der Taktflanke und somit
zu den taktsynchronen Kommunikationsschnitt-
die der ungeradzahligen harmonischen Antei-
stellen.
2 le (Abschn. 13.3.1).
Die wesentlichen Eigenschaften des I C-Bus
sind: Der Bus-Master bestimmt die Übertragungsge-
 2-Draht BusleitungCBezugspotenzial (GND), schwindigkeit. Dabei sind folgende 4 Grundmodi
 jeder Teilnehmer hat eine eindeutige Adresse, möglich:
 die Kommunikation erfolgt nach dem Master- Standard Mode: 100 kHz.
Slave Prinzip und Fast Mode: 400 kHz.
 die Baud-Rate ist variable und wird von der Fast Mode Plus: 1 MHz
Takt-Leitung gesteuert. High Speed Mode: 3,4 MHz.
Abbildung 15.21 zeigt eine einfache Übersicht Die angegeben Taktraten sind jeweils das Maxi-
über ein durch I2 C gesteuerten Master-Slave Bus. mum in diesem Mode und können theoretisch vom
In Abb. 15.21 ist auch zu erkennen, dass die Master beliebig verlangsamt werden. Dabei ist al-
beiden Busleitungen SDA (Serial Data) und SCL lerdings auf die angeschlossenen I2 C-Teilnehmer
(Serial Clock) über einen Pull-Up-Widerstand zu achten, die unter Umständen für die Datenauf-
an die Versorgungsspannung Vcc angeschlossen bereitung eine Mindesttaktrate benötigen.
werden. Dies ist notwendig, da alle Ausgänge Der Datenaustausch erfolgt durch das Startsi-
der I2 C Teilnehmer nach dem open collector- gnal des Masters, gefolgt von der Adresse des
Prinzip arbeiten und nur gegen die Bezugsmas- anzusprechenden Slaves. Bei der Adresse werden
se aktiv geschaltet werden. Das Bussystem stellt dabei nur 7 der 8 Bit als Adressierung verwendet,
so ein „Wired-OR“ für das Low-Signal bzw. das 8te Bit dient zur Einstellung der Kommuni-
ein „Wired-AND“ für das High-Signal dar. In kationsrichtung: Lesen oder schreiben.
706 J. Gutekunst

Pull Up Widerstände
VDD VDD

serielle Einkoppelwiderstände
für jeden Teilnehmer

SDAIN SDA

RS
Querleitungs-
SDAOUT kapazität CC (Cross)

SCLIN SCL
RS
SCLOUT CP CP

GND GND
parallel Kapazitäten

Abb. 15.22 I2 C-Bus-Anschaltung und Terminierung

Slave
S Address W ACK Data 1 ACK Data 2 ACK Data n ACK P

Adresse n Data Bytes

SDA

x7 x8

SCL

Start Adresse Write ACK Daten ACK Stopp

Abb. 15.23 Ablauf der Datenübertragung auf dem I2 C-Bus

Damit ergibt sich für den I2 C-Master ein theo- Jede Übertragung eines Datums, unabhängig
retischer Adressraum von maximal 128 Teilneh- ob Adresse oder Daten, wird dabei mit einem
mern, wobei allerdings 16 Adressen für Sonder- Acknowledge quittiert. Wie in Abb. 15.23 eben-
aufgaben reserviert sind. Somit beschränkt sich falls dargestellt, können auch mehrere Telegram-
die maximale Teilnehmerzahl auf 112. Abbil- me an eine Adresse versendet oder abgeholt wer-
dung 15.23 zeigt den Übertragungsablauf. den.
15 Schnittstellen, Bussysteme und Netze 707

SPI Master SPI Slave integrierte Peripherie-Schnittstellen erzeugt wer-


den. Damit sind theoretisch beliebig viele Teil-
SCLK SCLK
nehmer adressierbar.
MOSI MOSI
Allen Teilnehmern gemeinsam sind die drei
MISO MISO
SS SS
Leitungen:
MISO: Master In – Slave Out,
MOSI: Master Out – Slave In und
Abb. 15.24 SPI Signale zwischen Master und Slave
SCLK: Serial Clock.
Gelegentlich findet man auch die Bezeichnungen
SDO (Serial Data out), SDI (Serial Data In) und
15.3.3 SPI-Schnittstelle SCK für das Taktsignal.
Der SPI-Master ist für die Datenübertragung
Neben der I2 C-Bus-Schnittstelle ist für den Ent- verantwortlich. Er startet sie, indem das Slave
wickler die SPI-Schnittstelle von Bedeutung. SPI Select-Signal auf „0“ gelegt wird. Der so ausge-
(Serial Peripheral Interface) ist streng genommen wählte Slave erwartet nun seine Daten am MOSI-
kein Bussystem, sondern stellt eine Punkt-zu- Eingang, während er seinerseits die Daten am
Punkt-Verbindung zwischen zwei Teilnehmern MISO-Ausgang für den Master bereit legt. Die
her. Übertragung erfolgt dann taktsynchron.
Der SPI verwendet dazu zwei getrennte Die Parameter CPOL (Taktpolarität) und
Datenleitungen sowie eine Taktleitung. Hinzu CPHA (Taktphase) bestimmen wie und wann das
kommt noch eine oder mehrere Slave Select- Datenpaket übernommen wird.
Leitung (SS), die den ausgewählten Teilnehmer Bei CPOL D 0 und CPHA D 0 werden die
aktivieren. Abbildung 15.24 zeigt eine einfache Daten mit der ansteigenden Flanke des Taktes
Master-Slave-Verbindung durch SPI. übernommen, während die abfallende Flanke das
Durch die getrennte Sende- und Empfangslei- nächste Datenpaket bereitstellt. In diesem Modus
tung handelt es sich um eine Vollduplex-Schnitt- wird das erste Datenpaket bereits mit dem Sla-
stelle (Abschn. 15.1). ve Select-Signal SS D 0 an den Datenausgängen
Ein Master kann auch mehr als eine Slave bereitgestellt.
Select-Leitung besitzen (manchmal auch Chip Wird CPOL D 1 und CPHA D 1 gesetzt, er-
Select, CS, genannt). Damit lassen sich meh- folgt die Datenübernahme ebenfalls mit der po-
rere Slaves ansteuern, was schließlich zu einer sitiven Flanke des Taktsignals. Die Daten stehen
sternförmigen Struktur führt (Abb. 15.25). Den- allerdings einen halben Taktzyklus später zur
noch wird zwischen Master und Slave immer eine Verfügung.
Punkt-zu-Punkt Kommunikation aufgebaut. Sind die Parameter unterschiedlich, erfolgt
Die Erzeugung getrennter Slave Select-Signa- die Datenübernahme mit der negativen Flanke
le kann beispielsweise im Master Chip durch (Abb. 15.26).

Abb. 15.25 Master SPI Master SPI Slave 1 SPI Slave 2 SPI Slave 3
mit mehreren Slave
Select-Leitungen SCLK SCLK SCLK SCLK
MOSI MOSI MOSI MOSI
MISO MISO MISO MISO

SS SS SS
SS1
SS2
SS3
708 J. Gutekunst

Abb. 15.26 Daten- aktive Flanke zur Datenübernahme


übertragung bei der
SPI-Schnittstelle CPOL = 0
SCK
CPOL = 1

SS

MISO
CPHA = 0
MOSI

MISO
CPHA = 1
MOSI

15.3.4 USB USB Port und USB Stecker sind durch das USB
Symbol gekennzeichnet (Abb. 15.27), das für die
USB steht für Universal Serial Bus und wurde Version USB 3.0 mit einem doppelten S für Super
1994 von sieben Firmen (Compaq, Intel, IBM, Speed ergänzt ist.
Norton, DEC, NRC und Microsoft) zur Harmo- USB stellt kein Netzwerk dar, sondern baut
nisierung der Schnittstellenvielfalt an Compu- eine logische Punkt-zu-Punkt Verbindung auf.
tern entwickelt. Die Spezifikation für USB 1.0 Dies resultiert in einer Sterntopologie, bei der der
stand 1996 zur Verfügung und Intel, ein wesent- Sternknoten (Hostadapter) den Kommunikations-
licher Treiber dieser neuen Schnittstelle, brachte aufbau steuert.
schließlich Ende der 90er Jahre die ersten Penti- Über die letzten 20 Jahre hat sich USB für
um Chips mit USB 1.0 auf den Markt. nahezu alle Applikationen empfohlen und wurde
USB standardisierte die Schnittstellen zu Dru- kontinuierlich weiterentwickelt. Diese Weiterent-
cker, Tastaturen, Eingabegeräte (z. B. Maus, Joy- wicklung ging insbesondere in zwei Richtungen:
stick), Scanner, Kameras und vielen anderen Ge-  Performance, d. h. höhere Geschwindigkeiten
räten durch und
 ein einheitliches Protokoll und  Miniaturisierung, um auch den Anschluss von
 eine einheitliche mechanische Schnittstelle immer kleiner werdenden Endgeräten, wie
(Stecker). beispielsweise Smartphones zu ermöglichen.

Abb. 15.27 USB Logo, Schnittstellenbezeichnung an Computern und an Steckern


15 Schnittstellen, Bussysteme und Netze 709

Tab. 15.5 Übertragungsgeschwindigkeiten bei USB


USB Version USB 1.0/1.1 USB 2.0 USB 3.0 USB 3.1
USB Bezeichnung Low-Speed Full-Speed High-Speed Super-Speed Super-Speed-Plus
Bit-Datenrate 1,5 Mbit/s 12 Mbit/s 480 Mbit/s 5 Gbit/s 10 Gbit/s
max. Datenrate 188 kbyte/s 1,5 Mbyte/s 60 Mbyte/s 600 Mbyte/s 1,2 Gbyte/s
Netto Datenrate Nutzdaten 150 kbyte/s 1 Mbyte/s 60 Mbyte/s 450 Mbyte/s 800 Mbyte/s
max. Leitungslänge 5m 5m 5m 3m 1m

Signalbelegung (Gerät) Kabelanschluss

1 2 3 4

USB Stecker Typ A


(Computer-Seite) +5V D- D+ GND
Daten
Spannungsversorgung

D- +5V
2 1
USB Stecker Typ B
(Geräteseite)
3 4
D+ GND

Abb. 15.28 USB Stecker Typ A und B

USB in der Ausbaustufe 3.1 ist in der Lage, bis In der Zwischenzeit gibt es auf der Geräteseite
zu 10 GBit/s zu übertragen. Tabelle 15.5 zeigt eine ganze Reihe von herstellerspezifischen USB
eine Übersicht über die Entwicklung der Über- Anschlüssen, die eine Vereinheitlichung der Da-
tragungsrate seit der ersten Version: tenkabel erschwert hat.
Neben der Anforderung immer höhere Über- Die Signalübertragung erfolgt im USB Ka-
tragungsgeschwindigkeiten zu realisieren, war bel als differenzielles Signal D und DC (sie-
auch die Miniaturisierung in den letzten Jahren he auch Abb. 15.28 und 15.29). Damit erreicht
eine wesentliche Herausforderung für die Indus- man eine höhere Störfestigung, insbesondere bei
trie. Auf der Host Seite (Computer Seite) hat sich Gleichtaktstörungen. Die anderen beiden Adern
der USB-Stecker Typ A bis heute gehalten und im USB Kabel dienen zur Spannungsversor-
bildet den Anschluss-Standard für jeden Rechner. gung des USB Gerätes. Dazu muss der Control-
USB-Geräte wurden hingegen mit dem Stecker ler mindestens 100 mA bei 5 V zur Verfügung
Typ B angeschlossen, so dass eine eindeutige stellen, optional sogar 500 mA. Spezielle Con-
Trennung durch die Stecker-Geometrie gegebe- troller, die als USB-PD (USB-Power Device)
nen war (Abb. 15.28). bezeichnet werden, können bis zu 2,5 A also
Die Miniaturisierung auf der Geräteseite zeigt 100 W bereitstellen, was insbesondere zum La-
Abb. 15.29 mit den Bauformen Mini und Micro den von Akkubetrieben Geräten genutzt werden
USB. Dabei wurde auch das 4-polige Interface kann.
durch einen 5. Pin ergänzt, der zur Kodierung ver- Der Verbindungsaufbau bei USB erfolgt
wendet wird. Prinzipiell ist die Signalbelegung grundsätzlich vom Controller aus, also vom
dieselbe. Computer. Es ist eine Punkt-zu-Punkt Verbin-
710 J. Gutekunst

Typ A Typ B
Signalbelegung
(Computer-Seite) (Geräteseite)

5 4 3 2 1 5 4 3 2 1
Mini USB
Pin Funkon
1 +5V
2 - Daten
3 + Daten
5 43 2 1 5 43 2 1
4 ID (GND oder nicht belegt)
5 GND
Micro USB

Abb. 15.29 Mini und Micro USB Stecker

Tab. 15.6 Übersicht über die unterschiedlichen Modi bei USB


Modus max. Datenübertragung Paketgröße Paketwiederholung Anwendungsbeispiele
Bulk Modus 56 Mbyte/s 512 Byte ja Datentransfer
Scanner
Isochromer Modus 24 Mbyte/s 1024 Byte nein Audio
Video
Interrupt Modus 56 Mbyte/s 1024 Byte ja Eingabegeräte
Maus, Tastatur
Kontroll Modus 56 Mbyte/s 64 kByte ja Identifikation
Konfigurtaion

dung (auch Peer-to-Peer), die das USB-Gerät Datenraten und Paketgrößen. Tabelle 15.6 zeigt
selbst nicht aktiv bedienen kann. eine Übersicht.
Wie in Tab. 15.6 zu sehen ist, erfolgt bei
der isochronen Übertragung keine Wiederholung
I USB ist eine Master-Slave Verbindung, die von
fehlerhafter Datenpakete. Dies liegt vor allem
der Controllerseite aufgebaut wird. Der Con-
darin begründet, dass bei einer Wiederholung
troller fragt dazu das Endgerät zyklisch ab.
des Datenpaketes die isochrone Zeitvorgabe nicht
mehr eingehalten werden kann. So nimmt man
Steht eine Verbindung, spricht man von einer beispielsweise bei Applikation wie Audio- und
sogenannten „Pipe“. Die Pipes sind durch 4- Videostreaming in Kauf, dass einzelne Datenpa-
Bit adressiert, so dass zu einem Endgerät bis kete ausfallen, da ein nicht ruckfreies Bild eher
zu 16 Pipes aufgebaut werden können. Aller- wahrgenommen wird als ein fehlender Pixel.
dings wird die Adresse 0 zur Initialisierung ver-
wendet und ist der einzige bi-direktionale Ka-
nal. 15.3.5 Bluetooth
Für die Datenübertragung stehen vier unter-
schiedliche Modi zur Verfügung: Bei Bluetooth handelt es sich um eine drahtlose
 Bulk Modus, Verbindung zwischen zwei Geräten. Sie wurde
 Isochroner Modus, in den 90er Jahren entwickelt und sollte vor al-
 Interrupt Transfer und lem die lästigen Kabel rund um den Computer
 Kontroll Transfer. ersetzen.
Die verschiedenen Modi sind anwendungsbezo- Namensgeber für die Funkschnittstelle Blue-
gen sinnvoll und arbeiten mit unterschiedlichen tooth ist der dänische König Harald Blåtand
15 Schnittstellen, Bussysteme und Netze 711

Tab. 15.7 Bluetooth Ver- Bluetooth Version 1.0/1.1/1.2 2.0/2.1 3.0 C HS Netto Datenrate
sionen und Datenraten Basis Datenrate 1 Mbit/s 1 Mbit/s 723,2 kbit/s
EDR 2 Mbit/s 1446,2 kbit/s
(Enhanced Data Rate) 3 Mbit/s 3 Mbit/s 2169,6 kbit/s
HS (High Speed C WLAN) 54 Mbit/s

Tab. 15.8 Klassen und Reichweite von Bluetooth


Klasse max. Leistung max. Leistung Reichweite
Klasse 1 100 mW 20 dBm 100 m
Abb. 15.30 Bluetooth Logo Klasse 2 2,5 mW 4 dBm 10 m
Klasse 3 1 mW 0 dBm 1 m

(deutsch: Harald Blauzahn, engl.: Harald Blue-


tooth), dem es im 1000 Jahrhundert gelang, ver- so dass in eine Bluetooth Netz (Piconet) maxi-
feindete Gebiete in Norwegen und Dänemark zu mal 8 Teilnehmer gleichzeitig aktiv sein kön-
vereinen. Diese historische Verwurzelung setzt nen (inklusive Host). Allerdings können über
sich auch im Bluetooth Logo fort, das sich aus eine weitere 8-bit Adresse bis zu 255 passive
den nordischen Runen H und B zusammensetzt Knoten („geparkte“ Teilnehmer) direkt angespro-
(Abb. 15.30) chen werden und so dynamisch in ein Pico-
Bluetooth basiert auf dem Industrie Standard Netzwerk ein- und wieder ausgebunden wer-
IEE 802.15.1 und liegt im weltweit freiverfüg- den.
baren ISM Band von 2,4 GHz (ISM: Industri- Ein wesentlicher Punkt bei drahtlosen Verbin-
al, Scientific and Medical Band, 2,402 GHz– dungen ist stets die Reichweite der Funkstrecke.
2,480 GHz). Diese wird grundsätzlich von der Umgebung und
Die Datenübertragung kann dabei auf 2 unter- von der verfügbaren Sendeleistung bestimmt. Da-
schiedliche Arten erfolgen: bei sind nicht immer hohe Sendeleistungen und
 Die synchrone Datenübertragung SCO (Syn- große Reichweiten vorteilhaft; oft gilt es auch
chronious Connection Oriented) und lokal begrenzte Verbindungen aufzubauen. Für
 Die asynchrone Datenübertragung, ACL Bluetooth stehen hierzu 3 Klassen zur Verfügung,
(Asynchronious Connectionless). die in Tab. 15.8. zusammengestellt sind.
Die synchrone Datenübertragung wird im We- Mit Bluetooth 4.0, 4.1 und 4.2 steht die deut-
sentlichen für die Sprachübertragung eingesetzt, liche Verringerung des Energieverbrauches im
während die asynchrone Datenübertragung ins- Vordergrund. Die bislang auf maximale Daten-
besondere für Daten- und Musikübertragung ver- rate fokussierten Versionen bringen auch einen
wendet wird. Die synchrone Sprachübertragung deutlich gestiegenen Energiebedarf mit sich, so
erlaubt eine Datenrate von 64 kBit/s, während dass insbesondere in mobilen Geräten wie Smart-
im asynchronen Mode bis zu 732,2 Bit/s erreicht phone die Akkus im Bluetooth Betrieb bei inten-
werden können (Version 1.2). Höhere Datenraten siver Nutzung nicht lange halten.
sind ab Bluetooth 2.0 mit EDR (Enhanced Data Mit Bluetooth 4, auch Bluetooth LE (Low
Rate) möglich, max. ca. 2,1 MBit/s. Tabelle 15.7. Energie) oder Bluetooth Smart genannt, wurde
zeigt die verschiedenen Ausbaustufen. daher ein intelligentes Energie Management ein-
Bluetooth Teilnehmer haben eine eigene in- geführt, das den Host in längeren Intervallen
dividuelle MAC Adresse (MAC: Media Access selbstständig anspricht und somit Energie spart.
Control), die 48 Bit umfasst. Somit ist jedes Gerät Auch wurde die Datenrate wieder auf maximal
weltweit eindeutig identifizierbar. Die Verbin- 220 kBit/s gesenkt. Das Ergebnis ist, dass die
dung wird über eine 3-bit Adresse hergestellt, autarke Funktion mit nur einer kleinen Batterie,
712 J. Gutekunst

Abb. 15.31 Kennzeichnung eines IO-Link Portes. a Bildmarke, b Bild-Wortmarke, c Beispiel

oft auch über Jahre hinweg erhalten bleibt. Auch zeitfähige Feldbussystem angeschlossen. Damit
neue Möglichkeiten zur Energiebereitstellung auf ist IO-Link feldbusunabhängig.
der Basis von Energy Harvesting (Energiege-
winnung aus der Umwelt) könne so erschlos- I IO-Link beschreibt eine feldbusunabhängige
sen werden. Die maximale Reichweite beträgt Kommunikation zwischen Sensoren und Akto-
10 m. ren und der Maschinensteuerung.
Allerdings wird damit auch deutlich, dass
hoch performante Übertragungen, wie beispiels- Abbildung 15.32 zeigt, wie sich IO-Link in der
weise Audio- und Video-Streaming mit Blue- Kommunikationspyramide nach Abb. 15.28 ein-
tooth 4 nicht sinnvoll möglich sind Somit wird es gliedert. Dabei ist gut zu erkennen, wie die Kom-
eine Koexistenz zwischen den bestehenden Blue- munikation nach unten in die Sensor-Aktorebene
tooth Varianten und Bluetooth 4 geben. ergänzt wird. Dies ist schlussendlich die Voraus-
setzung für
 flexible Fertigung,
 sichere Kommunikation mit intelligenten De-
15.3.6 IO-Link vices,
 Diagnose und
Der Wunsch, in der Fabrikautomation über die damit alle Arten von Reduktion von unprodukti-
Sensor-/Aktorleitung mehr als nur das Schaltsi- ven Zeiten an Maschinen und Anlagen. Insbeson-
gnal zu übertragen, ist mit IO-Link Wirklichkeit dere vor dem Hintergrund der Digitalisierung der
geworden. Die erste Vorstellung von IO-Link Produktion im Rahmen von Industrie 4.0 kommt
erfolgte auf der Hannover Messe 2006, erste Pro- IO-Link eine große Bedeutung zu.
dukte sind seit 2008 auf dem Markt. Die wesentlichen Eckpunkte von IO-Link
In der Zwischenzeit ist IO-Link in der IEC sind:
61131-9 niedergeschrieben und als weltweiter  Punkt-zu-Punkt Datenverbindung,
Standard verfügbar. Dies garantiert die Interope-  3 Geschwindigkeiten: 4,8 kBaud, 38,4 kBaud
rabilität der Produkte. Kennzeichen der IO-Link und 230 kBaud,
Schnittstelle ist der bidirektionale Pfeil im Kreis,  großer Störabstand (24 V Spannungshub),
sowie ein genormter Schriftzug (Abb. 15.31), der  Prozessdaten- und Servicedatenübertragung,
ebenfalls geschützt ist und von den Konsortial-  basierend auf dem Standard UART Datenpro-
mitgliedern exklusiv genutzt werden kann. tokoll (siehe Abb. 15.29).
Anders als die vorangegangenen Feldbusse Bei der Spezifikation der IO-Link Datenüber-
basiert IO-Link auf einer Punkt-zu-Punkt Kom- tragung wurde vor allem auch auf die Rück-
munikation und ist daher kein Bussystem. IO- wärtskompatibilität zu den schaltenden Gerä-
Link ermöglicht die Kommunikation mit intelli- ten wie Näherungsschalter und Hydraulikventile
genten Sensoren und Aktoren (Devices genannt) Wert gelegt. Die Masteranschaltung muss da-
mit ihrer aktiven Anschaltbox, dem IO-Link Mas- her auch die Standardfunktionen eines normalen
ter. Dieser wiederum ist an das eigentliche echt- Ein- oder Ausgangs abbilden können. Diese bei-
15 Schnittstellen, Bussysteme und Netze 713

Leit-
ebene

Feldkommunikaon
Steuerungs-
ebene

Feldbusebene

IO-Link
Sensor-/Aktorebene

Abb. 15.32 Erweiterung der untersten Kommunikationsebene durch IO-Link

IO-Link Sensor (kombinierter Schaltausgang


PIN Signal Beschreibung
und Datenkanal)
L+
1 L+ 24 Volt
SIO
24V 2
1 C/Q
2 4 0V
3 3 L- Masse
IO-Link
4 C/Q Kommunikation /
L- Schaltausgang
4,8k 38,4k oponal 230,4 kBaud

Abb. 15.33 Pinbelegung eines IO-Link Ports und Funktionsübersicht

den unterschiedlichen Betriebsarten verdeutlicht Die Kommunikation mit dem Device basiert auf
Abb. 15.33. dem Pulsen der Schaltspannung. Dabei wird der
Demnach kann ein IO-Link Port folgende drei gesamte Spannungshub von 24 V ausgenutzt (sie-
Zustände einnehmen: he Abb. 15.33). Der Datenrahmen entspricht
 IO-Link Kommunikation mit einem IO-Link dabei dem typischen UART Rahmen (siehe Ab-
Device nach IEC 61131-9, schn. 15.4.2, Abb. 15.38) und wird durch eine
 Standard Eingang nach IEC 61131-2 und zusätzliche Prüfsumme gesichert. In Abb. 15.34
 Standard Ausgang zum Ansteuern von Akto- ist beispielhaft ein Datenrahmen für Prozess und
ren. Diagnosedaten dargestellt. Dabei werden im
714 J. Gutekunst

a
Master Request Telegram CMD CHK/TYPE

Device Reply Telegram Service Process Process CHK/STAT

b
Master Request Telegram CMD CHK/TYPE Service

Device Reply Telegram Process Process CHK/STAT

Abb. 15.34 Aufbau eines Telegramms vom Typ 2.4 für 2 Eingangsbytes. a 2 Byte Eingangsdaten C1 Byte Diagnose-
daten, b 2 Byte Eingangsdaten C1 Byte Parameterdaten (Servicedaten) für das Device

Tab. 15.9 Telegrammtypen bei IO-Link Gründen wurde der neue Frame Typ 2.V entwi-
Telegrammtyp Eingangsprozess- Ausgangsprozess- ckelt, der eine variable Anzahl von bis zu 32 Byte
Frame Type datenlänge datenlänge Nutzdaten zulässt, ohne das die Übertragung un-
in Byte in Byte terbrochen wird (Streaming).
0 0 0
Mit dieser zusätzlichen Kommunikation kön-
1 variabel variabel
nen nun eine ganze Reihe erweiterter Daten vom
2.1 1 0
Sensor/Aktor an die Steuerung übertragen wer-
2.2 2 0
2.3 0 1 den. Dabei unterscheidet man im Wesentlichen 2
2.4 0 2 Datentypen:
2.5 1 1  Prozessdaten, die den Zustand der Maschi-
2.V variabel ne/Anlage melden und
 Servicedaten, die zur Einstellung oder Dia-
gnose eines Devices führen.
Prozessdaten können insbesondere auch Analog-
Fall a) 8 Bit Diagnosedaten vom Sensor ausge- werte von Drucksensoren oder Füllstandsmess-
lesen, hier als Service Data bezeichnet. Im Fall geräte sein. Das analoge Signal wird dabei vom
b) schreibt der Master beispielsweise Parameter- Mikroprozessor des Sensors gleich in das IO-
daten in das IO-Link Device. In beiden Fällen Link Datenformat verpackt, so dass keinerlei Ver-
werden jedoch 2 Bit Eingangsdaten angefordert, luste entstehen. Ein weiterer Vorteil ist die we-
so dass es sich um den Frame Typ 2.4 handelt. sentlich robustere Datenübertragung zum Master
Eine Übersicht ist der Tab. 15.9 zu entnehmen. im Vergleich zu einer analogen Datenleitung bis
Die Übertragungsrate für 2 Byte Prozessda- in die Steuerungszentrale.
ten und 1 Byte Servicedaten beträgt 2,3 ms bei Ebenfalls eine wesentliche Bedeutung kommt
38,4 kBaud Übertragungsrate. den Servicedaten zu. Hier unterscheidet man in
Die Telegramm Rahmen (Frame Types) 2.1 zwei weitere Kategorien:
bis 2.5 setzen dabei auf maximal 2 Bytes Pro-  den Parameterdaten zur Einstellung der Devi-
zessdaten auf und werden somit, wie Abb. 15.34 ces und
zeigt, in einem Kommunikationszyklus übertra-  den Diagnosedaten, insbesondere bei Fehlern
gen. Der Telegrammtyp 1 erlaubt die Übertra- oder Verschleiß.
gung von mehr als nur 2 Bytes, die allerdings Beispiele für Parameterdaten sind:
in mehreren aufeinanderfolgenden Kommunika-  Druckparameter: Schaltpunkte, Hysterese,
tionszyklen übertragen werden. Aus Performance Verzögerung, Mittelwert,
15 Schnittstellen, Bussysteme und Netze 715

Standard IO-Modus IO-Link Standard IO-Modus


(SIO-Mode) Kommunikaons- (SIO-Mode)
modus

24V

0V

Wake up Fall back

Abb. 15.35 Übergang zwischen SIO-Modus und Kommunikation

 Schaltparameter: Schaltpunkte, Hintergrund- somit stabiler und für die Auswertesteuerung bes-
ausblendung, Farbrezepte, ser beherrschbar. Zudem kann das geschirmte
 Signalinvertierung und Kabel durch eine Standard Sensor/Aktor-Leitung
 Schwellwerte. ersetzt werden, die erheblich kostengünstiger und
Beispiele für Diagnosedaten sind: durch ihren einfachen Aufbau auch mechanisch
 interner Fehler, robuster ist.
 gemessene Lichtleistung zu schwach, Die IO-Link Devices werden durch eine Gerä-
 Überspannung, Unterspannung und tedatei beschrieben, der IODD (IO-Link Device
 Leitungskurzschluss. Description). Darin sind alle herstellerspezifi-
Will ein IO-Link Master mit einem schaltenden schen Variablen beschrieben und in indizierten
Device kommunizieren, muss er vom Standard Speicherbereichen abgelegt. Die IODD besteht
IO Modus (SIO Mode) in den Kommunikati- aus einem oder mehreren XML-Files, die durch
onsmodus umschalten. Dies erfolgt mit Hilfe die Steuerung entsprechend interpretiert werden.
eines „Wake-Up“ Impulses an das Device, wor- Auch alle unterstützen Fremdsprachen sind dar-
auf dieses für den Empfang von Daten bereit ist in abgelegt. Wesentliche Bestandteile der IODD
(Abb. 15.35). sind:
Ist die Parameterübertragung abgeschlossen,  Physik (Frametyp),
kann der Master das Device wieder in den  Baudrate (4,8 kBaud, 38,4 kBaud oder
schaltenden zustand zurücksetzen, was als „Fall 230 kBaud) und
Back“ bezeichnet wird. So können beispielswei-  Die Minimum Cycle Time (beschreibt die in-
se Prozessparameter bei Rezepturwechsel sehr terne Laufzeit des Devices).
einfach an die Sensoren weitergegeben werden, Diese Daten sind notwendig, damit der Master
ohne dass ein manuelles Eingreifen notwendig die Kommunikation aufnehmen kann. Ebenfalls
ist. abgelegt werden ergänzende Informationen, z. B.
Ein wesentlicher Vorteil der IO-Link Kommu-  Vendor ID (Hersteller Identifikation),
nikation ist auch die Übertragung von analogen  Vendor Name (Hersteller im Klartext),
Werten auf digitale Weise (kontinuierliche Kom-  Device ID (Geräte Identifikation) und
munikation). Damit erreicht man eine wesentlich  Device Symbol.
höhere Störfestigkeit sowie eine deutliche Re- Alle Daten werden über eine indizierte Tabelle im
duzierung des Rauschanteils. Die Werte werden Device abgelegt.
716 J. Gutekunst

15.4 Bussysteme  Multi-Master-Busse und


 Mono-Master- oder Master-Slave-Busse.
Die Datenkommunikation in Anlagen, Gebäu- Bei Multi-Master-Bussen kann jeder Busteilneh-
den und auch innerhalb von Geräten hat in den mer die Initiative zur Kommunikation ergreifen.
vergangenen Jahren stark an Bedeutung gewon- Allerdings müssen die Zugriffsrechte und -regeln
nen und stellt eine wesentliche Voraussetzung für eingehalten werden. Die wichtigsten Vertreter
Funktion und Komfort dar. Die Komplexität und hierfür sind der CAN-Bus bei den Standard-Bus-
das Datenaufkommen sind deutlich gestiegen. systemen und die TCP=IP-Kommunikation bei
Um diesen Aufgaben gerecht zu werden, er- den ethernetbasierten Feldbussen.
folgt der Datenaustausch durch Bussysteme. Bei Mono-Master-Bussen gibt es nur einen
Initiator. Dieser fragt die anderen Teilnehmer zy-
I Ein Bussystem ist dadurch gekennzeichnet, klisch ab. Die passiven Teilnehmer werden als
dass mehr als 2 Teilnehmer über die gleiche Slaves (S) oder Devices bezeichnet. Dieses Ver-
physikalische Infrastruktur kommunizieren. fahren wird auch oft als „Scanning-Verfahren“
bezeichnet, da der Master die angeschlossenen
Sind mehr als zwei Teilnehmer in die Kom-
Devices einzeln nacheinander abfragt. Für den
munikation eingebunden, so ist zur Auswahl des
Augenblick der Kommunikation entsteht so ei-
richtigen Zielortes eine Adressierung notwendig
ne Punkt-zu-Punkt-Verbindung. Damit wird die
und ein Verfahren, um die Bushoheit zu erlangen.
Deterministik sichergestellt, die beispielsweise in
I In Bussystemen ist stets ein Arbitrierungsver- Maschinenablaufsteuerungen zwingend notwen-
fahren für die Erlangung der Bushoheit not- dig ist.
wendig.
I In einem deterministischen System erfolgt eine
Dies gilt grundsätzlich sowohl für parallele sichere Reaktion auf ein Ereignis in einem maxi-
Bussysteme als auch für serielle Bussysteme. In mal zulässigen Zeitraum. Wenn also ein System
den nachfolgenden Abschnitten soll vor allem auf garantieren kann, dass eine Antwort auf eine
serielle Bussysteme eingegangen werden. Anfrage innerhalb einer vorgegebenen Zeit si-
cher verfügbar ist, gilt es als deterministisch.
Dies ist unabhängig von der Geschwindigkeit.
15.4.1 Struktur und
Arbitrierungsverfahren
Die Erlangung der Bushoheit (Arbitrierung)
Serielle Bussysteme gibt es in unterschiedlichen ist vor jeder Kommunikation zwingend notwen-
Ausprägungen. Ein wesentliches Merkmal ist da- dig. In einem Master-Slave-Bussystem, hat stets
bei, wie die Struktur des Netzwerkes aufgebaut der Master die Bushoheit, so dass keine Arbitrie-
ist. Man unterscheidet: rung notwendig ist.
 Sternstruktur,
 Ringstruktur, 15.4.1.1 Arbitrierung
 Busstruktur und Grundsätzlich hören alle Teilnehmer den Bus ab,
 Baumstruktur. bevor sie versuchen, ein eigenes Telegramm zu
Dabei können auch Kombinationen der oben auf- versenden. Allerdings entstehen durch Laufzeiten
geführten Strukturen auftreten, wie bereits in Ab- und interne Latenzzeiten für Dekodierung und In-
schn. 15.1.4 in Abb. 15.10 dargestellt. terpretation Totzeiten, in denen der Teilnehmer
Damit auf dem gemeinsamen Busmedium nicht erkennen kann, ob der Bus bereits belegt
(Buskabel) Daten ausgetauscht werden können, ist. Fällt sein Sendeversuch in diese Totzeit hin-
muss der Zugriff geregelt werden. In Abhängig- ein, kommt es zur Kollision auf der Leitung und
keit der Kommunikationsinitiative unterscheidet die Daten gehen verloren. Für diesen Fall treten
man die Arbitrierungsregeln ein.
15 Schnittstellen, Bussysteme und Netze 717

Phase 1: 1 2 3 4 Senden

Phase 2: 1 2 3 4
Kollision

1 2 3 4 Rückzug
Phase 3:

1 2 3 4 Wiederholung
Phase 4:

Abb. 15.36 Arbitrierung nach CSMA=CD

In Multimaster-Bussystemen unterscheidet Abbildung 15.36 zeigt schematisch den Verlauf


man zwischen der CSMA=CD-Arbitrierung.
 kollisionsbehafteter Arbitrierung und Das CSMA=CD-Verfahren wird vor allem bei
 kollisionsfreier Arbitrierung. der Kommunikation über Ethernet verwendet
Im Nachfolgenden soll kurz auf die beiden Arbi- (Abschn. 15.5)
trierungsarten eingegangen werden.
15.4.1.3 Kollisionsfreie Arbitrierung
Von einer kollisionsfreien Arbitrierung sprich
15.4.1.2 Kollisionsbehaftete Arbitrierung man dann, wenn ein Teilnehmer mit seinem
Die kollisionsbehaftete Arbitrierung wird als Wunsch zu senden ohne Verzögerung oder Wie-
CSMA=CD bezeichnet. Dabei steht derholung Erfolg hat. Dies wird im Verfahren
CS: für Carrier Sense, Mithören durch die Teil- CSMA=CA ausgedrückt. Dabei bedeutet
nehmer, CA: Collision Avoidance.
MA: für Multiple Access, d. h. Multimaster Bus- Genau genommen handelt es sich auch bei die-
system und sem Verfahren um ein kollisionsbehaftetes Ver-
CD: für Collision Detect. fahren. Die Kollision wird jedoch vom höherwer-
Das heißt, dass jeder Teilnehmer die Datenleitung tigen Telegramm nicht erkannt, da das nieder-
überwacht und erst sendet, wenn sie vermeintlich priore Telegramm auf der Busleitung überschrie-
frei ist. Dieses Mithören wird während des Sen- ben wird.
dens der Daten aufrechterhalten. Kommt es nun Da auch bei diesem Verfahren die Teilnehmer
zur Kollision, erkennt der Sender auf Grund des grundsätzlich mithören, was auf der Busleitung
Mithörens, dass die auf der Leitung befindlichen geschieht (CS: Carriere Sense), zieht sich der
Daten nicht den Daten entspricht, die er senden Teilnehmer, der überschrieben wurde (mit dem
wollte, und bricht die Kommunikation ab (CD: niederprioren Telegramm), zurück und überlässt
Collision Detect). Dies gilt auch für den ande- den Bus dem dominanten Teilnehmer. Dieser
ren (unbekannten) Teilnehmer, der ebenfalls im kann die Daten ohne Verzögerung oder Wieder-
Glauben einer freien Leitung zu senden startete. holung senden.
Beide Teilnehmer ziehen sich zurück und star- Im Abschn. 15.4.3 wird beim CAN-Bus auf
ten einen weiteren Versuch nach einer zufälligen das Arbitrierungsverfahren und dessen Vorteile
Zeit, die für jeden Teilnehmer unterschiedlich ist. ausführlich eingegangen.
718 J. Gutekunst

Protokoll- Übertragungsrate
Komplexität (Geschwindigkeit) Teilnehmerzahl

viele geringe Anzahl


Telegrammtypen, Prozess- installierter Knoten
Downloads möglich
500 kBit/s Automa-
sierung
(Profibus PA)

1,5 MBit/s Zellensteuerung


(Profibus FMS)

Feldbus sehr hohe Anzahl


12 MBit/s installierter Knoten
nur 1 (Profibus DP)
Telegrammtyp

Abb. 15.37 Anwendung des Profibus über alle Kommunikationsebenen hinweg

15.4.2 Profibus  Anlagentechnik,


 Prozessautomatisierung und
Der Profibus ist einer der populärsten Feldbusse  Fertigungsautomatisierung.
und ist in zahlreichen Maschinen und Anlagen zu Um in allen drei Anwendungen den Anforderun-
finden. gen gerecht zu werden, wurden drei Profibus-
Protokollvarianten spezifiziert:
I Unter Feldbus versteht man ein Bussystem, das  Profibus-DP: erlaubt einen schnellen Daten-
in rauer Umgebung (Feld) eingesetzt wird. Ne- austausch zu dezentralen Feldbusgeräten (DP:
ben besonderen Anforderungen an die mecha- dezentrale Peripherie);
nischen Ausführung sind insbesondere auch  Profibus-PA: erlaubt eine sichere Datenüber-
Anforderungen an die Robustheit (Störemp- tragung für Prozess-Abläufe (PA: Prozess Au-
findlichkeit) des Datenprotokolls gegeben. tomatisierung).
 Profibus-FMS: Vernetzung komplexer Anla-
Der Profibus ist einer der ersten Feldbussys- gen und Systeme (FMS: Fieldbus Message
teme, die konsequent in einer komplexen Norm Specification).
zusammengefasst wurde. Um dies zu ermögli- Der Profibus ist durch diese verschiedenen
chen, wurde die Profibus Nutzerorganisation e.V. Ausprägungen in der Lage, alle drei Ebenen
(PNO) gegründet (heute PI: Profibus=Profinet In- der Kommunikationspyramide abzudecken, wie
ternational: Germany). Deren Ziel ist es, die In- Abb. 15.37 zeigt.
teressen aller am Feldbus interessierten Kreise Der Profibus arbeitet wie die meisten Feld-
zu vertreten und zu koordinieren. Diese sind An- bussysteme auf der RS485-Übertragungstechnik,
wender, Hersteller und Systemintegratoren. Die die auf einer Zweidrahtleitung beruht. Dieses
Festlegungen zum Profibus wurden in der Norm Übertragungsmedium (Physical Layer genannt)
DIN EN 61158 verankert. Die PNO wurde durch ist dabei wie folgt festgeschrieben:
eine internationale Organisation (PI, Profibus In-  aktiver Busabschluss auf beiden Seiten,
ternational) 1995 ergänzt.  Stichleitungen sind begrenzt möglich,
Die Bezeichnung Profibus wurde aus Pro-  verdrillte Zweidrahtleitung,
cess Field Bus (Prozess Feldbus) abgeleitet. Das  Schirmung ist in störender Umgebung (EMV)
Einsatzgebiet des Profibusses umfasst drei we- zulässig,
sentliche Bereiche:  maximal 32 Stationen an einem Segment,
15 Schnittstellen, Bussysteme und Netze 719

11 Bit Gesamtlänge

8 Bit Nutzdaten

D0 D1 D2 D3 D4 D5 D6 D7 P

Startbit

Stoppbit
Paritätsbit
Abb. 15.38 UART Datenrahmen

 maximal 127 Stationen mit Repeater, Reaktionen der Maschinensteuerung in das Feld
 maximale Buslänge: übertragen.
– 100 m bei 12 MBit=s Profibus-DP wurde seit 1993 in zwei Schrit-
– 200 m bei 500 kBit=s ten sukzessive den Anforderungen entsprechend
– 1200 m bei 93;75 kBit=s und erweitert. Aus der ursprünglichen Version, heute
 9-poliger D-Sub Steckverbinder. DP-V0 genannt, wurden
Realisiert werden heute bei Profibus-DP Datenra-  DP-V1 für zusätzlich asynchrone Dienste und
ten bis 12 MBit=s.  DP-V2 für isochrone Dienste
Im Feldbusbereich (Maschinenumgebung) hat entwickelt. Dabei können in der Variante DP-
sich der Profibus-DP einen festen Platz erobert V2 auch die Slaves untereinander kommunizieren
und soll daher im Folgenden näher betrachtet und Daten mit Zeitstempel versehen werden.
werden. Die Datenübertragungsrate beträgt typisch
Der Profibus-DP stellt eine Untermenge des in 1;5 MBit=s und 12 MBit=s und liegt damit deut-
der EN 50170 spezifizierten Busprotokolls dar. lich über den Profibussystemen FMS und PA. Der
Die Anzahl der Datentypen wurde dabei auf nur Profibus-DP wurde so für die hohen Anforderun-
noch einen Typ reduziert: das SRD-Telegramm gen an die Übertragungsgeschwindigkeit und die
(SRD: Send-Request-Data). Dies hat den enor- Echtzeit optimiert.
men Vorteil, dass die angeschlossenen Teilneh- Der Datenrahmen des Profibusprotokolls ba-
mer nur noch einen einzigen Typ dekodieren siert auf der Übertragung, wie sie von seriellen
müssen. Dies übernimmt in der Regel bereits die Schnittstellen verwendet wird (UART: Universal
Busanschaltung, so dass der lokale Prozessor kei- Asynchronous Receiver Transmitter) und gliedert
ne weitere Interpretation durchführen muss. Die sich in folgende vier Bestandteile:
Reaktionszeiten im Feldbereich wurden dadurch  Startbit,
deutlich verkürzt.  8 Bit Daten,
Die Reduzierung auf einen Typ hat auch einige  Parity Bit und
Nachteile mit sich gebracht. Diese sind:  Stopp Bit.
 eingeschränkte Funktionsvielfalt, Abbildung 15.38 zeigt diesen Aufbau, wie er
 keine Downloads möglich und von allen gängigen programmierbaren UART-
 keine Multimasterfähigkeit. Bausteinen ermöglicht wird. Mit dieser kleinsten
Dadurch entsteht beim Profibus-DP ein Mo- Einheit lässt sich das Profibus-Protokoll aufbauen.
no-Master-System, das ständig seine Teilnehmer Es umfasst bis zu 154 Bits. Wichtige Bestandteile
(Slaves) abfragen muss. In diesem Fall spricht sind:
man auch von einem Scanner, der die aktuellen  die Zieladresse,
Maschinenzustände in einem festen Raster zur  der Datentyp (bei DP nur einer!),
SPS liefert. In gleicher Weise werden etwaige
720 J. Gutekunst

154 Bit Gesamtlänge

121 Bit

SD2 LE LEr SD2 DA SA FC DAT FCS ED TR


Zwangspause Nutzdaten
(33 Bit)
Funkonskode
Quelladresse
Zieladresse
Start Delimiter 2
Prüfsumme
Längen Byte Wiederholung Ende Delimiter
Längen Byte Mindestverzögerung (8Bit)
Start Delimiter 2

Abb. 15.39 Profibus Datentelegramm

Tab. 15.10 Beschreibung der Datenfelder im Profibustelegramm


Feld Bedeutung Länge [Bit] Beschreibung
SD 2 Start Delimiter 2 Startkennung 8 Startzeichen für einen gültigen Datenrahmen
LE Length Länge 8 Länge des Datenrahmens
LEr Length repetition Wiederholung der Länge 8 Wiederholung der Länge
DA Destination Address Zieladresse 8 Adresse des Zielteilnehmers
SA Source Address Quelladresse 8 Adresse des Absenders
FC Function Code Funktionskode 8 Datentyp
DAT Data Nutzdaten 8 Daten
FCS Frame Check Sum Prüfsumme 8 Prüfsumme über den ganzen Rahmen
TR 8 Mindestverzögerungszeit bis zum Senden der
eigenen Antwort

 die Nutzdaten und Der CAN-Bus (CAN: Controller Area Net-


 eine Prüfsumme. work) arbeitet als bitserieller Bus über eine Zwei-
In Abb. 15.39 ist ein vollständiger Datenrah- drahtleitung (RS 485). Seine Merkmale sind:
men für variable Datenlängen dargestellt. Die  Multi-Master Bus,
Bedeutung der einzelnen Felder ist in Tab. 15.10  RS 485 Topologie,
zusammengefasst.  Busraten bis 1 MBit=s (max. 34 m),
Der Start Delimiter 2 kennzeichnet dabei den  Buslängen bis mehr als 1 km (bei reduzierter
variablen Datentyp. Darüber hinaus gibt es noch Übertragungsrate),
SD1, wenn keine Daten versandt werden, SD3 für  extrem sichere Datenübertragung (Hamming-
eine fixe Datenlänge und SD, wenn nur der Token Distanz D 6),
weitergegeben werden soll.  maximal 211 Teilnehmer im Standardformat,
 maximal 223 Teilnehmer im erweiterten For-
15.4.3 CAN-Bus mat und
 genormt nach ISO 11898.
Ursprünglich für die Automobilindustrie entwi- Besonders seine Multimasterfähigkeit und die
ckelt und vorangetrieben, findet der CAN-Bus im- zerstörungsfreie Bus-Arbitrierung machen ihn
mer mehr Verbreitung in industriellen Anlagen. für Echtzeitanwendungen zu einem sehr schnel-
15 Schnittstellen, Bussysteme und Netze 721

CAN_L

Teilnehmer 1 Teilnehmer 2 Teilnehmer 3 Teilnehmer 4

Abb. 15.40 Erzeugung einer dominanten „0“ in der Arbitrierung

len Datenbus. Er benötigt eine aktive Busvergabe der anderen Teilnehmer, ohne dass seine Daten
(Bus-Arbitrierung) der Teilnehmer. zerstört werden. Dies wird als CSMA=CA Verfah-
Dies wird ermöglicht, in dem der sendewil- ren bezeichnet. Dabei bedeutet:
lige Teilnehmer seine Absicht durch das Auf-  CS: Carrier Sense: jeder Teilnehmer hört die
schalten von dominanten und rezessiven Bits auf Busleitung mit;
die Zweidrahtleitung anzeigt. Dabei unterschei-  MA: Multiple Access: jeder Teilnehmer ist
det man dominant high und dominant low so- gleichberechtigt und kann zu jeder Zeit auf
wie rezessiv high und rezessiv low. Diese vier den Bus zugreifen und
Möglichkeiten werden durch die beiden Signal-  CA: Collision Avoidance: die Arbitrierung er-
leitungen CAN_H und CAN_L übertragen. Auf folgt zerstörungsfrei.
der Leitung CAN_H (CAN-Bus High dominant) In Abb. 15.41 ist dieser Mechanismus dargestellt.
wird der „1“-Zustand als dominant und der „0“- Teilnehmer 2 „verliert“ bereits im zweiten Bit-
Zustand als rezessiv übertragen. Auf der Lei- feld seine Sendeberechtigung, da die dominante
tung CAN_L wird der „0“-Zustand als dominant „0“ von Teilnehmer 1 und 3 die „1“ von Teil-
und der „1“-Zustand als rezessiv übertragen. Do- nehmer 2 überschreiben. Schließlich wird Teil-
minant bedeutet dabei, dass die Leitung vom nehmer 1 durch Teilnehmer 3 im fünften Bitfeld
initiierenden Master aktiv auf dieses Potenzial überschrieben und Teilnehmer 3 geht als „Sieger“
gelegt wird, während der andere Zustand (re- dieses Arbitrierungszyklus hervor. Auf der Bus-
zessiv) durch einen Pull-Up- oder Pull-Down- leitung selbst wird das Signal von Teilnehmer 3
Widerstand erreicht wird. Abbildung 15.40 zeigt von allen angeschlossenen Stationen unverzögert
schematisch, wie dies für die Leitung CAN_L bei zurückgelesen. Abbildung 15.42 verdeutlicht den
vier Teilnehmern erreicht wird: Jeder Teilnehmer Rücklesevorgang bei einem Teilnehmer, der die
ist mit seiner Busankopplung in der Lage, die im Sendeberechtigung verliert.
Ruhezustand positive Leitung (High-Potenzial) Die Arbitrierungsphase erfolgt während der
aktiv auf „0“-Potenzial zu ziehen. Sendung des Identifiers. Dabei überwacht der In-
Da nur der Null-Zustand erzwungen werden itiator seine Kennung (Priorität) und zieht sich
kann, ist die „1“ rezessiv. zurück, wenn ein rezessives Bit von einem an-
Jeder Teilnehmer ist gleichberechtigt (Multi- deren Master durch ein dominantes Bit über-
Master-System), so dass eine Buszuteilung er- schrieben wird. Es können also nur rezessive Bits
folgen muss. Dies geschieht durch die Anzahl überschrieben werden, so dass ein Master mit
dominanter und rezessiver Bits, die ein sendewil- vielen dominanten Bits im Identifier als hoch-
liger Teilnehmer auf den Bus legt. Dabei gilt: priorisierter Busteilnehmer gilt.
 Je höher die Anzahl dominanter Bits ist, desto Hochpriorisierte Busteilnehmer sehen die
höher ist seine Priorität. Kollisionssituation nicht, so dass sie nach dem
Ein Teilnehmer hoher Priorität überschreibt erfolgreichen Senden ihrer Priorität den Bus für
durch seine dominanten Bits die Sendemarken sich beanspruchen und Daten übertragen können.
722 J. Gutekunst

Startbit
Identifier
Teilnehmer 1 verliert
rezessiv
rezessiv
Teilnehmer 1
dominant

rezessiv
rezessiv
Teilnehmer 2
Teilnehmer 2 verliert dominant

rezessiv
Teilnehmer 3
dominant

Bussignal
entspricht Teilnehmer 3

Abb. 15.41 Busvergabe mit Hilfe dominanter und rezessiver Bits bei CAN

Bus

Teilnehmer will Identifier senden:


rezessiv
rezessiv

senden
dominant
rezessiv

Teilnehmer zieht
Teilnehmer verliert sich zurück dominant

rezessiv
mithören

dominant
Teilnehmer liest zurück:

Abb. 15.42 Verlust der Sendeberechtigung durch Mithören der dominanten Bits

Alle anderen Busteilnehmer senden während die- wodurch insgesamt 211 Telegramme (D 2048)
ser Zeit nicht. unterschieden werden können. Den gesamte Auf-
Das Datenformat beim CAN-Bus besteht aus bau eines Datenfeldes (engl.: Message Frame)
drei Hauptteilen: verdeutlicht Abb. 15.43.
 dem Kopf (engl.: Header), der im Wesentli- Hier wird auch deutlich, dass das CAN-
chen die Arbitrierung beschreibt, Protokoll nachrichtenorientiert arbeitet und nicht
 dem Datenfeld und verbindungsorientiert. Das bedeutet, dass ei-
 dem Prüffeld (Trailer), das Prüfsumme und ne Nachricht von jedem Teilnehmer empfan-
Rahmensicherung beinhaltet. gen werden kann. Wichtige Telegramme be-
Zur Arbitrierung wird der Identifier verwendet. kommen dabei einen hochpriorisierten Identi-
Er gibt auch die maximale Anzahl von mögli- fier, weniger wichtige werden hingegen durch
chen Telegrammen in einem CAN-Bus-System entsprechend viele rezessive Bits gekennzeich-
wieder. Das Standard-Format umfasst 11 Bits, net.
15 Schnittstellen, Bussysteme und Netze 723

Start of Frame Remote Transmission Request Acknowledge-Slot


Idenfier Extension Bit Acknowledge-Delimiter

A-Del.
A-Slot
RTR
SOF

IEB
0 Idenfier 10 0 DLC 3 0-8 Bytes 0 CRC 14 EOF

Bus Idle Arbitraon Control Data Field CRC Field Ack. End of Inter- Bus Idle
Field Field Cyclic Redundancy Field Frame mission
Check

Abb. 15.43 Datenrahmen für das Standard CAN Telegramm mit 11-Bit-Identifier

Start of Frame Substute Remote Request Acknowledge-Slot


Acknowledge-Delimiter
Idenfier Extension Bit
Remote Transmission Request
~~

11 Bit

A-Del.
A-Slot
RTR

18 Bit Idenfier DLC 3 0-8 Bytes CRC EOF


SOF

0 Idenfier 10 0 17 0 0
~~

Bus Idle Arbitraon Control Data Field CRC Field Ack. End of Inter- Bus Idle
Field Field Cyclic Redundancy Field Frame mission
Check

Abb. 15.44 Erweiterter Datenrahmen mit 29-Bit-Identifier

Beispiel Der CAN-Bus weist eine sehr hohe Daten-


Dieses Verfahren ist optimal auf die Kommu- sicherheit mit einer Hammingdistanz von 6 auf
nikation in Fahrzeugen abgestimmt, wo auch (Abschn. 11.2). Dies wird durch eine dreifache
der Ursprung des CAN-Busses liegt. So wird Sicherung auf Datenebene erreicht:
beispielsweise durch das Telegramm „Brems-  Prüfsummenbildung (CRC: Cyclic Redundan-
leuchten ein“ sowohl die rechte als auch die cy Check),
linke Bremsleuchte geschaltet, ohne dass der  Formatprüfung (engl.: frame check) und
Initiator die Knotenadresse wissen muss. Bei  Überprüfung der Empfangsquittierung (ACK-
diesem Verfahren lässt sich auch problemlos Check).
die „3. Bremsleuchte“ (Zentralbremsleuchte) Auf Bit-Ebene wird beim CAN-Bus eine 2-fache
in das System einfügen. Für das Bordsteuerge- Sicherung durchgeführt:
rät des Fahrzeuges ist diese Erweiterung nicht  die Eigenüberwachung (Monitoring) und
relevant.  das Bit-Stuffing.
Letzteres ist ein Mechanismus, der nach fünf
Um weitere Unterteilungen, vor allem um aufeinander folgenden gleichen Zeichen automa-
Nachrichtengruppen zu bilden, wurde in der tisch ein komplementäres Zeichen einfügt (Stopf-
Spezifikation 2.0 B der Identifier deutlich erwei- bit, engl.: Bit-Stuffing). Der Empfänger überprüft
tert. Mit einer Länge von 29 Bits können nun beispielsweise fünf aufeinander folgende Einsen
229 Telegramme unterschieden werden. Abbil- und erwartet darauf hin eine Null. Ist dies nicht
dung 15.44 stellt den erweiterten Datenrahmen der Fall, so handelt es sich um einen Stuffing
zusammen. Die Bedeutung der einzelnen Felder Error („Stopffehler“), der erkannt und mitgeteilt
ist in Tab. 15.11 zusammengestellt. wird.
724 J. Gutekunst

Tab. 15.11 Übersicht über die Bitanzahl bei Standard und Extended Identifier des CAN Busses
Signal Bezeichnung Länge (Standard Format) [Bit] Länge (Extended Format) [Bit]
SOF Start of Frame 1 1
ID1 Identifier Field 1 11 11
SRR Substitute Remote Request – 1
RTR Remote Transmission Request 1 –
DIE Identifier Extension 1 1
ID2 Identifier Field 2 – 18
RTR Remote Transmission Request – 1
r0 Reserved 0 1 1
r1 Reserved 1 – 1
DLC Data Length Control 4 4
Data Field 0, 8, 16, 26, . . . , 64 0, 8, 16, 26, . . . , 64
CRC Cyclic Redundancy Check 15 15
ACKS Acknowledge Slot 1 1
ACKD Acknowledge Delimiter 1 1
EOF End of Frame 7 7
ITM Inter Transmission Gap 3 3
Maximale Telegrammlänge: 110 130

Durch diese Sicherungsmaßnahmen weist der zeitlichen Ablauf für die Standard Applikationen
CAN-Bus die höchste Datensicherheit bei den vollständig unter Kontrolle.
Feldbussystemen auf (Hamming-Distanz 6). Dar- Das Zugriffsverfahren, sowie das DeviceNet-
um findet er neben dem Anlagen- und Maschi- Protokoll wird durch das CIP-Modell beschrie-
nenbau auch Anwendung in der Medizintechnik, ben (CIP: Common Industrial Protocol). Der
der Gebäudevernetzung, der Robotik und mehr. Aufbau von CIP und das Zusammenspiel mit
CAN, dem Physical Layer, zeigt Abb. 15.45.
Ebenfalls in Abb. 15.45 zu erkennen ist
die Spezifikation der Übertragungsschicht. Dar-
15.4.3.1 DeviceNet in sind neben möglichen Steckertypen auch das
Auf dem Kommunikationsprinzip CAN baut Kabel und seine Eigenschaften beschrieben. Die
die von Rockwell Automation entwickelte Einhaltung dieser Spezifikation ist die Voraus-
DeviceNet-Kommunikation auf, die heute maß- setzung, dass Geräte unterschiedlicher Hersteller
geblich durch die ODVA (Open DeviceNet miteinander kommunizieren.
Vendor Association) überwacht und kontrolliert
wird. Neben Profibus ist heute DeviceNet einer I Hinweis: Über die normale Prozessdaten-
der meist verbreitetsten Feldbusse für Maschinen Kommunikation hinaus verfügt DeviceNet auf
und Anlagen und hat vor allem in Nordamerika Grund der CAN-Bus-Technologie über die Mög-
große Bedeutung erlangt. lichkeit, sogenannte Events unabhängig von
Grundsätzlich wurde dabei die auf CAN ba- der Steuerung zu signalisieren. Dies ist beson-
sierende Kommunikation beibehalten. Allerdings ders vorteilhaft bei zeitkritischen Ereignissen,
wurde eine signifikante Änderung durch eine hö- wie beispielsweise Störungen.
herer Protokollschicht eingeführt:
 die CSMA=CA-Arbitrierung wurde durch ein Die Kernmerkmale von DeviceNet sind:
Zugriffsverfahren ersetzt.  typische Übertragungsraten von 125 kBaud,
Damit reduziert sich das Multimaster-System 250 kBaud und 500 kBaud,
wieder auf die normale Single Master=Multiple  bis zu 64 Teilnehmer am Bus (inklusive Steue-
Slave-Zugriffstechnik und die Steuerung hat den rung, in der Regel Adresse 0),
15 Schnittstellen, Bussysteme und Netze 725

Übertragungsschicht
nach OSI Adapon der Spezifikaon Spezifikaon
Schicht Aufgabe
7 Anwendung Device Profile, Applicaon Objects
CIP
6 Darstellung (IEC 61158)
Implicit messages Explicit messages
5 Sitzung
4 Transport DeviceNet Spec
Connecon Manager (IEC 62026)
3 Netzwerk
CAN Spec
2 Sicherung CAN (Controller Area Network) (ISO 11898)
1 Übertragung Master-Slave, Mul -Master, max. 64 Knoten DeviceNet Spec
(IEC 62026)

Abb. 15.45 Einbindung des Common Industrial Protokolls in das OSI Modell

 maximale Netzwerklänge von 100 m bei wohl im Protokoll als auch in der elektrischen
500 kBaud und bis zu 500 m bei 125 kBaud Schnittstelle.
und
Netze können sind dabei nicht lokal begrenzt.
 normiert in IEC 62026.
Abbildung 15.46 zeigt eine Einteilung der Net-
In dieser Norm sind beispielsweise auch un-
ze nach ihrem Einsatzbereich, den Netzarten, den
terschiedliche Kabeltypen wie Rundkabel oder
Systemen und den Systemmodellen.
Flachbandkabel festgelegt sowie die dazugehö-
Netze lassen sich nach Abb. 15.46 je nach
rigen Stecker. Eine Besonderheit dabei ist, dass
Einsatzgebiet in folgende grundlegende Gruppen
bei einfachen DeviceNet-Teilnehmern die Span-
einteilen:
nungsversorgung und die Datenkommunikation
 GAN (Global Area Networks),
in einem Kabel geführt werden kann. Dieses
 WAN (Wide Area Networks) und
Hybridkabel vereinfacht die Installationstechnik
 LAN (Local Area Networks).
erheblich.
Die Komplexität der Netze und insbesondere
deren Steuerung nehmen mit der geografischen
Ausdehnung erheblich zu.
15.5 Netze Um den Aufbau und die Arbeitsweise von
Netzen aus der Applikation heraus zu vereinheit-
15.5.1 Einführung lichen und damit auch zu vereinfachen, wurden
Modelle entwickelt. Dabei handelt es sich um rei-
Unter Netze versteht man ein Kommunikations- ne Organisationsmodelle mit eindeutigen Regeln
verbund unterschiedlicher Infrastrukturen. Das zum zeitlichen Ablauf, inhaltlichen Formaten,
können auch unabhängige Rechnersysteme sein, logischen Strukturen, Vereinbarungen zur Berei-
die die für sie notwendigen Informationen aus nigung von Fehlersituationen und über Ausfüh-
den anderen Systemen erhalten zu können. Um rungsvorschriften für die Informationsübertra-
dies zu ermöglichen, müssen in der Regel sowohl gung. Das wichtigste Modell ist das OSI-Modell
physikalische Schnittstellen als auch das Proto- (Open Systems Interconnection) nach ISO (Ab-
koll für die jeweilige Gruppe angepasst werden. schn. 15.5.2).
Neben dem OSI-Modell sind in der Informa-
I In Netzen ist die physikalische Infrastruktur, tik auch noch das SNA-Modell (System Network
über die die Teilnehmer kommunizieren, un- Architecture) und das DNA-Modell (Digital Net-
terschiedlich. Das benötigt ein Umsetzen so- work Architecture) zu finden.
726 J. Gutekunst

Netze

Netztyp GAN WAN LAN


Global Area Network Wide Area Network Local Area Network

• weltweit • landesweit • Gebäude


Ausdehnung • interkontinental • gebietsweit • Büro
• Funktionsbereiche

• ISDN • Ethernet
Technologie • BITNET
• DATEX-P/L • WLAN
(Beispiele) • Internet
• MAN • Profibus-PA
• militärische Netzwerke
Metropolitan Area Network
• Standleitungen

• vermaschtes Netz • vermaschtes Netz • Bus


Struktur
• Peer-to-Peer • Peer-to-Peer • Stern
(bei Richtfunkstrecken) (bei Richtfunkstrecken) • Ring
• Baum

• Funk • Funk • Koaxialkabel


Medium
• Tiefseekabel • Ortsnetze • Twisted Pair Leitungen
• Glasfaserkabel • Glasfaserkabel
• Funk

Abb. 15.46 Übersicht über unterschiedliche Netze und deren Charakteristika

15.5.2 Das OSI-Modell  anwendungsorientierte Schichten (Schicht


5–7).
15.5.2.1 Beschreibung Die Ebenen 1 bis 4, die transportorientierten
Das OSI-Modell mit seinen 7-Schichten ist für Schichten sind dabei meist als Hardware (z. B.
verschiedene Anwendungen zur Übertragung von Einsteckkarten in den PC) ausgeführt, während
Informationen entworfen worden. Je höher die die anwendungsorientierten Schichten 5 bis 7 als
Schicht im Modell ist, desto unabhängiger ist das Software in den einzelnen Stationen laufen.
Protokoll von der physikalischen Übertragung. Der grundlegende Gedanke für dieses Modell
Abbildung 15.47 zeigt den grundsätzlichen Auf- ist die Trennung in allgemeine Komponenten, die
bau und die Bedeutung der sieben Schichten. bei jeder Kommunikation unabhängig von deren
In jeder Schicht wird das Protokoll von unten Inhalt auftreten und in inhaltliche Komponen-
nach oben um spezifische Eigenschaften ergänzt, ten, die speziell die Kommunikation zwischen
was es immer unabhängiger von der eigentlichen Endsystemen bestimmen. Die Verarbeitungsin-
Übertragung an der physikalischen Schnittstelle stanzen stützen sich in ihrer Kommunikation
macht. Die Übertragung selbst findet aber immer auf eine Hierarchie von Kommunikationsdiens-
in der Schicht 1 (engl.: Layer 1) statt. ten und die zugehörigen Regeln, die Protokolle.
Zwischen den einzelnen Schichten wird je- Auf diese Weise ergeben sich Funktionsschich-
weils ein Service Access Point (SAP) zur Verfü- ten, die sich, wie die Kommunikationsdienste
gung gestellt, der als Übergabeschnittstelle zwi- selbst, über Systemgrenzen hinweg erstrecken.
schen den Ebenen anzusehen ist. Somit ist eine Dadurch entsteht neben dem vertikalen Informa-
Verbindung in vertikaler Richtung definiert, wäh- tionsfluss von Schicht zu Schicht noch ein ho-
rend die Verbindung in horizontaler Richtung nur rizontaler Informationsfluss über Systemgrenzen
als Umweg über die tieferliegenden Schichten ge- hinweg (peer-to-peer-protocol: Partnerprotokoll),
stattet werden kann. der nicht direkt über einen realen Übertragungs-
Grundsätzlich unterscheidet man kanal erfolgt, sondern über die tieferliegenden
 transportierende Schichten (Schicht 1–4) und Schichten.
15 Schnittstellen, Bussysteme und Netze 727

Teilnehmer A Teilnehmer B

Applikations-
Schicht 7 Anwendung Anwendung Schicht 7

schichten
Schicht 6 Darstellung Darstellung Schicht 6

Schicht 5 Kommunikation Kommunikation Schicht 5

Schicht 4 Transport Transport Schicht 4

Transport-
schichten
Schicht 3 Vermittlung Vermittlung Schicht 3

Schicht 2 Sicherung Sicherung Schicht 2

Schicht 1 Bitübertragung Bitübertragung Schicht 1

Abb. 15.47 Die sieben Schichten des OSI-Modells

Das Schichtprotokoll hat bestimmte Aufga- (physical layer) zur Verfügung stellt, ganz gleich,
ben zu erfüllen. Dabei fügt jede Schicht auf der ob das nun eine Richtfunkstrecke oder ein Kupfer-
Senderseite der Nachricht genau diejenigen In- kabel oder eine Satellitenübertragungsstrecke ist.
formationen hinzu, die für den Empfänger zur Im Folgenden seien die einzelnen Schichten des
Interpretation notwendig sind. Diese Informatio- OSI-Modelles genauer beschrieben.
nen werden dann von der entsprechenden Schicht
beim Empfänger wieder entfernt, so dass am En- 15.5.2.2 Schichten des OSI-Modells
de die reine Nachricht übrig bleibt.
Zwischen den einzelnen Schichten gibt es Bitübertragungsschicht (Schicht 1: physical
genau definierte Schnittstellen (SAP: Service layer) Die Bitübertragungsschicht stellt die un-
Access Points). Die Aufgaben der einzelnen terste Ebene der Übertragung dar auf der binären
Schichten lassen sich folgendermaßen veran- Signale ungesichert übertragen werden. Sie ist im
schaulichen: Angenommen, ein englisch spre- Wesentlichen durch den physikalischen Aufbau
chender Chef (application layer) möchte mit ei- gekennzeichnet, was die Übertragungsstrecke be-
nem deutsch sprechenden Chef kommunizieren. trifft. Dies sind beispielsweise
Der Übersetzer (presentation layer) macht nun  die Stecker,
aus dem englischen Wort „Eagle“ das deutsche  das Kabel,
Wort „Adler“ und aus dem deutschen Wort „Igel“  die Signalpegel und
das englische Wort „Hedgehog“. Man könnte  weitere elektrische und mechanische Eigen-
sich auch vorstellen, ein Chinese kommuniziert schaften
mit einem Franzosen, und die Übersetzer kom- Beispiele für Übertragungsstrecken sind 2-Lei-
munizieren in englischer Sprache miteinander. ter-Technik, Koaxial-Kabel, Lichtwellenleiter,
Diese Nachrichten werden von den Sekretä- Funkverbindungen, etc.
rinnen (session layer) über das Telefon (transport In diesen Schichten befinden sich bereits ein-
layer) weitergegeben. Die Vermittlungsstelle (net- fache Fehlererkennungsmechanismen, um Lei-
work layer) sucht über die Telefonnummer den tungsunterbrechungen oder den Zusammenbruch
richtigen Weg. In der Vermittlungsstelle sitzt ein eines Übertragungskanals feststellen zu können.
Leitungswähler (link layer), der eine freie Leitung Bei der Basisbandübertragung (baseband) wer-
728 J. Gutekunst

den die Signale nacheinander, d. h. im Multiplex- BSC Binary Synchronous Control (IBM),
verfahren, übertragen. Dieses Verfahren ist kos- SDLC Synchronous Data Link Control (IBM),
tengünstig und wenig störanfällig. Bei der Breit- ADLCP Advanced Data Link Control Protocol
bandübertragung (broadband) teilt man den zur (ANSI) und
Verfügung stehenden Frequenzbereich in einzel- HDLC High Level Data Link Protocol (ISO);
ne Kanäle auf und kann in ihnen gleichzeitig ver- LAP Line Access Procedure (CCITT).
schiedene Nachrichtensignale übertragen; es fin- Bei den zeichenorientierten Protokollen verwen-
det also ein Frequenzmultiplex statt. Diese Art der det man Steuerzeichen zur Signalisierung. Die-
Übertragung eignet sich besonders zur Übertra- se Steuerzeichen sind in einer Kodetabelle ver-
gung von Sprach- und Videosignalen, da hier ge- einbart (z. B. ISO-7-Bit-Kode, CCITT Nr. 5,
nügend hohe Bandbreiten zur Verfügung stehen. DIN 6603). Dabei fasst man die zu übermitteln-
den Nachrichten als Block zusammen. Ist ein
Sicherungsschicht (Schicht 2: link layer) Auf- solcher Block komplett übertragen, so schickt die
gabe der Sicherungsschicht ist es, eine zuverlässi- Empfangsseite eine Bestätigung (ACK: Acknow-
ge Datenübertragung zu garantieren. Dazu gehört ledge) bzw. eine Nichtbestätigung (NAK: Not
sowohl der geordnete Zugriff auf das übertra- Acknowledge) des Empfangs zurück. Wichtig
gende Medium, als auch die Strukturierung der beim Einsatz von zeichenorientierten Protokollen
Daten. Die ISO-Norm 8802 teilt deshalb die Si- ist, dass die beteiligten Systeme den gleichen Zei-
cherungsschicht in folgende zwei Teilebenen ein: chensatz verwenden.
 In die LLC-Teilebene (Logic Link Control Bitorientierte Protokolle benutzen strukturier-
Sublayer), welche die Strukturierung der Da- te Blöcke nach DIN (engl.: frames) mit ört-
ten vornimmt und lich festgelegten Feldern (Rahmen oder Block)
 in die MAC-Teilebene (Medium Access Con- für die Aufnahme von Steuer- bzw. Nutzinfor-
trol Sublayer), die den Zugriff auf das Über- mationen zur Übertragung. Ein Rahmen wird
tragungsmedium vornimmt. von bestimmten Bitmustern (flags) begrenzt, die
Die Datenstruktur in der Sicherungsschicht be- durch spezielle Aufbereitung der Nutzinformatio-
steht aus einem Datenblock mit Nutzdaten sowie nen (Bitstopfen) innerhalb eines Rahmens nicht
Kontrollinformationen wie Blocklänge, Prüfsum- mehr vorkommen können. Somit ist die Über-
me, Sender- und Empfängerkennung. Mit Hil- tragung unabhängig von einem bestimmten Zei-
fe dieser Angaben stellt die Sicherungsschicht chensatz möglich. Die bitorientierten Protokolle
eine Verbindung für die fehlerfreie und voll- können, da sie wesentlich komfortabler aufge-
ständige Übertragung her. Unzulänglichkeiten ei- baut sind als die zeichenorientierten Protokolle,
ner Übertragungsstrecke werden den höheren die geforderten Aufgaben der zweiten Ebene des
Schichten berichtet. Das Protokoll der Siche- OSI-Modelles erfüllen.
rungsschicht sorgt dabei für die Sicherung der
Datenübertragung und für die Korrektur von Vermittlungsschicht (Schicht 3: network layer)
Übertragungsfehlern. Bei den Protokollen in die- Sie legt fest, wie eine Netzverbindung zwischen
ser Schicht wird zwischen den zeichenorientier- den Endsystemen aufgebaut und überwacht
ten Übertragungsverfahren (basic data link con- wird. In Zusammenarbeit mit der Sicherungs-
trol procedures) und den bitorientierten (high schicht bewältigt sie die Wegfindung (Routing)
level data link control procedures) unterschieden. durch das Netzwerk. Dazu müssen Netzkno-
Das bekannteste Protokoll dieser Schicht ist das ten mit ausreichender Speicherkapazität für die
XON=XOFF-Protokoll für festgeschaltete Punkt- Zwischenspeicherung gesucht werden. Bei Dif-
zu-Punkt-Verbindungen über V.24-Schnittstellen, fusionsnetzen ist die Vermittlungsschicht im
in dem nur zwei Zeichen zum Beginn und Wesentlichen für die Verbindung mit anderen
Beenden einer Übertragung definiert sind. Be- Netzen über Gateways wichtig. Die Vermitt-
kannte Vertreter für Leitungsprotokolle (LLC- lungsschicht verknüpft gesicherte Leitungen
Protokolle) sind: zu Endsystemverbindungen. Dabei haben die
15 Schnittstellen, Bussysteme und Netze 729

darüberliegenden Schichten nur noch die End- Klasse 2: Multiplexverbindungen.


systemverbindungen, so dass ein transparenter Klasse 3: Multiplexverbindungen mit Fehlerbe-
Datenaustausch möglich ist. Der darüberlie- handlung nach Klasse 1.
genden Transportschicht werden folgende zwei Klasse 4: Wie Klasse 3, aber mit einer zusätzli-
Dienste angeboten, der VCS (Virtual Circuit Ser- chen Behandlung von selbsterkannten
vice) und der DS (Datagram Service). Beim Fehlern.
VCS stellt man logische Kanäle zur Verfügung.
Diese besorgen den Auf- und Abbau der Ver- Kommunikationssteuerungsschicht (Schicht 5:
bindungen und garantieren mit der Schicht 2 session layer) Diese Schicht stellt die Mittel
eine fehlerfreie vollständige Übertragung (ver- zur Verfügung, eine Kommunikationsbeziehung
gleichbar mit einer Telefonverbindung). Der (Sitzung oder session) zu eröffnen, deren geord-
Datagram Service (DS) nimmt einzelne Da- nete Durchführung zu regeln und zu beendigen.
tenpakete ab und versucht, diese als einzelne, Die Sitzungsschicht (mehrere Benutzer arbeiten
isolierte Einheiten zu übertragen. Dabei wird gleichzeitig an Rechnern und mit deren Be-
die gleiche Reihenfolge der einzelnen Datenpa- triebssystem: Multiuser-Systeme) hat bei der
kete an den Sender und den Empfänger nicht Datenübertragung folgende besondere Aufgaben
gesichert (vergleichbar mit einer Briefzustel- zu erfüllen:
lung). a) Multiplexen
Ein wichtiger Standard dieser Ebene ist die Liegen in einem System mehrere Aufträge
CCITT-Empfehlung X.25, der die Schnittstel- zur Datenübertragung vor, so muss man die-
le zwischen einem Host-Rechner und den Da- se, unter Berücksichtigung von Prioritäten,
tenübertragungsdiensten öffentlicher und privater zeitlich versetzt der Datenübertragungsein-
Netze definiert. X.25 ist eine Norm für die un- richtung (DÜE) zuführen.
tersten drei Schichten. Die elektrischen Eigen- b) Verwaltung der Pufferspeicher
schaften sind durch die X.21-Empfehlung ge- Die Daten gelangen bei der Übertragung in
regelt. Bekannte Protokolle der Netzwerkebene Pufferspeicher, von denen man sie dann ab-
sind TCP=IP, XNS und DEC-net. holen bzw. abschicken kann. Die Verwal-
tung und Verteilung dieser Pufferspeicher auf
Transportschicht (Schicht 4: transport layer) die einzelnen Teilnehmer sowie das zeitwei-
Diese Schicht transportiert die Nachrichten von se Auslagern auf Hintergrundspeicher (swap-
einem Endsystem zum anderen. Dazu werden ping) bei starker Beanspruchung wird von der
die notwendigen Transportverbindungen errich- Speicherverwaltung (buffer management) der
tet, gesteuert und beendet. Folgende Aufgaben Sitzungsebene erledigt.
müssen erfüllt werden: c) Prioritätenverwaltung
 Datensegmentierung, Bei fest zugewiesenen Prioritäten muss die
 Adressübersetzung (z. B. Name zur Rufnum- Prioritätenverwaltung (priority management)
mer), bei jedem Auftrag prüfen, ob nicht ein Auf-
 Anpassung an unterschiedliche Netzeigen- trag höherer Priorität vorliegt. Meist setzt
schaften und man hierbei eine dynamische Prioritätenver-
 Fehlerprotokolle für die Verbindung zwischen waltung ein, die trotz vieler Aufträge mit
den Endeinrichtungen. hoher Priorität auch Aufträge niedriger Prio-
Bei der Transportschicht gibt es fünf verschiede- rität berücksichtigt. Dabei wird die Priorität
ne Dienstklassen: eines Auftrags nach jeder Nichtbearbeitung
Klasse 0: Einfachste Klasse ohne Fehlererken- erhöht und nach jeder Bearbeitung erniedrigt.
nung d) Austausch von Kennungen
Klasse 1: Grundklasse mit Fehlererkennungs- Bei vielen Kommunikationsformen ist ein
und Behebungsmechanismen bei netz- Austausch von Kennungen über die gerufe-
seitig gemeldeten Fehlern. ne und die rufende Station vorgesehen. Diese
730 J. Gutekunst

Tab. 15.12 Zugriffsverfahren bei Netzen


Bezeichnung Verfahren Normen Übertragungsgeschwindigkeit [MBit=s]
Kollisionsbehaftet
CSMA=CD Mithören und Senden ISO 8802=3 1 bis 100
CSMA=CA Bei freier Leitung – 10 bis 400
Sendeberechtigungsmarke
Token Bus Beide Richtungen ISO 8802=4
Token Ring Nur eine Richtung ISO 8802=5 1, 4 und 16
Slotted Ring Nachrichtencontainer ISO 8802=7 10, 43
FDDI-I Token Ring mit Glasfaser ANSI X3T9 100
QPSX=DQDB Doppelbus ISO 880216 150
ATMR Doppelring – 622

Kennungen kann der Anwender auf Wunsch c) Umkodierung


ausgeben. Eine Umkodierung der Daten ist notwen-
e) Parameter-Übergabe dig, wenn zwei Systeme miteinander kommu-
Da die Daten meist zu Paketen zusammenge- nizieren, die unterschiedliche Zeichenkodes
fasst sind, kann hier eine Parameterübergabe verwenden.
(z. B. Parameter für bevorzugte Behandlung
als Eilpaket) stattfinden. Man kann auch An-
Anwendungsschicht (Schicht 7: application
gaben über die Formatierung von Nachrichten
layer) In der Verarbeitungsschicht findet die
oder die Behandlung von Daten in der emp-
eigentliche Informationsverarbeitung statt. Hier
fangenen Anlage übergeben.
stehen die Daten, die übertragen wurden, dem
System unmittelbar zur Verfügung. Zur Maschi-
Darstellungsschicht (Schicht 6: presentation nensteuerung sind Vereinbarungen nach MAP
layer) Die Darstellungsschicht hat die Aufga- (MAP: Manufacturing Automation Protocol) üb-
be, Datenformate anzupassen. Gerade bei offe- lich; für sonstige technische Informationen und
nen Netzen, die auch an Dienste wie Teletex für die Bürokommunikation TOP (TOP: Techni-
oder Bildschirmtext (Btx) angeschlossen sind, cal and Office Protocol). Die geleisteten Dienste
müssen die Daten in eine entsprechende inter- der Verarbeitungsschicht hängen vom Umfang
ne Darstellung umgeformt werden. Das Protokoll des Netzwerkbetriebssystems ab. Deshalb las-
der Darstellungsschicht legt fest, mit welchen sen sich nur noch wenige gemeinsame Aspekte
Sprachmitteln die Kommunikation stattfindet. Zu herausstellen. Als Dienstleistungen stehen zur
den Diensten dieser Schicht gehören: Verfügung: die Benutzung der Ressourcen ande-
a) Datenkompression rer Stationen im Netz (aufbauend auf die Dar-
Die Datenkompression dient der Verminde- stellungsschicht), Verwaltungsaufgaben, wie die
rung des Verkehrsaufkommens. Deshalb kann Zugangskontrolle und die Strukturverwaltung be-
eine vorhandene Übertragungskapazität mehr stehender Netzverbindungen.
Informationen übertragen. Die Datenkom-
pression setzt natürlich voraus, dass die Da-
ten beim Anwender vollzählig und griffbereit
vorliegen. 15.5.3 Zugriffsverfahren bei Netzen
b) Datenvorverarbeitung
Mit der Datenvorverarbeitung lässt sich die Eines der wichtigsten Merkmale bei der Unter-
Datenmenge verringern, so dass nicht alle scheidung der verschiedenen Netze ist die Art
eingegebenen Daten übertragen werden müs- des Zugriffsverfahrens. Tabelle 15.12 zeigt die
sen. Verfahren, beschreibt den Ablauf des Verfahrens,
15 Schnittstellen, Bussysteme und Netze 731

Ring Bus

1 Token

1 3

2 4

2 4
Token

Abb. 15.48 Das Token-Passing-Verfahren bei Bus- und Ringtopologie

ihre Normen und ihre Übertragungsgeschwindig-


15.6 Ethernet
keiten.
Die Zugriffsverfahren befinden sich auf der
zweiten Ebene des OSI-Modells. Man unter- Mit Übertragungsgeschwindigkeiten von 100
scheidet dabei zwischen MBit und 1 GBit sind Ethernet basierende Kom-
 kollisionsbehafteten Zugriffsverfahren und munikationssysteme in der Lage, auch große
 kollisionsfreien (mit Sendeberechtigungsmar- Datenmengen zu übertragen. An noch höheren
ke; token). Datenübertragungsraten wird zurzeit gearbeitet.
Unter einer Kollision versteht man dabei den Nahezu alle auf Ethernet basierenden Netz-
Zustand, der auftritt, wenn zwei Teilnehmer- werksysteme sind in der IEEE 802.3 standardi-
Stationen gleichzeitig anfangen zu senden (siehe siert (IEEE: Institute of Electrical and Electronics
dazu auch Abschn. 15.2.1) Engineers). Dabei wird auf unterschiedlichste
Bei einem kollisionsfreien Netz darf nur mit Übertragungsmedien gesetzt, wie beispielsweise:
einer Sendeberechtigungsmarke gesendet wer-  Koax-Leitungen,
den. Diese Sendeberechtigungsmarke wird auch  Twisted Pair-Leitungen und
Token genannt. Der Token wird dabei von ei-  optische Übertragungsmedien.
nem Teilnehmer zum anderen weitergereicht, In Tab. 15.13 sind einige wesentliche Ethernet-
weshalb dieses Zugriffsverfahren auch Token- Spezifikationen und deren maßgebliche Eigen-
Passing-Verfahren genannt wird. schaften gegenübergestellt.
Das Token-Passing-Verfahren wird in zwei Netzwerke mit Koaxial-Kabel sind nur noch
Topologien angewandt: in wenigen Applikationen zu finden. In den
 in der Busstruktur und 90er Jahren wurden vereinzelt 10Base-5 auf Ba-
 in der Ringstruktur. sis des „Yellow Cables“ eingesetzt, ebenso wie
Abbildung 15.48 verdeutlicht diesen Einsatz. 10Base-2, auch bekannt als Thin-Wire-Ethernet
Aus Abb. 15.48 geht hervor, dass durch das oder Cheap Ethernet. Es verwendet ein wesent-
Weiterreichen der Sendemarke auf der logischen lich einfacheres Koaxialkabel mit BNC-Stecker.
Ebene immer ein Ring entsteht, auch wenn die Die maximale Leitungslänge beträgt 500 m bei
physikalische Ausprägung der Topologie ein Bus 10Base-5 bzw. 200 m bei 10Base-2.
ist. In diesem Beispiel wird die Sendeberechti- Beide Varianten wurden nahezu vollständig
gungsmarke von Teilnehmer 4 an Teilnehmer 1 durch die Twisted Pair-Technologie abgelöst. Die
weitergegeben, wodurch der logische Ring ge- durch die Bürokommunikation bekannte Instal-
schlossen wird. lationsart hat ebenfalls in die Vernetzung von
732 J. Gutekunst

Tab. 15.13 Übersicht Ethernet Standards


Eigenschaft 10Base-5 10Base-2 10Base-T 10Base-FL 100Base-T 100Base-FX 1000Base-T
Übertragungs- 10 MBit 10 MBit 10 MBit 10 MBit 100 MBit 100 MBit 1 GBit
geschwindigkeit
Übertragungs- Koax Koax Twisted pair Glasfaser Twisted pair Glasfaser Twisted pair
medium
Topologie Linie Linie Stern Stern Stern Stern Stern
Max. Länge 500 m 200 m 100 m= > 1 km 100 m= > 1 km 100 m=
Segment Segment Segment

a
Feldbus Anschaltung

SPS
Bus - Bus - Bus - Bus -
Teilnehmer 1 Teilnehmer 2 Teilnehmer 3 Teilnehmer 4

Ethernet Anschaltung
SPS

Switch

Bus - Bus - Bus - Bus -


Teilnehmer 1 Teilnehmer 2 Teilnehmer 3 Teilnehmer 4

Abb. 15.49 Linientopologie a im Vergleich zur Sterntopologie b bei Ethernet

Maschinen und Anlagen Einzug gehalten und ist  der Ethernet Hub und
nun dabei, die Standard-Feldbusse abzulösen.  der Ethernet Switch.
Allerdings ist der Übergang in diese neue Während der Hub in der Installationstechnik eher
Technologie zuerst mit einem Umdenken in der eine untergeordnete Rolle spielt, ist der Ethernet
Topologie verbunden: Während die Standard- Switch fester Bestandteil der Installationstechnik.
Feldbussysteme mindestens physikalisch immer Dabei kann er als separates Gerät angeschaltet
einer Linienstruktur folgen, setzen die Ether- werden oder in den einzelnen Busteilnehmern in-
net-Busse vorwiegend auf eine Sternstruktur tegriert sein.
(Abb. 15.49). In Abschn. 15.6.2 wird der Funktion und Un-
Um dies effizient zu verwirklichen, werden terschied zwischen Hub und Switch erläutert.
neue Komponenten für die Kommunikation be-
nötigt:
15 Schnittstellen, Bussysteme und Netze 733

Header
Header Payload
Payload

max. 12208
max. 12208

Anzahl Bits: 64
64 48
48 48
48 368 --12000
368 12000 32

Anzahl Bytes: 8 6 6 2 46 - 1500 4

Senderadresse
Präambel

Zieladresse

Frame Typ

CRC
Daten

Abb. 15.50 Aufbau des Datentelegramms bei Ethernet

15.6.1 Einführung die der Anwender zuweisen kann. Die OUI um-
fasst die ersten 24 Bit und wird dabei von der
15.6.1.1 Aufbau des Ethernet Telegramms IEEE Organisation vergeben. Jeder Hersteller ei-
Im Vergleich zu den Standard-Feldbussen ist der nes Ethernet-Teilnehmers muss diese beantragen
Datenrahmen des Ethernet-Telegramms deutlich und kann so weltweit identifiziert werden. Ab-
größer und umfangreicher. In seiner maximalen bildung 15.51 zeigt die Aufteilung der MAC-
Länge kann er mehr als 12.000 Bits umfassen. Adresse.
Aber auch seine einfachste Variante mit 72 Bytes Dem Anwender stehen mit XX:XX:XX ins-
oder 576 Bits ist schon deutlich länger als die be- gesamt weitere 24 Bit zur Identifikation seiner
kannten Standards. Geräte zur Verfügung. Damit können 224 , also
Abbildung 15.50 zeigt den Aufbau des Ether- mehr als 16 Millionen Geräte gekennzeichnet
net Telegramms. Als erstes fällt der variable werden.
Datenrahmen (Container) auf, der in Abhängig-
I Hinweis: Es gibt auch herstellerunabhängige
keit der zu übertragenden Information zwischen
MAC-Adressen. Die wichtigste ist FF:FF:FF:FF:
46 Bytes und 1500 Bytes variieren kann. Der Vor-
FF:FF, die für eine Broadcast-Meldung steht
teil liegt dabei darin, dass bei geringer „Nutzlast“
und so von allen Teilnehmern empfangen wird.
(payload) die Übertragungszeit für den verkürz-
Firmen, die Ihre Identität nicht preisgeben
ten Rahmen ebenfalls erheblich verkürzt werden
wollen, haben die MAC-Adresse AC:DE:48:XX:
kann.
XX:XX. Die Liste der Hersteller ist im Internet
Die Präambel besteht aus einer Folge von ab-
verfügbar.
wechselnden Nullen und Einsen. Dies ermöglicht
die Synchronisierung auf den eintreffenden Da- 15.6.1.2 IP-Adresse und Subnet-Mask
tenrahmen. Gefolgt wird die Präambel von der Wie im vorigen Abschnitt beschrieben, ist die
Zieladresse, für die die Daten im Datencontainer MAC-Adresse (Medium Access Control Address)
bestimmt sind. Dies kann auch eine Broadcast- die eindeutige Identifikation des Produktes in ei-
Adresse sein, also eine Adresse, bei der sich mehr nem Netzwerk. Zur besseren Adressierung und
als nur ein Teilnehmer angesprochen fühlt. einfacheren Handhabung in herstellerübergrei-
Die Sendeadresse ist stets eindeutig in einem fenden Netzen wird allerdings die IP-Adresse
Netzwerk. Sie wird auch als MAC-Adresse oder verwendet (IP: Internet Protocol).
MAC ID bezeichnet (MAC: Medium Access Con- Die IP-Adresse
trol). Sie besteht aus 2 Teilen:  identifiziert jeden Sender und Empfänger in
 der OUI (Organizationally Unique Identifier, einem Netzwerk,
Hersteller-Kennung) und  wird jedem Gerät vor der Kommunikation zu-
 einer fortlaufenden Nummer, gewiesen (automatisch oder manuell) und
734 J. Gutekunst

48 Bit
Fortlaufende Nummer
Hersteller Kode durch den Hersteller
festgelegt

00 19 31 xx xx xx

von IEEE festgelegt

OUI Organizationally Unique Identifier


Senderadresse, MAC Adresse

Abb. 15.51 Aufschlüsselung der MAC-ID

Tab. 15.14 IP Adressklassen


Klasse Startadresse Endadresse Länge Netzwerkadresse Länge Geräteadresse Max. Anzahl Geräte
A 1.0.0.0 126.255.255.255 8 Bit 24 Bit > 16 Mio.
B 128.0.0.0 191.255.255.255 16 Bit 16 Bit 65.535
C 192.0.0.0 223.255.255.255 24 Bit 8 Bit 256
D 224.0.0.0 239.255.255.255 – – Multicast
E 240.0.0.0 255.255.255.255 – – reserviert

Abb. 15.52 Aufbau der


Ethernet IP-Adresse Ethernet IP Adresse: 192.168.0.100

Bit Muster: 11000000 . 10101000 . 00000000 . 01100100


Bit Wertigkeit: 27 20 . 27 20 . 27 20 . 27 20

Geräte
Netzwerk ID
ID

 benutzt 4 Bytes (32 Bit). 254 Geräte in diesem Netzwerk angeschlossen


Während die MAC-Adresse hexadezimal dar- werden können.
gestellt wird, wird die IP-Adresse dezimal Die Trennung zwischen dem Netzwerkteil und
dargestellt und durch Punkte getrennt. Abbil- dem Geräteteil in der IP-Adresse muss nicht auf
dung 15.52 zeigt beispielhaft den Aufbau und die einer Oktett-Grenze (Byte-Grenze) liegen. Mit
Darstellung einer IP-Adresse. Hilfe der Subnet Mask kann diese Grenze fest-
IP-Adressen werden darüber hinaus in Klas- gelegt und auch identifiziert werden.
sen eingeteilt. Diese richten sich nach der Größe Dabei gelten folgende Regeln:
des Netzwerkes und legen fest, wie viele Knoten  Die Bits für den Adressbereich der Geräte wer-
(Geräte) in einem Netzwerk sich befinden kön- den durch Nullen gekennzeichnet und
nen. In Tab. 15.14 sind die wichtigsten Klassen  die Netzwerk-Bits werden durch Einsen ge-
zusammengestellt. kennzeichnet.
Im Maschinen- und Anlagenbau wird bei- Abbildung 15.53 zeigt, wie die Subnet Mask und
spielsweise die Klasse C verwendet, so dass die IP-Adresse zusammenhängen.
15 Schnittstellen, Bussysteme und Netze 735

Abb. 15.53 Zusammen-


hang von Subnet Mask und Ethernet IP Adresse: 192.168.0.100
IP-Adresse

Bit Muster IP: 11000000 . 10101000 . 00000000 . 01100100


Netzwerk ID Geräte
ID
Bit Muster SN: 11111111 . 11111111 . 11111111 . 00000000

Subnet Mask: 255.255.255.0

Auf diese einfache Weise können Netzwerk- neuten Versuch nach einer zufälligen Zeit. Dies
Adressen und Geräte-Adressen von einander ge- wird als CSMA=CD-Verfahren bezeichnet (Ab-
trennt werden, bzw. Netzwerke identifiziert wer- schn. 15.2). Dabei bedeutet:
den.  CS: Carrier Sense: jeder Teilnehmer hört die
Wird in einem Netzwerk eine Adresse ange- Busleitung mit;
sprochen, die außerhalb des durch die Subnet  MA: Multiple Access. jeder Teilnehmer ist
Mask festgelegten Bereiches liegt, muss auf ein gleichberechtigt und kann zu jeder Zeit auf
Gateway (Tor) zugegriffen werden. Dieses Gate- den Bus zugreifen und
way wird unter einer bestimmten Adresse ange-  CD: Collision Detect: der Buszugriff wird ab-
sprochen, die als Gateway-Adresse abgelegt ist. gebrochen, sobald eine Kollision erkannt wird.
Zusammengefasst kann gesagt werden, dass ei- Das CSMA=CD-Zugriffsverfahren hat den Vor-
ne Ethernet-Kommunikation auf folgenden drei teil, dass keine Schiedsstelle, ein Arbitrator, be-
Adressen beruht: nötigt wird. Sobald der Bus frei ist, kann jeder
 der IP-Adresse, Teilnehmer auf diesen zugreifen. Kollisionen tre-
 der Subnet Mask und ten dann auf, wenn auf Grund von Laufzeiten auf
 der Gateway-Adresse. dem Kabel zwei entfernte Teilnehmer den Bus als
Hier wird bereits deutlich, dass mit dem Ein- frei erkennen und zu senden beginnen. In diesem
zug der Ethernet Feldbusse auch eine erheblich Fall werden sich nach der erkannten Kollision
komplexere Inbetriebnahme und die dazu not- beide Teilnehmer zurückziehen und einen neuen
wendigen Kenntnisse erforderlich werden. Tools Versuch nach Ablauf einer zufälligen Zeit starten.
vereinfachen zwar viele Schritte, bei großen Aus- Im Abschn. 15.4.1 ist dies durch Abb. 15.36 dar-
dehnungen und bei der Kommunikation über gestellt.
Zellengrenzen hinweg sind jedoch IT-Kenntnisse
notwendig. I CSMA=CD ist eine zerstörerische Arbitrierung,
da beide Buspartner nach Erkennen der Kolli-
sion die Sendung abbrechen.
15.6.1.3 CSMA=CD
Ethernet-Bussysteme gehören zu den Multi- Hier wird schnell erkennbar, dass ein determi-
Master-Bussen. Das bedeutet, dass jeder Busteil- nistisches Kommunikationssystem, wie es in der
nehmer selbst die Initiative ergreifen kann, den Automatisierungstechnik benötigt wird, nur be-
Bus zu beanspruchen. Dieser wahlfreie Zugriff dingt mit diesem Protokoll erfolgreich sein kann.
auf die Kommunikationsleitung setzt die perma- Die Wartezeiten sind in Abhängigkeit der Bus-
nente Abhörung der Leitung durch den sende- auslastung nicht vorhersehbar, weshalb man von
willigen Teilnehmer voraus. Bei einer erkannten einem nicht deterministischen Zugriffsverfahren
Kollision zieht er sich zurück und startet einen er- spricht.
736 J. Gutekunst

Tab. 15.15 Übersicht zu Echtzeit Ethernet-Netzwerken


Netzwerk Organisation WEB Seite
ProfiNet PI Profibus & Profinet International www.profibus.com
Ethernet=IP ODVA Open DeviceNet Vendor Association www.odva.org
EtherCat ETG EtherCat Technology Group www.ethercat.org
PowerLink EPSG Ethernet Powerlink Standardization Group www.ethernet-powerlink.org
Sercos III Sercos Sercos International www.sercos.de
CC-Link iE CLPA CC-Link Partner Association www.cc-link.org

Abb. 15.54 Ethernet Hub Teilnehmer 1 Teilnehmer 2 Teilnehmer 3 Teilnehmer 4


sendet sendet
Daten Daten

Hub mit 8 Ports

Teilnehmer 8 Teilnehmer 7 Teilnehmer 6 Teilnehmer 5

Um diesen Nachteil zu vermeiden, haben Segmente bis zu 100 m lang sein können (z. B.
die Steuerungshersteller unterschiedliche Echt- für 100Base-T).
zeitprotokolle entwickelt. Tabelle 15.15 zeigt die Damit wird auch deutlich, dass mit steigender
bekanntesten echtzeitfähigen Ethernet-Protokolle Anzahl von Teilnehmern die Gefahr von Kollisio-
in einer Übersicht, die federführenden Organisa- nen in jedem Teilsegment steigt. Die Teilnehmer
tionen und deren Web Adresse. teilen sich die zur Verfügung stehende Bandbrei-
te, man spricht auch von einem Shared Ethernet.
Hubs haben heute nahezu keine Bedeutung
15.6.2 Ethernet Hub und Ethernet mehr, da die Wahrscheinlichkeiten von Kollisio-
Switch nen steigt und Telegrammwiederholungen erfor-
dert.
Der Ethernet Hub stellt einen Kommunikations- Anders als der Hub besitzt der Switching
knoten dar, an dem alle Teilnehmer angeschlos- Hub – oder kurz Switch – Intelligenz. Während
sen werden. Der Hub ist ein Multiport Repeater des Einschaltvorgangs lernt der Switch, welche
und stellt alle an einem Port eingehenden Daten Teilnehmer an welchen Ports angeschlossen sind.
an allen anderen Ports zur Verfügung. Da das Ethernet-Telegramm in der Präambel so-
Abbildung 15.54 zeigt vereinfacht, wie die wohl die Sendeadresse als auch die Zieladresse
Ethernet-Telegramme durch einen Hub verteilt beinhaltet, kann nun der Switch ein ankommen-
werden, hier am Beispiel der Teilnehmer 1 des Telegramm eindeutig einem Port zuordnen
und 4 (rot). Beide Teilnehmer sind aktiv und die und routet so die Information an den entsprechen-
Telegramme werden in jedes Segment gesendet den Zielteilnehmer.
und somit jedem Teilnehmer zur Verfügung ge- Der Vorteil ist vor allem bei großen Netz-
stellt. werken und Netzwerken mit hohem Datenauf-
Ebenfalls in Abb. 15.54 zu erkennen ist die kommen spürbar. Es werden keine unnötigen
dazu notwendige Stern-Topologie, bei der die Telegramme in Ethernet-Segmente geschickt, so
15 Schnittstellen, Bussysteme und Netze 737

Abb. 15.55 Verbindungs- Teilnehmer 3


empfängt exklusiv Daten
orientierter Datenaustausch Teilnehmer 2
Teilnehmer 1 von Teilnehmer 4 Teilnehmer 4
beim Switch sendet sendet
Daten Daten

Switch mit 8 Ports

Teilnehmer 8 Teilnehmer 7 Teilnehmer 6 Teilnehmer 5


empfängt exklusiv Daten
von Teilnehmer 1

dass in den einzelnen Bereichen stets die volle  Setzen von Adressfiltern,
Bandbreite verfügbar ist. Kollisionen und so-  Gezieltes Ab- und Zuschalten von Ports,
mit Telegrammwiederholungen werden vermie-  Auslesen von Fehlerzählern,
den. Abbildung 15.55 zeigt vereinfacht die Funk-  Separieren von Teilsegmenten,
tion eines Switches und die damit verknüpfte  Versenden von Broadcast-Messages an alle
Namensgebung („Weiterschalten von Telegram- Teilnehmer oder Gruppen und
men“).  Synchronisieren von Telegrammen und Ereig-
In diesem Beispiel ist Teilnehmer 4 exklusiv nissen.
mit Teilnehmer 3 verbunden, ebenso Teilneh- Auf eine detaillierte Beschreibung wird verzich-
mer 1 mit Teilnehmer 6 (rot dargestellt). Alle tet und auf die entsprechende weiterführende
anderen Teilnehmer und Kommunikationsverbin- Literatur im Anhang hingewiesen.
dungen werden nicht mit den Telegrammen von 1
und 4 beaufschlagt.
Dazu muss der Switch alle eingehenden Te- 15.6.3 TCP=IP
legramme einlesen und die Zieladresse mit sei-
ner intern abgespeicherten Adresszuordnungslis- TCP=IP steht für Transmision Control Protocol=
te vergleichen. Dieses Verfahren wird store-and- Internet Protocol und ist heute der meist verbrei-
forward-Prinzip genannt. tete Standard bei der Ethernetkommunikation.
Die Abarbeitung der Zuordnung benötigt na- Das TCP=IP-Protokoll ist eines der ersten ge-
türlich Zeit. Doch durch die hohe Datenrate normten Protokolle auf einem Ethernet-Netzwerk
und leistungsfähige Mikrokontroller sind das und ist heute der Standard für die Büro-Kom-
nur wenige Mikrosekunden. Demgegenüber steht munikation. Es wurde in den 70er Jahren des
die volle Bandbreite auf jedem Kanal zur Verfü- letzten Jahrhunderts im Auftrag des amerikani-
gung. schen Verteidigungsministeriums entwickelt. Im
Neben dieser grundlegenden Funktion kann Maschinen- und Anlagenbau hat TCP=IP inzwi-
durch die Intelligenz dem Switch eine ganze Rei- schen einen festen Platz eingenommen. Es stellt
he von weiteren Leistungsmerkmalen zugeordnet die Verbindung zwischen den Produktionsmitteln
werden. Die wichtigsten sind: und der Leitebene dar und ermöglicht so neben
 SNMP: small network management protocol, der Prozessbeobachtung auch Diagnose- und Pro-
 IGMP: internet group message protocol und gramm-Downloads.
 VLAN: virtual local area network. TCP=IP ist nicht echtzeitfähig, wird aber meist
Oben genannte Protokolle ermöglichen das Ma- als Ergänzung zu den Echtzeitprotokollen ver-
nagen von Ports, wie beispielsweise wendet. Damit lassen sich auch allgemeine An-
738 J. Gutekunst

Übertragungsschicht
TCP/IP Frame Aufbau
nach OSI
Schicht Aufgabe
7 Anwendung z.B. FTP, HTTP Sender Daten Empfänger

6 Darstellung -
5 Sitzung -

4 Transport TCP/UDP TCP


Daten
Header
IP TCP
3 Netzwerk IP Header Header Daten
MAC IP TCP
2 Sicherung CSMA/CD Header Header Header Daten CRC

1 Übertragung Ethernet
Übertragung

Abb. 15.56 Das OSI Schichten Modell für TCP=IP

wendungen an der Maschine nutzbar machen, Maschinen ist das nicht ausreichend. Hier werden
wie beispielsweise deterministische Antwortzeiten gefordert, also
 Programm-Downloads, Antworten, die in einem bestimmten und vorher-
 Grafikoberflächen oder sogar sehbaren Zeitraum erfolgen. Ist dieser Umstand
 Webcams zur Prozessbeobachtung. erfüllt, spricht man von Echtzeitanwendungen.
Die wesentlichen Merkmale des Transmission
Control Protocols (TCP) sind: I Echtzeit Ethernet Protokolle liefern eine deter-
 Sichere Datenübertragung, ministische Antwort.
 verbindungsorientiertes Protokoll (Ab-
schn. 15.2 Datenrahmen), Nach dieser Definition hat Echtzeit Datenüber-
 verpackt Nachrichten in einen Bytestrom und tragung zunächst nichts mit Geschwindigkeit zu
umgekehrt und ist tun; ausschließlich das rechtzeitige und vorher-
 unabhängig von Medien. sehbare Eintreffen der Antwort ist relevant.
TCP ist also für das richtige Senden und Emp- Allerdings erreicht man mit hohen Datenraten
fangen von Daten zuständig. Dazu durchläuft und geringer Buslast nahezu ähnliche Ergebnisse,
die Information insgesamt 4 Schichten, wodurch so dass auch mit TCP/IP ein „Quasi-Echtzeit-
die Daten mit zusätzlichen Informationen ergänzt System“ aufgebaut werden kann.
werden. Abbildung 15.56 verdeutlicht dies. Für industrielle Steuerungen wurde jedoch das
Die IP-Schicht addiert schließlich die eigene Standard-Protokoll erweitert, um so die Echt-
und die Zieladresse hinzu, so dass das Telegramm zeitanforderungen zu erfüllen. Die bekanntestens
eindeutig zuordenbar ist. Vertreter zeigt Tab. 15.16.
Aus dieser Übersicht sollen 2 Beispiele näher
betrachtet werden.
15.6.4 Echtzeit Ethernet-Protokolle
ProfiNet
Da das Standard Ethernet Protokoll das Zu- ProfiNet ist der ethernetbasierende Nachfolger
griffsverfahren CSMA/CD anwendet (siehe Ab- von Profibus und wird hauptsächlich in Euro-
schn. 15.6.1.3), sind verfahrensbedingt die Ant- pa vorangetrieben und in der Profibus Nutzeror-
wortzeiten auf Anfragen stets unterschiedlich. ganisation (PNO, siehe Tab. 15.16) entwickelt.
Für den Betrieb in industrieller Umgebung, ins- ProfiNet hat mittlerweile eine große Verbreitung
besondere bei der Steuerung von Anlagen und erzielt, insbesondere ist es fast überall in der
15 Schnittstellen, Bussysteme und Netze 739

Tab. 15.16 Übersicht über Echtzeit Ethernet Protokolle


Protokoll Ursprung Übertragungsrate Topologie Organisation Web
ProfiNet EU 100 Mbit/s Linie/Ring PI ProfiNet www.profibus.com
International
Ethernet/IP NA 100 Mbit/s Linie/Ring/Stern ODVA Open Device www.odva.com
Vendor
Association
EtherCAT EU 100 Mbit/s Ring ETG EtherCat www.ethercat.org
Technical
Group
Powerlink EU 100 Mbit/s Linie EPSG Ethernet www.epsg.org
Powerlink
Standardization
Group
CC-Link IEfield Asien 1 Gbit/s Linie CLPA CC-Link www.cc-link.org
Partner
Association
Mechatrolink III Asien 100 Mbit/s Linie MMA Mechatrolink www.mechatrolink.org
Member
Association

Automobilindustrie für zukünftige Projekte vor- plex Verfahren. Dabei ist sowohl die drahtge-
geschrieben. bundene Variante als auch die optische Variante
ProfiNet basiert auf der TCP/IP Technology möglich:
mit einem zusätzliche Softwareteil für die Echt-  100Base-T bzw.
zeitkontrolle. Man unterscheidet zwei Funktions-  100Base-FX (optisch).
klassen: Durch die Verwendung des Vollduplex-Betriebs
 ProfiNet CBA (Component Based Automati- und Switches, sind Kollisionen ausgeschlossen.
on) und Die Übertragungsphysik, das Datenkabel, ist
 Profinet IO (Input Output). durch die PNO festgelegt. Anders als bei der
Letzteres weist einen reduzierten Funktionsum- Bürokommunikation wird nicht auf ein zwei-
fang aus. Dafür konnte die Leistungsfähigkeit paarig geschirmte Leitung aufgesetzt (STP, shiel-
(Perfomance) deutlich gesteigert werden. Profi- ded twisted pair), sondern auf einen sogenann-
Net CBA nutzt hingegen die Intelligenz der Netz- ten Stern-Vierer (Quad Star), bei dem die vier
werkkomponenten, um die Automatisierungsauf- Adern um eine zentrale Zugfaser angeordnet
gaben zu verteilen. Man spricht auch von einer sind. Abbildung 15.58 verdeutlich den Aufbau ei-
verteilten Automatisierung, die allerdings noch nes Stern-Vierers.
keine große Verbreitung gefunden hat. Typisch für Ethernet Steckverbindungen ist
Im Folgenden soll speziell auf ProfiNet IO der ebenfalls in Abb. 15.58 zu sehende M12
eingegangen werden, da in diesem Bereich das Rundsteckverbinder. Gegenüber ähnlichen M12
größte Wachstum stattfindet. Steckern weist er eine D-Kodierung auf, die aus
Grundsätzlich unterscheidet man 3 Leistungs- einer positiven und inversen Nase besteht. Bei
klassen: Ethernet gibt’s es diese nur als Stift Ausführung,
 Basierend auf TCP/IP (siehe Abschn. 15.6.3), so dass alle Ethernetgeräte die M12 Buchse ha-
 ProfiNet RT (Real Time) und ben müssen.
 ProfiNet IRT (Isochrones real Time).
Entsprechend schnell sind die zu erwartenden I Hinweis: Neben dem M12-D-kodierten Ste-
Reaktionszeiten, wie Abb. 15.57 zeigt. cker sind auch noch eine Reihe anderer Ether-
ProfiNet IO basiert grundsätzlich auf der Fast net Stecker im Feld zu finden. Insbesondere
Ethernet Übertragung mit 100 Mbit im Volldu- gibt es unterschiedliche Ansätze den handels-
740 J. Gutekunst

Datenauommen

TCP/IP

RT

IRT

Reakons-
geschwindigkeit
10 ms

100 μs
100 ms

1 ms
Abb. 15.57 Leistungsklassen bei ProfiNet und die zu erwartende durchschnittliche Reaktionszeit

Schirm
Mantel rot

weiß blau

gelb

Abb. 15.58 Stern-Vierer Ethernetkabel für ProfiNet

üblichen Bürostecker RJ45 wasserdicht zu ver- Die Datenübertragung bei Profinet IO unter-
packen. In der Automobilindustrie wurde dar- scheidet
über hinaus von der AIDA (AIDA: Automation  Zyklische Daten oder Prozessdaten und
Initiative of German Domestic Automobil Ma-  azyklische Daten, wie beispielsweise Parame-
nufacturers) ein Push Pull Konzept eingeführt, ter Daten.
dass in den nächsten Jahren in vielen deut- Prozessdaten sind dabei alle Daten, die direkt
schen Automobilwerken Einzug halten wird. im Zusammenhang mit dem Maschinenzustand
15 Schnittstellen, Bussysteme und Netze 741

Übertragungsschicht Implemenerung durch


Nach OSI ProfiNet
Schicht Aufgabe

7 Anwendung Real Time IOazyklische Daten

6 Darstellung Remote Proceedure Call

5 Sitzung - -

4 Transport - UDP

3 Netzwerk - IP

2 Sicherung CSMA/CD

1 Übertragung 100Base-TX oder 100Base-FX

Abb. 15.59 ProfiNet Kommunikation im OSI Schichtenmodell

stehen. Hierzu gehören die Eingangsdaten von Sie ist ähnlich einer XML Datei aufgebaut und
Schaltern, Sensoren (analog oder digital) bzw. die wird daher auch GSDML genannt (ML: Markup
Ausgangsinformationen zum Steuern von Venti- Language).
len und Motoren.
Azyklische Daten sind: Ethernet/IP
 Anlaufparameter, Ethernet/IP wurde erstmals 2001 vorgestellt und
 Parameterdaten für komplexe Sensoren und wurde im Wesentlichen von Rockwell Automa-
 Diagnosedaten, tion in Zusammenarbeit mit der ODVA (Open
 Kennfelder, DeviceNet Vendor Association) entwickelt. Das
 Schaltpunkte usw. Kürzel IP in Ethernet/IP steht in diesem Fall nicht
Azyklische Daten werden mit Hilfe des Internet für Internet Protokoll, sondern vielmehr für In-
Protokolls (IP) übertragen, während Prozessda- dustrial Protocol, was zugleich der Hinweis auf
ten direkt auf der MAC-Adresse aufsetzen. Damit die Echtzeitfähigkeit im industriellen Einsatz ist.
wird der Übertragungsprozess sehr effektiv und Ethernet/IP bedient sich dabei der bekannten
schnell. Allerdings büßt man die Routingfähig- Ethernet Technology und kann daher alle diese
keit durch die fehlende IP Adresse ein, was aber Vorteile nutzen. Beispiele sind:
in der Praxis durch die Segmentierung kein Nach-  Medienunabhängiges Protokoll,
teil ist. In Abb. 15.59 ist die ProfiNet-Kommuni-  Integration von Internet Protokolle wie http,
kation am 7-schichtigen ISO-Modell gespiegelt. FTP oder SNMP,
Die synchrone Datenübertragung wird als RT  Übertragungsraten von 10 MBit, 100 MBit
(Realtime) bezeichnet. Sie wird im Wesentlichen und 1 GBit und
durch die Ablaufzyklen in der ProfiNet-Anschal-  Topologien wie Stern, Ring, Glasfaser oder
tung bestimmt. Wird diese zyklische Datenüber- gar Funk.
tragung mit einem Takt gekoppelt, so spricht man Ethernet/IP basiert dabei auf denselben Me-
von Isocronous Real Time, IRT. chanismen wie DeviceNet, CIP (Common In-
Die Projektierung von ProfiNet erfolgt analog dustrial Protocol, IEC 61158). Im 7-schichtigen
zu Profibus durch eine Gerätesteuer-Datei (GSD). OSI-Modell (Open System Interconnection) setzt
742 J. Gutekunst

Übertragungsschicht Implemenerung durch


Nach OSI Ethernet/IP
Schicht Aufgabe Implicit messages Explicit messages

7 Anwendung Real Time IO azyklische Daten

6 Darstellung Remote Procedere Call

5 Sitzung - -

4 Transport - UDP

3 Netzwerk - IP

2 Sicherung CSMA/CD

1 Übertragung 10/100/1000Base-TX

Abb. 15.60 Die Protokollschichten von Ethernet/IP im OSI Modell

CIP nach der Transportschicht auf, also beim Ses- vice Daten als explicit messages. Diese dienen
sion Layer (Abb. 15.60). vor allem zur Diagnose, Programm Download
Während die Standard Ethernet Kommunika- oder Parameter-Übertragung und sind daher ge-
tion auf dem Source-Destination-Modell basiert genüber den IO-Daten niederprior. Explicit mes-
(eindeutige Zuordnung von Sender und Empfän- sages verwenden dabei die Dienste von TCP/IP
ger, Abschn. 15.6) setzt das Ethernet/IP-Netz- um Informationen über das Netzwerk zu übertra-
werk durch CIP auf ein producer – consumer- gen.
Modell auf. Diese Nachrichtenstruktur macht es Damit sind die Voraussetzungen gegeben, fol-
möglich, dass mehrere Teilnehmer dieselben Da- gende 3 Klassen von Netzwerkgeräten zu unter-
ten empfangen können. Dazu wird anstelle der stützen:
Zieladresse eine Verbindungskennung (connecti-  Messaging Class,
on ID) verwendet.  Adapter Class und
Darüber hinaus kann Ethernet/IP auf folgende  Scanner Class.
zwei Arten konfiguriert werden: Die Messaging Class umfasst alle Geräte, die auf
 Entweder als Master/Slave (vergleichbar mit der Basis der explicit messages kommunizieren.
DeviceNet) oder als D. h., sie sind Standard-Ethernet-Teilnehmer und
 Peer-To-Peer-Netzwerk, haben auch die Möglichkeit, eigene Meldungen
was insbesondere durch die Sternstruktur unter- abzusetzen.
stützt wird und somit die maximale Bandbrei- Die Adapter Class-Geräte sind hingegen das
te für die Datenkommunikation zur Verfügung Ziel der IO-Daten, die ein Scanner Class Gerät
stellt. verschickt. Sie können von sich aus keine Realti-
Dabei werden, wie aus Abb. 15.60 ersichtlich, me IO-Daten empfangen oder senden, wenn nicht
zwischen zwei Nachrichten Typen unterschieden: ein Scanner sie dazu aufgefordert hat. Sie sind die
 Den IO-Daten (Prozessdaten) und Basis für die Echtzeitanforderungen in der Ma-
 Den azyklischen Service Daten. schine.
IO-Daten werden bei Ethernet/IP auch als impli- Darüber hinaus können Adapter Class-Geräte
zite Daten (implicit messages) bezeichnet, Ser- auch explicit messages empfangen, um beispiels-
15 Schnittstellen, Bussysteme und Netze 743

Scanner Class Gerät: Steuerung

Adapter Class Geräte: IO-Module, Antriebe oder Bedienelemente

Abb. 15.61 Ethernet/IP Topologie (Quelle ODVA)

weise Parametereinstellungen am Gerät vorzu-  Heap, N.: (1994) OSI-Referenzmodell ohne


nehmen. Als Beispiel hierzu sei ein Wegmesssys- Geheimnis, Heise Verlag.
tem genannt, dessen Schaltschwelle über explicit Khan, R.: (2012) Netzwerktechnik Band 1,
messages eingestellt werden kann, der Schaltzu- Grundlagen für Einsteiger, 3. Auflage, Airnet
stand jedoch über implicit messages in Echtzeit Verlag.
an die Steuerung gemeldet wird.  Khan, R.: (2012) Netzwerktechnik Band 2, Er-
Die Scanner Class-Geräte sind die Urheber weiterte Grundlagen, 3. Auflage, Airnet Ver-
explicit oder implicit messages und sind in der lag.
Regel in der Steuerung integriert. Sie sind ver- Kriesel, W., Heimbold, T., Telschow, D.:
antwortlich für den Echtzeitdatenverkehr und die (2000) Bustechnologien für die Automation,
Parametrierung der Geräte. 2. Auflage, Hüthig Verlag Heidelberg.
Abbildung 15.61 zeigt eine einfache Topolo-  Lupik, M., Schnell, G. (Hrsg.): (2003) Bussys-
gie zur Ethernet/IP Kommunikation. teme in der Automatisierungs- und Prozess-
technik. 5. Auflage, Vieweg Verlag Wiesba-
den.
15.7 Weiterführende Literatur  Mahalik, P.: (2003) Fieldbus Technology.
Springer Verlag Berlin.
 Borst, W.: (1992) Der Feldbus in der Maschi-  Schnell, G., Wiedemann, B.: (2008) Bussys-
nen- und Anlagentechnik, Franzis-Verlag. teme in der Automatisierungs- und Prozess-
 Enste, U., Müller, J.: (2007) Datenkommu- technik. Grundlagen, Systeme und Trends
nikation in der Prozessindustrie, Oldenbourg der industriellen Kommunikation. 7. Auflage.
Industrieverlag München. ViewegCTeubner Verlag Wiesbaden.
744 J. Gutekunst

15.7.1 Organisationen im Web:  Ethernet Powerlink Standardization Group:


www.ethernet-powerlink.org
 Profibus & Profinet International:  Sercos International:
www.profibus.com www.sercos.de
 Open DeviceNet Vendor Association:  CC-Link Partner Association:
www.odva.org www.cc-link.org
 EtherCat Technology Group:
www.ethercat.org
Speicherprogrammierbare Steuerungen
16
Rainer Hönle

16.1 Einführung Eingangsgrößen nehmen. Der Bewegungsmelder


stellt ein Beispiel für einen steuernden Vorgang
Eine speicherprogrammierbare Steuerung (SPS) dar. Er schaltet abhängig von der Umgebungs-
ist ein elektrisches Gerät, das gemäß den Anwei- helligkeit und bei Erkennen einer Bewegung das
sungen, die in einem frei erstellten digitalen Pro- Licht ein.
gramm festgelegt sind, Maschinen oder Anlagen Bei einer Regelung jedoch haben die Aus-
steuern und regeln kann. Speziell im Siemens- gangsgrößen einen direkten oder indirekten Ein-
Umfeld taucht für die SPS auch immer wieder fluss auf die Eingangsgrößen. Als Beispiel für
der Begriff AG (Automatisierungsgerät) auf. Im einen regelnden Vorgang dient die automati-
Englischen heißt die SPS Programmable Logic sche Klimaanlage im Auto. Diese versucht, die
Controller (PLC). Temperatur auf dem eingestellten Wert zu hal-
Die beiden Begriffe Steuern und Regeln sind ten – und zwar unabhängig davon, ob draußen
in der DIN 19226 definiert und lassen sich wie drückende Hitze oder klirrende Kälte herrscht.
folgt erklären: SPSen sind in der heutigen Zeit nicht mehr
Bei einer Steuerung beeinflussen eine oder wegzudenken. In fast jedem automatisierten Pro-
mehrere Eingangsgrößen in einem offenen Wir- zess findet man mindestens eine SPS oder sogar
kungsablauf, d. h. ohne Rückführung, andere komplexe SPS-Netzwerke. Egal ob dies im Kof-
Größen als Ausgangsgrößen. Die Beeinflussung fertransportsystem am Flughafen, in der Geträn-
geschieht aufgrund der im System definierten Ge- keabfüllanlage einer Brauerei, in einem Hoch-
setzmäßigkeiten. Bei einer Regelung hingegen regallager oder Kraftwerk, auf Luxuskreuzfahrt-
wird versucht, eine physikalische Größe (Regel- schiffen oder bei der Herstellung von Automo-
größe x) auf einen vordefinierten Wert (Führungs- bilen ist: überall wäre ein reibungsloser Betrieb
größe w) zu bringen und, unabhängig von allen ohne SPSen undenkbar.
störenden Einflüssen, auf diesem Wert zu halten. Der folgende geschichtliche Rückblick ver-
Dazu muss die Regelgröße x fortlaufend erfasst, deutlicht die Entwicklung der SPS.
mit der Führungsgröße w verglichen und im Sin- Der Vorläufer der SPS war die VPS (verbin-
ne einer Angleichung entsprechend beeinflusst dungsprogrammierte Steuerung), die den gesam-
werden (Kap. 10; Abb. 10.3). ten Programmablauf mit entsprechenden Bauele-
Bei einer Steuerung legen alleine die Ein- menten in einer fest verdrahteten Logik ausge-
gangsgrößen die Ausgangsgrößen fest, ohne führt hat. Im Englischen wird die VPS als hard-
dass die Ausgangsgrößen einen Einfluss auf die wired programmed logic controller bezeichnet.
Dieser Begriff wurde allerdings erst im Nachhin-
R. Hönle () ein, d. h. mit bzw. nach der Bezeichnung SPS,
E-Mail: RHoenle@deltalogic.de eingeführt. Bei einer VPS ist der Verdrahtungs-

© Springer-Verlag GmbH Deutschland 2017 745


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_16
746 R. Hönle

aufwand sehr groß und die Fehlersuche mühse- lutions in Kempten (www.codesys.com) sein. Sie
lig. Komplexe Steuerungssysteme dieser Art sind verkaufte im Jahre 2015 ca. eine Million Lauf-
extrem fehleranfällig, da ein Drahtbruch oder ei- zeitlizenzen ihres Produktes CODESYS (Con-
ne fehlerhafte Verdrahtung unter Umständen den troller Development System), in Summe bereits
teilweisen oder gesamten Ausfall des Systems mehr als 5 Millionen. Nach Angaben von 3S-
nach sich ziehen kann. Smart Software Solutions ist CODESYS heute
Die erste SPS wurde 1968 unter dem Namen mit über 400 OEM-Kunden und Zehntausenden
Modicon 084 von Richard E. Morley entwickelt. von Endanwendern das meistgenutzte herstel-
Sie bestand aus drei Platinen: einem Prozessor, lerunabhängige IEC-61131-3-Automatisierungs-
einem Rechenwerk für logische Verknüpfungen tool weltweit.
und einer Speicherplatine mit bis zu 4 kByte Die Marktanteile der verschiedenen Hard-
Kernspeicher. 1973 brachte Siemens die S3 auf ware-SPSen lassen sich nicht genau ermitteln
den Markt, und 1974 folgte, ebenfalls in Deutsch- und unterscheiden sich stark je nach Kontinent.
land, die Firma Klaschka mit der Secon. Nur In Amerika gilt Rockwell Automation, in Asi-
drei Jahre später stellte Siemens bereits die S5 en Mitsubishi Electric und in Europa Siemens
vor, die auch heute noch in vielen Anlagen ihren mit der S7 als Marktführer. Nach einer älte-
Dienst tut. Die Möglichkeiten der ersten SPSen ren Siemensstatistik verteilen sich die weltweiten
waren sehr beschränkt, und die Ausführungszeit Marktanteile für Hardware-SPSen (Abb. 16.1)
der Befehle im Vergleich zu heutigen SPSen noch wie folgt (Zahlen aus „40 Jahre SPS“ in der „open
sehr lang. Aber man konnte sie schon mit einem automation“, VDE Verlag, April 2008):
Programmiersystem mit einer stromlaufplanähn- Wie diese Zahlen eindrucksvoll belegen, lohnt
lichen Darstellung für die jeweilige Aufgabe pro- es sich auf jeden Fall, sich mit den beiden Steue-
grammieren. Ein Umverdrahten und Ändern der rungssystemen S7 und CoDeSys zu befassen,
verbauten Komponenten war bei Aufgabenände- wenn es um die SPS-Programmierung geht. Dies
rung somit schon nicht mehr notwendig. geschieht im vorliegenden Abschnitt. Allerdings
Im Laufe der Zeit führten immer mehr Her- liegt der Schwerpunkt hier eindeutig auf der Sie-
steller verschiedenste SPSen am Markt ein. Allen mens-SPS. Zunächst aber werden noch einige
gemeinsam war, dass der Anwender sie mittels Grundlagen für die Programmierung erläutert,
einer Software programmiert. Allerdings wurde die unabhängig von der verwendeten SPS sind.
durch die Vielzahl an Anbietern auch eine Viel-
zahl an „Dialekten“ bei den Programmierspra- Zahlenformate und -darstellungen Eine SPS
chen entwickelt, und die Programme für SPS A arbeitet intern nicht mit dem Dezimalsystem,
ließen sich nicht für die SPS B verwenden. Des- sondern verwendet zur Bearbeitung und Adres-
halb wurde Anfang der 90er Jahre die Vereini- sierung der Operanden das Dualsystem (Kap. 11).
gung PLCopen (www.plcopen.org) mit dem Ziel Zum besseren Verständnis dienen einige Erläute-
gegründet, eine Standardisierung in diesem Be- rungen zum allgemein gebräuchlichen Dezimal-
reich einzuführen. Das Ergebnis ist die heute sehr system: Hier kann jede Stelle einer Zahl zehn
bekannte Norm IEC 61131-3 für die Applikati- verschiedene Werte von 0 bis 9 annehmen, wo-
onsentwicklung in der Industrieautomation. Wie bei die null bei der höchstwertigen Stelle wenig
wichtig diese Norm ist, zeigt die Tatsache, dass Sinn ergibt. Von rechts nach links haben die
sogar Siemens seit der Einführung der S7 im Jah- Ziffern immer eine um den Faktor zehn höhe-
re 1995 Programmiersprachen bietet, die gemäß re Wertigkeit. Die Zahl 234dez bedeutet somit
Siemenspublikationen diese Norm erfüllen (sie- 2  102 C 3  101 C 4  100 D 200 C 30 C 4 D
he dazu „IEC_61131_Normerfuellung.pdf“ unter 234. Jeder Stelle innerhalb einer Dezimalzahl
support.automation.siemens.com). Der deutsche ist somit direkt einer Zehnerpotenz zugeordnet.
Hersteller von IEC-61131-3-Entwicklungsumge- Im Dualsystem hingegen kann jede Stelle einer
bungen mit den meist verkauften SPS-Laufzeit- Zahl genau zwei verschiedene Werte annehmen,
systemen wird die Firma 3S-Smart Software So- und zwar 0 oder 1. Es handelt sich somit um
16 Speicherprogrammierbare Steuerungen 747

Weltweiter Marktanteil an Hardware-SPSen

35%

30%

25%

20%

15%

10%

5%

0%
Siemens Rockwell Mitsubishi Schneider Omron GE Fanuc Moeller Hitachi Fuji Electric
Automation Electric Electric

Abb. 16.1 Weltweiter Marktanteil an Hardware-SPSen

ein binäres Zahlensystem. SPSen oder Computer Tab. 16.1 Vergleich der Zahlensysteme
können diese Information leicht auswerten und Dez Bin Hex
verarbeiten. Jeder Stelle innerhalb einer Dual- 8 4 2 1
zahl ist eine Zweierpotenz zugeordnet. Die Zahl 0 0 0
1101bin bedeutet somit 1  23 C 1  22 C 0  21 C 1 1 1
1  20 D 8 C 4 C 0 C 1 D 13. Eine einzel- 2 1 0 2
ne Stelle im Dualsystem entspricht einem Bit. 3 1 1 3
Damit größere Zahlen für den Menschen leicht 4 1 0 0 4
lesbar sind, wurde der BCD- (Binär-Codierte-De- 5 1 0 1 5
6 1 1 0 6
zimalzahl) Code eingeführt. Hierbei kann jede
7 1 1 1 7
Stelle Werte von 0 bis 9 annehmen. Für die Dar-
8 1 0 0 0 8
stellung eines Wertes werden aber immer vier 9 1 0 0 1 9
Bits in einer Gruppe zusammengefasst. Eine sol- 10 1 0 1 0 A
che Tetrade wird auch als Nibble bezeichnet. 11 1 0 1 1 B
Die Zahl 234bcd wird mit folgender Binärfolge 12 1 1 0 0 C
dargestellt: 0010 0011 0100. Im Gegenzug dazu 13 1 1 0 1 D
entspricht die Zahl 234dez folgender Binärfolge: 14 1 1 1 0 E
1110 1010. Daraus ist leicht ersichtlich, dass für 15 1 1 1 1 F
die Speicherung von BCD-Zahlen mehr Spei-
cherplatz benötigt wird. Im Hexadezimalsystem
kann jede Stelle 16 verschiedene Werte von 0 entspricht EAhex und 1110 1010bin . Die Gegen-
bis 15 annehmen. Die Werte von 10 bis 15 wer- überstellung der Zahlensysteme ist in Tab. 16.1
den durch die Buchstaben A, B, C, D, E und F ersichtlich.
dargestellt. Jede Stelle benötigt für die Speiche- Für die Umwandlung einer Dezimalzahl in ein
rung der Informationen vier Bits. Die Zahl 234dez anderes Zahlensystem gilt folgende Regel:
748 R. Hönle

Die ganzzahlige Dezimalzahl wird so lange Ein 32-Bit-Wert im Speicher kann somit ein
durch die Basis des Zielsystems dividiert, bis das DWORD, DINT, UDINT, REAL oder TIME
Ergebnis Null ergibt. Der bei der Division auf- darstellen. Auch bei unterschiedlicher Darstel-
tretende Rest ergibt aneinandergereiht die Zahl lungsweise und Bedeutung bleibt aber die interne
im Zielsystem. Die Reste werden von rechts nach Speicherung der Bits identisch. Auch ist nicht je-
links aufgestellt, womit die niederwertigste Stel- de Bitfolge als REAL darstellbar; denn es gibt
le als erste bestimmt wird. Die Dezimalzahl 123 hier Kombinationen für ungültige Zahlen.
lässt sich wie folgt in das Hexadezimalsystem Wenn die Operandengröße wichtig bzw. nicht
umrechnen: Schritt 1: 123=16 D 7 Rest B; Schritt eindeutig erkennbar ist, besteht die Möglichkeit,
2: 7=16 D 0 Rest 7. Das Ergebnis lautet somit 7B. diese zusätzlich zum Wert mit anzugeben. Dies
Weitere Informationen sind in Kap. 11 zu finden. geschieht durch Voranstellen von B# für BYTE,
Für die jeweilige Zahlenbasis existieren ver- W# für WORD, DW# für DWORD und L# für
schiedene Möglichkeiten der Kennzeichnung. Im DINT. W#16#12 bedeutet in dieser Schreibweise
SPS-Umfeld ist es üblich, bei Angabe einer Zahl ein WORD (16 Bits) in hexadezimaler Angabe
die Zahlenbasis gefolgt von einem ‚#‘ voranzu- mit dem Wert 18dez . Diese Angabe ist eventuell
stellen. So stellt 16#1234 eine Hexadezimalzahl notwendig, da 18 klein genug ist, um in ein Byte
dar und 2#1001 eine Binärzahl. Für das Dezimal- zu passen.
system entfällt der Hinweis auf die Zahlenbasis
zehn. In seltenen Fällen taucht auch 8#1234 auf. Ablage der Zahlen im Speicher Die Zahlen
Hierbei handelt es sich dann um eine Oktalzahl, werden auf verschiedenen Geräten unterschied-
deren Stellen Potenzen der Basis acht darstel- lich im Speicher abgelegt. Es gibt dabei zwei
len. Die Berechnung gilt analog zu den Binär- Hauptformen: Little Endian und Big Endian. Big
und Hexadezimalzahlen. Bei Hexadezimalzahlen Endian bedeutet, dass das Byte mit den höherwer-
sind Darstellungen mit vorangestelltem ‚0x‘ oder tigen Bits zuerst im Speicher angelegt wird, also
nachgestelltem ‚h‘ ebenfalls gängig, 16#1234 D an der niedrigeren Adresse liegt. Bei Little Endian
0x1234 D 1234h. BCD-Zahlen werden durch ein ist es genau umgekehrt, und das Byte mit den hö-
vorangestelltes C# gekennzeichnet. herwertigen Bits liegt an der größten Adresse im
Wichtig an dieser Stelle ist zu verstehen, dass Speicher. Da die Byteanordnung normalerweise
die Binär-, Dezimal- und Hexadezimaldarstel- vom verwendeten Prozessor abhängt, werden die
lungen nur verschiedene Darstellungen eines be- Anordnungen auch nach den Prozessorherstellern
stimmten Wertes im Speicher sind. benannt, die diese Anordnung verwendet haben.
Little Endian wird deshalb auch „Intel-Format“
Operandengrößen und Datentypen In den und Big Endian „Motorola-Format“ genannt.
SPSen gibt es verschiedene Operandengrößen. Am Beispiel von 439:041:101dez D 1A 2B 3C
Die kleinste Informationseinheit ist dabei ein Bit, 4Dhex wird die Ablage der Zahlen im Speicher
das die Zustände 0 und 1 annehmen kann. Acht sehr schnell ersichtlich (Tab. 16.3).
Bits zusammen ergeben ein Byte. Ein Byte be- Wird eine Zwei-Byte-Zahl in eine Vier-Byte-
steht also auch aus zwei Nibblen. Zwei Bytes Zahl gewandelt bzw. erweitert, so hat das folgen-
(16 Bits) zusammen sind ein Wort. Vier Bytes de Auswirkungen: Auf einer Little-Endian-Ma-
(zwei Worte oder 32 Bits) sind ein Doppelwort. schine sind lediglich zwei Null-Bytes an das En-
Acht Bytes (vier Worte oder zwei Doppelworte de anzufügen, ohne dass sich die Adresse der
oder 64 Bits) sind ein Quadwort. Zahl im Speicher ändert. Derselbe Vorgang auf
Ein Byte, Wort, Doppelwort oder Quadwort einer Big-Endian-Maschine erfordert, dass zu-
stellen zuerst einmal nur eine Ansammlung von erst der ursprüngliche Wert um zwei Bytes im
Bits dar. Wie die Inhalte zu interpretieren sind, Speicher verschoben wird und dann am Anfang
hängt vom Datentyp ab, der diese Bits beinhaltet. zwei Null-Bytes hinzugefügt werden. Auch die
Folgende Datentypen finden bei den jeweili- umgekehrte Umwandlung gestaltet sich auf ei-
gen SPSen Verwendung (Tab. 16.2): ner Little-Endian-Maschine wesentlich einfacher.
16 Speicherprogrammierbare Steuerungen 749

Tab. 16.2 Vergleich der elementaren Datentypen


Typ Größe Beschreibung S7-300= S7-1200= CODESYS
S7-400 S7-1500
BOOL 1 Bit 1-Bit-Binärwert
0, 1, FALSE, TRUE
BYTE 8 Bits 8-Bit-Binärwert
16#00 : : : 16#FF
WORD 16 Bits 16-Bit-Binärwert
16#0000 : : : 16#FFFF
DWORD 32 Bits 32-Bit-Binärwert
16#0000 0000 : : : 16#FFFF FFFF
LWORD 64 Bits 64-Bit-Binärwert Nur S7-1500
16#0 : : : 16#FFFF FFFF FFFF FFFF
SINT 8 Bits 8-Bit-Festpunktzahl mit Vorzeichen
128 : : : C 127
INT 16 Bits 16-Bit-Festpunktzahl mit Vorzeichen
32:768 : : : C 32:767
DINT 32 Bits 32-Bit-Festpunktzahl mit Vorzeichen
2:147:483:648 : : : C 2:147:483:647
LINT 64 Bits 64-Bit-Festpunktzahl mit Vorzeichen Nur S7-1500
263 : : : C 263  1
USINT 8 Bits 8-Bit-Festpunktzahl ohne Vorzeichen
0 : : : 255
UINT 16 Bits 16-Bit-Festpunktzahl ohne Vorzeichen
0 : : : 65:535
UDINT 32 Bits 32-Bit-Festpunktzahl ohne Vorzeichen
0 : : : 4:294:967:296
ULINT 64 Bits 64-Bit-Festpunktzahl ohne Vorzeichen Nur S7-1500
0 : : : 264  1
REAL 32 Bits 32-Bit-Gleitpunktzahl
˙1;18  1038 : : : ˙ 3;40  1038
LREAL 64 Bits 64-Bit-Gleitpunktzahl
˙2;23  10308 : : : ˙ 1;80  10308
CHAR 8 Bits Ein Zeichen im ASCII-Code
’a’, ’Z’, : : :
WCHAR 16 Bits Ein Zeichen im Unicode
WCHAR#’a’, WCHAR#’Z’, : : :
STRING 8 Bits  n Ein String im ASCII-Code
STRING#’Test’
WSTRING 16 Bits  n Ein String im Unicode
STRING#’Hallo Welt’
S5TIME 16 Bits Zeitwert im SIMATIC-Format Nur S7-1500
S5TIME#1h17m39s
TIME 32 Bits Zeitwert im IEC-Format
T#3h45m18s
DATE 16 Bits Datum
D#2012-09-30
DATE 32 Bits Datum
D#2016-10-31

Hier werden ausschließlich die beiden höherwer- schiebung der beiden Bytes um zwei Bytes im
tigen Bytes verworfen, ohne dass sich die Spei- Speicher einher. Allerdings ist bei dieser Art der
cheradresse ändert. Bei einer Big-Endian-Maschi- „Konvertierung“ bei beiden Maschinenarten der
ne hingegen geht diese Änderung mit einer Ver- Wertebereich der Zahl unbedingt zu beachten;
750 R. Hönle

Tab. 16.3 Vergleich Little Little Endian Big Endian


Endian und Big Endian Adresse 1000 1001 1002 1003 1000 1001 1002 1003
Hex 4D 3C 2B 1A 1A 2B 3C 4D
Dez 77 60 43 26 26 43 60 77
Bin 0100 0011 0010 0001 0001 0010 0011 0100
1101 1100 1011 1010 1010 1011 1100 1101

Tab. 16.4 Darstellung Little Endian Big Endian


der Speicheradressen bei Adresse 1000 1001 1002 1003 1000 1001 1002 1003
verschiedenen Operanden-
Byte 4D 4D
größen
Word 4D 3C 3C 4D
DWord 4D 3C 2B 1A 1A 2B 3C 4D

Tab. 16.5 Ergebnisse der E 0.0 E 0.1 AND AND NOT OR OR NOT XOR XOR NOT
Binärverknüpfungen 0 0 0 0 0 1 0 1
0 1 0 0 1 0 1 0
1 0 0 1 1 1 1 0
1 1 1 0 1 1 0 1

Abb. 16.2 Unter- 7.. Bit ..0 7.. Bit ..0 7.. Bit ..0 7.. Bit ..0 7.. Bit ..0 7..Bit ..0
schiedliche Zugriffe auf
den Speicher bei einer EB 0 EB 1 EB 2 EB 3 EB 4 EB 5
Siemens-SPS EW0 EW 2 EW 4
EW 1 EW 3
ED 0
ED 1
ED 2

denn sonst kann es leicht zu ungewollten Wertver- griff muss man hier Vorsicht walten lassen, denn
änderungen und somit falschen Ergebnissen kom- die Bits 0 bis 7 von EW 2 liegen in EB 3 und die
men. Tabelle 16.4 zeigt die Darstellung der Spei- Bits 8 bis 15 von EW 2 liegen in EB 2. Um auf die
cheradressen für Little Endian und Big Endian. einzelnen Bits zuzugreifen, ist der Operandenbe-
Die SPSen der Firma Siemens sind Big-Endi- reich, die Byteadresse und das gewünschte Bit an-
an-Maschinen. Dies ist wichtig zu wissen; denn zugeben. Soll zum Beispiel auf das vierte Bit (null-
auf denselben Speicherbereich kann mit ver- basiert) des Eingangsbytes EB 0 zugriffen werden,
schiedenen Operandengrößen zugegriffen wer- so ist die Beschreibung E 0.4 zu verwenden.
den. Selbst überlappende Zugriffe sind mit den
Siemens-SPSen einfach realisierbar. Logische Verknüpfungen Im Bereich der SPS-
In der folgenden Abbildung (Abb. 16.2) wird Programmierung kommen sehr häufig Bitver-
der unterschiedliche Zugriff auf die Eingangs- knüpfungen vor. Aus diesem Grund folgt an
bytes EB 0 bis 5 dargestellt. Es wird die übli- dieser Stelle eine kurze Übersicht zu den Grund-
che Notation verwendet, in der EB Eingangsbyte, lagen der Binärlogik (Abschn. 11.3). Bei den
EW Eingangswort und ED Eingangsdoppelwort S7-SPSen gibt es sechs elementare Verknüpfun-
bedeutet. gen. Dies sind AND, AND NOT, OR, OR NOT,
An Hand der Darstellung in Abb. 16.2 wird XOR und XOR NOT. Tabelle 16.5 zeigt die ent-
deutlich, dass das höherwertige Byte von EW 2 an sprechenden Verknüpfungsergebnisse.
der niedrigeren Adresse liegt, da es sich um eine Das Ergebnis einer Binärverknüpfung wird bei
Big-Endian-Maschine handelt. Speziell bei Bitzu- den Siemens-SPSen in einem speziellen Register,
16 Speicherprogrammierbare Steuerungen 751

Tab. 16.6 Unterschiede der Programmierung bei Sie- ben erledigt worden sind. Diese zyklische Bear-
mens und CoDeSys beitung ermöglicht es, dass das Programm immer
Siemens CoDeSys wieder auf die geänderten Signale der Peripherie
U Operand1 LD Operand1 reagieren kann. Damit dieser Vorgang auch sicher
U Operand2 AND Operand2 und fehlerfrei abläuft und das Programm nicht zu
D Operand3 ST Operand3 lange für die Bearbeitung braucht, beispielsweise
auf Grund einer falsch programmierten Schleife,
dem VKE (Verknüpfungsergebnis), gespeichert. wird die Zykluszeit überwacht. Bei Erreichen der
Für die Manipulation dieses Registers gibt es eingestellten maximalen Zykluszeit geht die SPS
noch drei weitere Befehle: NOT (Negiere das in den Stopp-Zustand und sämtliche Ausgänge
VKE), CLR (Setze das VKE auf 0) und SET (Set- werden auf 0 gesetzt.
ze das VKE auf 1). Bei den S7-Steuerungen gibt es in der
Hier gibt es einen wichtigen Unterschied zwi- CPU-Einheit die Speicherbereiche Ladespeicher,
schen Siemens- und CoDeSys-SPSen, der zu Arbeitsspeicher bzw. Anwenderspeicher, Sys-
beachten ist (Tab. 16.6): Bei CoDeSys-SPSen temspeicher und Peripherie (Tab. 16.7).
muss als erstes ein Ladebefehl auf einen Operan- Arbeitsspeicher bzw. Anwenderspeicher: Dieser
den ausgeführt werden, bevor die Verknüpfungen dient der Speicherung des kompletten SPS-
stattfinden können. Bei Siemens hingegen exis- Programms einschließlich der Datenbaustei-
tiert intern ein Erstabfragebit, bei dem dann auto- ne. Von den Programm- und Datenbausteinen
matisch der Operand geladen wird. werden nur die wirklich benötigten Informa-
tionen in den Arbeitsspeicher übernommen.
Der Arbeitsspeicher ist nicht erweiterbar und
16.2 Aufbau und Funktionsweise hängt vom CPU-Typ ab.
einer SPS Ladespeicher: Dies ist ein Zwischenspeicher für
die Bausteine, bevor sie in den Arbeitsspei-
Eine modulare SPS besteht mindestens aus einem cher übernommen werden. Hier sind noch
Baugruppenträger, einer Stromversorgung, einer weitere Informationen wie Adressen der
Zentralbaugruppe mit der CPU-Einheit und Spei- Sprungmarken in Programmbausteinen oder
cher sowie Karten mit digitalen und=oder analo- auch Datentypinformationen in Datenbaustei-
gen Ein- und Ausgängen. Bei einer Kompakt-SPS nen vorhanden. Diese zusätzlichen Informa-
kann dies alles in einem einzigen Gehäuse inte- tionen sind für die Programmbearbeitung
griert sein. Optional kommen noch je nach Anfor- nicht notwendig und werden deshalb nicht in
derung und Aufgabenstellung Busanschaltungen, den Arbeitsspeicher kopiert. Der Ladespei-
beispielsweise Profibus oder Profinet, Kommu- cher lässt sich durch RAM-, EPROM- oder
nikationsmodule, Inkrementalzählermodule, Po- FLASH-Karten erweitern. Bei den SPSen der
sitioniermodule oder Regelungsmodule hinzu. Er- neueren Generationen kommen hier MMC
fordert die Komplexität der Anlage mehrere Bau- (Micro-Memory-Cards) zum Einsatz. Dabei
gruppenträger, so sind zusätzlich Interfacemodule handelt es sich um Spezialkarten; kosten-
notwendig, um diese miteinander zu verbinden. günstige handelsübliche Speicherkarten eig-
Die Signale der Sensoren (z. B. Endschalter, nen sich nicht für diesen Einsatz. Der La-
Temperatur, Drehzahl) werden über die Eingänge despeicher kann mehr Bausteine enthalten,
eingelesen, im SPS-Programm verarbeitet. Über als aktuell für das Programm benötigt wer-
die Ausgänge steuert die SPS daraufhin die Ak- den. Mit entsprechenden SPS-Befehlen kön-
toren (Ventile, Schütze, Motoren etc.) an. Das nen diese Bausteine zur Laufzeit ge- und
gesamte SPS-Programm wird dabei zyklisch be- entladen werden. Auf diese Weise lassen sich
arbeitet. Dies bedeutet, dass am Ende eines jeden beispielsweise verschiedene Rezepte nachla-
Durchlaufs das Programm wieder am Anfang den, ohne dass ein Programmiergerät notwen-
startet – sobald noch einige interne Systemaufga- dig ist. Mit dem Ladespeicher hat der SPS-
752 R. Hönle

Tab. 16.7 Speicher- und Operandenbereiche einer S7


Eingabe- Systemspeicher Ausgabe- Ladespeicher Arbeitsspeicher
baugruppe baugruppe bzw. Anwenderspeicher
Peripherie- Prozessabbild Eingänge Peripherie- Sämtliche Programmbausteine (OB, FC, FB)
Eingänge Merker Ausgänge Bausteine mit Datenbausteine (DB)
Temporäre Lokaldaten zusätzlichen Statische Lokaldaten
SIMATIC-Zeiten Informationen (Instanzdatenbausteine, DI)
SIMATIC-Zähler
Prozessabbild Ausgänge

Programmierer ansonsten nur zu tun, wenn losen Zustand seine Werte behält. Bei manchen
aufgrund der Größe keine Bausteine mehr in CPUs ist die Größe des Bereichs, der immer bei
die SPS übertragen werden können. Merkerbyte 0 beginnt, frei einstellbar.
Systemspeicher: In diesem Speicherbereich lie- Temporäre Lokaldaten: In diesem Bereich befin-
gen die Operandenbereiche Eingänge, Aus- den sich die temporären Variablen innerhalb
gänge, Merker, temporäre Lokaldaten, Zeiten eines Bausteines. Diese Werte sind nur in-
und Zähler. nerhalb des aktuellen Aufrufs gültig. Bei der
Eingänge: Hierunter versteht man das Prozessab- normalen PC-Programmierung würde dieser
bild der Eingänge. Die Zustände der physikali- Bereich dem Stack entsprechen.
schen Eingänge werden am Zyklusbeginn ein- Zeiten: Zeiten werden bei der S7 zur Unterschei-
gelesen und in das Prozessabbild der Eingän- dung zu den IEC-Zeiten auch Simatic-Zeiten
ge kopiert. Ein Vorteil des Prozessabbilds Ein- genannt. Sie liegen an einer festen Adresse
gänge besteht darin, dass über den kompletten im Systemspeicher. Diese Zeiten haben einen
Zyklus hinweg die gleichen Eingangszustände festen Nummernbereich, deren Anzahl abhän-
bei den Abfragen vorliegen. Würde die Abfra- gig vom verwendeten CPU-Typ ist. Mit diesen
ge auf die Peripherie durchgreifen, könnte dies Zeiten lassen sich verschiedene Start- und
unter Umständen mit jedem Zugriff zu geän- Ablaufbedingungen realisieren. Mehr zu den
derten Werten führen. Das Prozessabbild um- verschiedenen Zeitarten folgt später in die-
fasst nicht zwangsläufig alle physikalischen sem Abschnitt. Im Gegensatz zu den Simatic-
Eingänge. Oft werden die analogen Eingänge Zeiten liegen die IEC-Zeiten in Datenbau-
dort nicht abgebildet. Bei einigen SPS-Typen steinen im Anwenderspeicher, bieten andere
besteht die Möglichkeit, die Größe des Pro- Möglichkeiten und werden über Systembau-
zessabbildes einzustellen. steine angesprochen. Auch auf diese Zeiten
Ausgänge: Hierunter versteht man das Pro- wird später noch eingegangen.
zessabbild der Ausgänge. Das Prozessabbild Zähler: Zähler werden bei der S7 zur Unterschei-
wird am Zyklusende auf die physikalischen dung mit den IEC-Zählern auch Simatic-Zäh-
Ausgänge geschrieben. Es gelten hier sinnge- ler genannt. Sie liegen an einer festen Adresse
mäß die gleichen Anmerkungen wie bei den im Systemspeicher. Diese Zähler haben einen
Eingängen. Der Vorteil des Prozessabbilds festen Nummernbereich, deren Anzahl abhän-
Ausgänge besteht darin, dass vorübergehen- gig vom verwendeten CPU-Typ ist. Mit diesen
de Änderungen (z. B. durch Setze=Rücksetze- Zählern kann bei Flankenwechsel in einem
Operationen) nicht an die Peripherie weiterge- bestimmten Wertebereich hoch- und runterge-
reicht werden und dass die aktuellen Zustände zählt werden.
auch zurück gelesen werden können. Peripherie-Eingänge: Dies ist der tatsächliche
Merker: Merker sind Speicher für beliebige Werte Speicher der Eingangsbaugruppe und somit
und werden verwendet, wenn Zwischenergeb- die direkte Schnittstelle zur gesteuerten Ma-
nisse über Bausteingrenzen hinweg Gültigkeit schine. Mit Ladebefehlen lässt sich auch di-
haben müssen. Ein Teil der Merker kann als re- rekt und unter Umgehung des Prozessabbildes
manent eingestellt werden. Dies bedeutet, dass auf diesen Speicher zugreifen. Dies ist aller-
der Inhalt dieses Bereiches auch im spannungs- dings nur ratsam, wenn der aktuelle Wert des
16 Speicherprogrammierbare Steuerungen 753

Tab. 16.8 Absolute Adressierung in STEP7 classic


Operandenbereich Bezeichnung BIT BYTE WORD DWORD
Peripherie-Eingänge PE – PEB x PEW x PED x
Peripherie-Ausgänge PA – PAB x PAW x PAD x
Prozessabbild Eingänge E E x.y EB x EW x ED x
Prozessabbild Ausgänge A A x.y AB x AW x AD x
Merker M M x.y MB x MW x MD x
Temporäre Lokaldaten L L x.y LB x LW x LD x
Daten in DBs DB DBX x.y DBB x DBW x DBD x
DB z.DBX x.y DB z.DBB x DB z.DBW x DB z.DBD x
Statische Lokaldaten DI DIX x.y DIB x DIW x DID x
Zeiten T T x (egal ob auf Bit oder Wert zugegriffen wird)
Zähler Z Z x (egal ob auf Bit oder Wert zugegriffen wird)

Eingangs unmittelbar benötigt wird oder der immer der Fall ist). Denn ein „Schutztür vorne
Bereich nicht im Prozessabbild liegt. Zu be- zu“ lässt viel eher auf die Bedeutung des Operan-
achten ist, dass der direkte Zugriff auf die den schließen als ein „E 27.3“. Trotzdem ist die
Peripherie Zeit kostet und es schneller geht, absolute Adressierung wichtig zu verstehen; denn
über das Prozessabbild zuzugreifen. generell kann in der S7-Welt nur einem gültigen
Peripherie-Ausgänge: Dies ist der tatsächliche absoluten Operanden ein symbolischer Operand
Speicher der Ausgangsbaugruppe und somit zugewiesen werden. Hier gibt es jedoch eine Än-
die direkte Schnittstelle zur gesteuerten Ma- derung bzw. Aktualisierung. Denn als Siemens
schine. Mit Transferbefehlen kann auch direkt in 2009 das Totally Integrated Automation (TIA)
und unter Umgehung des Prozessabbildes auf Portal auf den Markt brachte, wurde für die
diesen Speicher zugegriffen werden. Es gelten S7-1200 die Möglichkeit geschaffen, auf die Be-
hier sinngemäß die gleichen Anmerkungen standteile eines Datenbausteines rein symbolisch
wie bei den Peripherie-Eingängen. zuzugreifen. Die Möglichkeit des ausschließlich
symbolischen Zugriffs wurde bei der Einführung
Operanden der SPS Nach Erläuterung der not- der S7-1500 im Jahre 2012 nicht nur beibehalten,
wendigen Hintergrundinformationen wie Zah- sondern als die optimale Lösung präsentiert. Die
lenformate, Operandengrößen, Datentypen und Verwaltung der absoluten Adressen obliegt hier
mögliche Speicherbereiche einer SPS folgt nun nicht mehr dem Programmierer sondern dem Pro-
die Vorstellung der Adressierung der Operanden grammiersystem. Es geht sogar so weit, dass die
und Variablen. Dann sind die wichtigsten Grund- absoluten Adressen für den Programmierer gar
lagen erarbeitet, und die SPS-Programmierung nicht mehr sichtbar sind. Er weiß also nicht ein-
kann in Angriff genommen werden. mal mehr, an welcher Stelle sich seine Operanden
Grundsätzlich gibt es zwei verschiedene Ar- im Speicher des Datenbausteines befinden. Wird
ten der Adressierung: die absolute Adressierung immer noch der alte und absolute Zugriff verwen-
und die symbolische Adressierung. Bei der ab- det, so wird laut Siemens-Aussagen die Zyklus-
soluten Adressierung wird direkt der Operand zeit der SPS deutlich erhöht. Aus diesem Grund
mit seiner tatsächlichen Adresse im jeweiligen spricht Siemens mittlerweile nicht mehr vom
Speicherbereich angegeben. Da diese Methode symbolischen sondern vom optimierten Zugriff.
wenig über die Funktion des Operanden aus- Mit der Einführung des TIA-Portals hat sich
sagt, besteht die Möglichkeit, einem Operanden auch die Schreibweise für absolute Operanden
ein Symbol zuzuordnen und diesen Operanden geändert. In Tab. 16.8 und 16.9 werden beide
dann wie eine Variable zu verwenden. Hierdurch üblichen absoluten Adressierungsnotationen für
lässt sich die Lesbarkeit des Programmes deutlich die S7 dargestellt.
steigern, wenn die symbolischen Namen sinnvoll Bei CoDeSys werden programmübergreifend
vergeben wurden (was in der Praxis leider nicht (global) bzw. am Beginn eines Programmbau-
754 R. Hönle

Tab. 16.9 Absolute Adressierung im TIA-Portal


Operandenbereich Bezeichnung BIT BYTE WORD DWORD
Peripherie-Eingänge E:P – %EB x:P %EW x:P %ED x:P
Peripherie-Ausgänge A:P – %AB x:P %AW x:P %AD x:P
Prozessabbild Eingänge E %E x.y %EB x %EW x %ED x
Prozessabbild Ausgänge A %A x.y %AB x %AW x %AD x
Merker M %M x.y %MB x %MW x %MD x
Temporäre Lokaldaten L %L x.y %LB x %LW x %LD x
Daten in DBs DB DBX x.y DBB x DBW x DBD x
%DB z.DBX x.y %DB z.DBB x %DB z.DBW x %DB z.DBD x
Statische Lokaldaten DI DIX x.y DIB x DIW x DID x
Zeiten T T x (egal ob auf Bit oder Wert zugegriffen wird)
Zähler Z Z x (egal ob auf Bit oder Wert zugegriffen wird)

Tab. 16.10 Aufbau einer AWL-Zeile


Marke Operator Operand Kommentar
Die Marke ist nur bei Der eigentliche Kennung Parameter // als Trennung für den Editor, danach folgen
Verzweigungen als Befehl Operandenart und beliebige Erläuterungen
Sprungziel notwendig Datentyp
M001: U E 0.1 // Den Zustand des Eingangs abfragen
L EB 2 // Beispiel für einen Ladebefehl

steines die entsprechenden Variablen deklariert. (Tab. 16.10). KOP entspricht dem Stromlaufplan,
Diesen Variablen können absolute Operanden zu- der es erlaubt, die Zusammenhänge zu erkennen.
gewiesen werden. Das sieht dann folgenderma- FUP stellt das Programm ähnlich einem Logik-
ßen aus: diagramm dar. Jedes Programm in KOP und FUP
kann auch in AWL dargestellt, aber nicht jedes
AWL-Programm kann in KOP oder FUP ange-
Adressierung in CoDeSys zeigt werden. Zudem besteht die Möglichkeit, die
Programm SPS-Programmierung SPS in den Programmiersprachen SCL (Struc-
tured Control Language), einer Pascal-ähnlichen
VAR Hochsprache, und S7-Graph, einer grafischen
Variable1 AT {%}IX0.0 : BOOL; Ablaufsprache, zu programmieren. Die weite-
(* Bitvariable für E 0.0 *)
Variable2 AT {%}QB1 : BYTE; ren Ausführungen beschränken sich jedoch auf
(* Bytevariable für AB 1 *) die allgemein üblichen Basisdarstellungen AWL,
Variable3 : WORD; KOP und FUP. Tabelle 16.10 zeigt den Aufbau
(* Wortvariable ohne einer AWL-Zeile.
Absolutadresse *)
END_VAR

16.3.1 Basisfunktionen
Der Bereichspräfix I verweist dabei auf Eingän-
ge und Q auf die Ausgänge. Nachfolgend werden Basis-Verknüpfungsarten in
einer Gegenüberstellung der Sprachen AWL,
KOP und FUP dargestellt.
16.3 Befehle einer SPS
Zur Programmierung der SPS stehen verschie- 16.3.1.1 Binärverknüpfungen
dene Darstellungsarten zur Verfügung. Dies sind Die Basis für die Ergebnisse der Binärverknüp-
AWL (Anweisungsliste), KOP (Kontaktplan) und fungen bilden die in Abschn. 16.1 aufgeführ-
FUP (Funktionsplan). In AWL werden die ein- ten logischen Verknüpfungen. Zusätzlich werden
zelnen Befehle in der Textform geschrieben hier einige Siemens-spezifische Dinge erläutert.
16 Speicherprogrammierbare Steuerungen 755

AWL KOP FUP





Nicht darstellbar Nicht darstellbar




Abb. 16.3 Zuweisung mittels UND bzw. ODER

AWL KOP FUP

Abb. 16.4 Zwei verschiedene Möglichkeiten der Negation

Das Ergebnis einer Binärverknüpfung wird eine Klammer öffnen und das aktuelle VKE auf
bei den Siemens-SPSen in einem speziellen dem Klammerstack für die weitere Verknüpfung
Register, dem VKE (Verknüpfungsergebnis) ge- zwischenspeichern. Der Klammerstack kann bei
speichert. Jede Binärverknüpfung ihrerseits ver- der S7 maximal sieben Einträge enthalten. Diese
knüpft den Zustand des Operanden mit dem Grenze wird bereits durch das Programmiersys-
VKE. Damit das VKE immer einen definier- tem geprüft und bei Überschreiten des Limits
ten Zustand hat, wird die Binärverknüpfung wird ein Fehler angezeigt. Zwei dieser Varianten
abhängig von Erstabfragebit (=ER) einmal als und die schließende Klammer sind ebenfalls in
Ladebefehl (=ER D 0) und das andere Mal Abb. 16.8 und 16.9 dargestellt.
als Verknüpfung gemäß Operation (=ER D 1) Die Zuweisung (Abb. 16.3) ist die einfachs-
verarbeitet. Nach Zuweisungen (D, S, R) und te der Binärverknüpfungen. Hierbei wird nur der
Sprungbefehlen wird =ER auf 0 gesetzt. Es Zustand der Eingangsvariablen abgefragt und in
handelt sich bei diesen Befehlen um sogenann- die Ausgangsvariable übertragen. Zu beachten
te VKE-begrenzende Befehle. Wichtig ist, dass ist, dass sich nur die UND-Abfrage in KOP oder
ein Netzwerk-Ende-Befehl alleine nicht VKE- FUP darstellen lässt, obwohl die ODER-Abfrage
begrenzend ist; es muss schon ein entsprechender dasselbe Ergebnis liefert, wenn =ER D 0 ist.
Befehl vor dem Netzwerk-Ende stehen. Ansons- In KOP ist dies verständlich, da kein Parallel-
ten wird im nächsten Netzwerk mit dem aktuellen zweig für das ODER existiert. In FUP könnte
VKE weitergearbeitet. Dies kann passieren, wenn aber das ODER-Symbol problemlos genauso wie
in AWL einzelne Befehle zu Testzwecken aus- das UND-Symbol mit nur einem Eingang be-
kommentiert werden. Bei der KOP- oder FUP- schaltet werden.
Programmierung wird dies nicht passieren, da Die Negation (Abb. 16.4) ist ebenfalls eine
eine Sequenz immer mit einer Zuweisung abge- sehr einfache Binärverknüpfung. Hierfür gibt es
schlossen sein muss. zwei Möglichkeiten. Bei Variante 1 wird direkt
Die einfachen Binärverknüpfungen sind das VKE vor der Zuweisung an die Ausgangs-
UND, ODER, EXKLUSIVE ODER, UND variable negiert. In diesem Fall invertiert sich
NICHT, ODER NICHT und EXKLUSIVE die komplette Verknüpfungslogik. Bei Variante 2
ODER NICHT. Von diesen Verknüpfungen exis- wird nur eine einzelne Eingangsvariable negiert.
tieren auch jeweils Varianten, die automatisch In diesem Beispiel liefern beide Varianten dassel-
756 R. Hönle

AWL KOP FUP

Abb. 16.5 Die UND-Verknüpfung

AWL KOP FUP

Abb. 16.6 Die ODER-Verknüpfung

AWL KOP FUP

Abb. 16.7 Verknüpfungen mit mehr als zwei Variablen

be Ergebnis, da es sich nur um eine Zuweisung Dieses Beispiel mit drei Eingängen verdeutlicht,
handelt. Finden aber komplexere Verknüpfungen dass ein normaler Eingang im stromlosen Zu-
statt, wird das Ergebnis mit Sicherheit unter- stand geöffnet ist. Dieser Typ wird als Schließer
schiedlich ausfallen. bezeichnet. Der negierte Eingang ist im stromlo-
Bei der UND-Verknüpfung (Abb. 16.5) er- sen Zustand geschlossen. Dieser Typ heißt Öff-
kennt man speziell in KOP sehr gut, dass der ner.
Ausgang nur gesetzt wird, wenn beide Eingän- Dieses Beispiel zeigt die „VerODERung“ von
ge den Wert 1 enthalten. Wenn es sich bei den zwei UND-Abfragen (Abb. 16.8). Hier müssen
Eingängen um Schalter handelt und der Ausgang jeweils zwei Eingangsvariablen in Reihe den
eine Lampe ist, dann leuchtet diese Lampe nur, Wert 1 beinhalten, damit die Ausgangsvariable
wenn beide Schalter geschlossen sind. gesetzt wird. In AWL ist diese Verknüpfung auf
Bei einer ODER-Verknüpfung (Abb. 16.6) ge- zwei unterschiedliche Arten darstellbar. Beide
nügt es, wenn einer der beiden Eingänge den Varianten sind in KOP oder FUP darstellbar, sie
Wert 1 enthält, um den Ausgang zu schalten. In sind identisch, und das Ergebnis ist in beiden
diesem Beispiel genügt ein Schalter um die Lam- Fällen das gleiche. Der Grund für die unter-
pe zum Leuchten zu bringen. schiedliche Darstellung liegt in der UND-vor-
Bei den Verknüpfungen sind auch mehr als ODER-Verarbeitung der S7-Steuerung. In diesen
zwei Eingangsvariablen möglich (Abb. 16.7). Fällen kann auf die ODER-Klammern verzichtet
16 Speicherprogrammierbare Steuerungen 757

AWL KOP FUP

Abb. 16.8 VerODERung von zwei UND-Abfragen

AWL KOP FUP

Abb. 16.9 VerUNDung von zwei ODER-Abfragen

AWL KOP FUP

Nicht darstellbar

Abb. 16.10 Die XOR-Verknüpfung

werden, womit das SPS-Programm etwas kürzer, Werden zwei ODER-Abfragen „verUNDet“,
kompakter und ein klein wenig schneller wird. sind die Klammern auf Grund der UND-vor-
Eins ist zu beachten: Wenn die erste Variante pro- ODER-Regel zwingend erforderlich (Abb. 16.9).
grammiert und dann von AWL nach KOP oder Eine weitere einfache Operation stellt
FUP umgeschaltet und dies abgespeichert wird, die EXKLUSIVE ODER-Verknüpfung dar
dann wird bei Zurückschalten nach AWL auto- (Abb. 16.10), die auch mit mehr als zwei Ope-
matisch die zweite Variante angezeigt. Dies liegt randen möglich ist. Das Ergebnis ist immer 1,
daran, dass beim Speichern die grafischen Ver- wenn eine ungerade Anzahl Eingangsvariablen
knüpfungen nach AWL „übersetzt“ werden, und den Wert 1 enthält und immer 0, wenn eine ge-
hier gilt implizit die UND-vor-ODER-Regel. rade Anzahl von Eingangsvariablen den Wert 1
758 R. Hönle

AWL KOP FUP

Abb. 16.11 Nachbildung der XOR-Verknüpfung mit zwei Eingangsvariablen für KOP

AWL KOP FUP

Nicht darstellbar

Abb. 16.12 Nachbildung der XOR-Verknüpfung mit drei Eingangsvariablen für KOP

enthält. In der obigen Darstellung könnte die Ver- Lösung, dass nur ein einziger Eingang oder alle
knüpfung genauso gut mit U E 0.0 bzw. O E 0.0 drei Eingänge den Wert 1 enthalten, abgebildet
beginnen wenn =ER D 0 ist. werden; denn eine Exklusive-Oder-Verknüpfung
Um in KOP die obige EXKLUSIVE ODER- liefert genau dann den Wert 1, wenn eine unge-
Verknüpfung nachzubilden (Abb. 16.11), ist rade Anzahl von Eingangsvariablen den Wert 1
etwas mehr Programmieraufwand notwendig. beinhaltet.
Hierbei muss die Regel der ungeraden Eingangs-
variablenanzahl angewendet werden. Das heißt, 16.3.1.2 Speicherfunktionen
dass bei zwei Eingängen entweder der Erste 1 Oft kommt es vor, dass wenn eine Eingangsva-
und der Zweite 0 oder der Erste 0 und der Zweite riable den Wert 1 hat, eine Ausgangsvariable auf
1 sein muss. 1 gesetzt und mit dem 1-Zustand einer anderen
Noch aufwändiger ist es, wenn mit mehr Eingangsvariablen dieser Ausgang auf 0 gesetzt
als zwei Eingangsvariablen eine EXKLUSIVE werden soll. Der Wert 0 der Eingangsvariablen
ODER-Verknüpfung gebildet werden soll. Dar- beeinflusst dabei den Wert der Ausgangsvaria-
gestellt ist exemplarisch die Variante mit drei blen nicht. Für diese Zwecke stehen die Setzen-
Eingangsvariablen (Abb. 16.12). Hier muss die und Rücksetzen-Funktionen zur Verfügung. Es
16 Speicherprogrammierbare Steuerungen 759

AWL KOP FUP

Abb. 16.13 Setzen=Rücksetzen-Verknüpfung

AWL KOP FUP

Abb. 16.14 Rücksetzen=Setzen-Verknüpfung

gibt hierbei zwei verschiedene Arten: die eine Art Tab. 16.11 Zustandstabelle für Setzen=Rücksetzen mit
setzt vorrangig den Ausgang und die andere rück- Rücksetzen vorrangig
setzt den Ausgang vorrangig. Vorrangig bedeutet Eingang 0 Eingang 1 Ausgang alt Ausgang neu
in diesem Fall, dass wenn beide Eingangsva- 1 0
riablen den Zustand 1 haben, ist der Wert der 1 0 1
Ausgangsvariablen gemäß Vorrang. Diese Ver- 0 0 1 1
0 0 0 0
knüpfungsart wird auch als Flipflop bezeichnet.
Im obigen Beispiel ist ein Setzen=Rücksetzen
(mit vorrangigem Rücksetzen) aufgeführt
(Abb. 16.13). In AWL wird schnell klar, woher sem Fall zu tun ist, wird zuerst eine Zustandsta-
das vorrangige Rücksetzen kommt: der Rücksetz- belle der Ein- und Ausgangsvariablen (für Rück-
befehl wird nach dem Setzbefehl bearbeitet und setzen vorrangig) erstellt, in die auch der aktuelle
überschreibt gegebenenfalls dessen Setzen der Zustand der Ausgangsvariablen mit in die Ver-
Ausgangsvariablen. Am Ende der AWL-Sequenz knüpfung einfließt (Tab. 16.11). Ein für einen
steht ein „NOP 0“. NOP ist dabei die Kurzform Zustand bedeutet, dass dieser für das Ergebnis
für No Operation. Der Parameter 0 ist hierbei der nicht relevant ist.
Standardwert. Dieser Befehl kommt immer dann Der Ausgang nimmt also genau dann den Zu-
zum Einsatz, wenn bei der grafischen Program- stand 1 an, wenn (Eingang 0 D 1 und Eingang
mierung Ein- oder Ausgänge nicht beschaltet 1 D 0) oder (Eingang 0 D 0 und Eingang 1 D 0
sind, und dient den grafischen Editoren als Hilfe und Ausgang alt D 1) ist. Den Zustand 0 nimmt
für die „Übersetzung“ von AWL nach KOP oder der Ausgang in allen anderen Fällen an. Diese
FUP. Bedingungen müssen nun so verknüpft werden,
In diesem Beispiel mit vorrangigem Set- dass für die Ausgangsvariable eine Zuweisung
zen wird der Ausgang beschaltet und für das verwendet werden kann. Abbildung 16.15 zeigt
„Zwischenergebnis“ ein Merkerbit verwendet das hierfür notwendige SPS-Programm.
(Abb. 16.14).
Gäbe es die Setze=Rücksetze-Möglichkeit 16.3.1.3 Flankenauswertung
nicht, so müssten das Flipflop ebenso wie die Bei der SPS-Programmierung wird manchmal
XOR-Verknüpfung in KOP „von Hand“ program- nur der Zustandswechsel einer Bitvariablen be-
miert werden. Um zu verdeutlichen, was in die- nötigt, um eine bestimmte Aktion auszuführen.
760 R. Hönle

AWL KOP FUP

Abb. 16.15 Setzen=Rücksetzen mit Rücksetzen vorrangig „von Hand“ programmiert

AWL KOP FUP

Abb. 16.16 Positive und negative Flankenauswertung

AWL KOP FUP

Abb. 16.17 Positive Flankenauswertung „von Hand“ programmiert

Für diesen Fall werden die Flankenwechsel aus- kenwechsel und die Speicherung des letzten Wer-
gewertet. Hierbei gibt es die Möglichkeit, den tes in zwei getrennten Schritten erfolgen. Das
positiven Flankenwechsel, die Änderung des Si- SPS-Programm dafür ist in Abb. 16.17 ersicht-
gnalzustands von 0 auf 1, oder den negativen lich.
Flankenwechsel, die Änderung des Signalzustan- In diesem Beispiel wird der positive Flanken-
des von 1 auf 0, abzufragen. Grundvoraussetzung wechsel erkannt (Abb. 16.17). Dieser zeichnet
für die Erkennung eines Zustandswechsels ist im- sich dadurch aus, dass der aktuelle Zustand 1
mer die Speicherung des Zustandes im letzten und der letzte Zustand 0 ist. Nach der Prüfung
Zyklus, damit dieser mit dem aktuellen Zustand wird dem Flankenhilfsmerker der nun aktuelle
verglichen werden kann. Diese Speicherung ge- Zustand zugewiesen. Der Flankenmerker hat nun
schieht in dem sogenannten Flankenhilfsmerker. bei einem positiven Flankenwechsel für genau
Dieser darf nicht in den temporären Lokaldaten einen SPS-Zyklus den Wert 1.
liegen, denn sonst ist dessen eigener Zustand, wie
bei jeder uninitialisierten Stackvariablen, nicht 16.3.1.4 Zeitfunktionen
definiert. In Abb. 16.16 ist das SPS-Programm für Um zeitliche Vorgänge in einem SPS-Programm
die Flankenauswertungen dargestellt. realisieren zu können, werden die Simatic-Zeiten
Um eine Flankenerkennung „von Hand“ nach (oder die IEC-Zeiten) benötigt. Die Zeiten wer-
zu programmieren, muss die Abfrage auf Flan- den mit dem entsprechenden Wert in das Low-
16 Speicherprogrammierbare Steuerungen 761

AWL KOP FUP

Abb. 16.18 Zeit als Impuls starten

Abb. 16.19 Impulsdia-


gramm einer Zeit als
Impuls

Word des Akku1 geladen und mit einem po- selt. Die Zeit läuft, solange am S-Eingang das
sitiven Flankenwechsel der binären Eingangs- VKE D 1 ist. Wechselt der S-Eingang auf 0 bevor
variablen gestartet. Zusätzlich gibt es noch die die Zeit abgelaufen ist, wird die Zeit angehal-
Möglichkeit, eine Zeit freizugeben oder zurück- ten. Erfolgt ein erneuter Wechsel von 0 auf 1,
zusetzen. Der aktuelle Rest-Zeitwert kann bi- dann wird die Zeit wieder von vorne gestartet.
när oder BCD-codiert (Abschn. 11.1.3) ausge- Der Zeitwert muss sich beim Starten im Low-
lesen und der Status der Zeit abgefragt wer- Word des Akku1 befinden. Der Ausgang einer
den. Der aktuelle Zeitwert muss nicht abge- Zeit als Impuls liefert eine 1, solange die Zeit
fragt werden. Allerdings muss dann in die- läuft (Abb. 16.19).
sem Fall für die grafischen Programmiersprachen SV startet die Zeit als verlängerten Impuls
KOP und FUP für jeden Ausgang wieder ein (Abb. 16.20), wenn das VKE am S-Eingang von 0
NOP 0 gesetzt werden. Es gibt fünf verschie- auf 1 wechselt. Die Zeit läuft, bis sie entweder
dene Simatic-Zeitarten. Diese unterscheiden sich abgelaufen oder am R-Eingang das VKE D 1
darin, unter welchen Bedingungen die Zeit ge- ist. Wechselt der S-Eingang auf 0, bevor die Zeit
startet wird und wann der Status der Zeit 0 abgelaufen ist, läuft die Zeit trotzdem weiter.
bzw. 1 liefert. Nachfolgend sind alle fünf ver- Wechselt der S-Eingang wieder auf 1, so wird
schiedenen Typen aufgeführt und die jeweiligen die Zeit erneut gestartet. Der Zeitwert muss sich
Unterschiede in einem Impuls-Diagramm darge- beim Starten im Low-Word des Akku1 befinden.
stellt. Der Ausgang einer Zeit als verlängerter Impuls
SI startet die Zeit als Impuls (Abb. 16.18), liefert eine 1, solange die Zeit noch nicht abge-
wenn das VKE am S-Eingang von 0 auf 1 wech- laufen ist (Abb. 16.21).
762 R. Hönle

$:/ .23 )83

Abb. 16.20 Zeit als verlängerten Impuls starten

Abb. 16.21 Impulsdiagramm einer Zeit als verlängerter Impuls

SE startet die Zeit als Einschaltverzögerung einer Zeit als Einschaltverzögerung liefert eine 1,
(Abb. 16.22), wenn das VKE am S-Eingang von sobald die Zeit abgelaufen und am S-Eingang das
0 auf 1 wechselt. Die Zeit läuft, solange am VKE D 1 ist (Abb. 16.23).
S-Eingang das VKE D 1 ist. Wechselt der S- SS startet die Zeit als speichernde Einschalt-
Eingang auf 0 bevor die Zeit abgelaufen ist, wird verzögerung (Abb. 16.24), wenn das VKE am
die Zeit angehalten. Erfolgt ein erneuter Wechsel S-Eingang von 0 auf 1 wechselt. Die Zeit läuft,
von 0 auf 1, dann wird die Zeit wieder von vor- solange am S-Eingang das VKE D 1 ist. Wech-
ne gestartet. Der Zeitwert muss sich beim Starten selt der S-Eingang auf 0, bevor die Zeit abgelau-
im Low-Word des Akku1 befinden. Der Ausgang fen ist, läuft die Zeit trotzdem weiter. Erfolgt ein

AWL KOP FUP

Abb. 16.22 Zeit als Einschaltverzögerung starten


16 Speicherprogrammierbare Steuerungen 763

Abb. 16.23 Impulsdiagramm einer Zeit als Einschaltverzögerung

AWL KOP FUP

Abb. 16.24 Zeit als speichernde Einschaltverzögerung starten

Abb. 16.25 Impulsdiagramm einer Zeit als speichernde Einschaltverzögerung

erneuter Wechsel von 0 auf 1, dann wird die Zeit für die Abfrage des Ausgangs zwingend zurück-
wieder von vorne gestartet. Der Zeitwert muss gesetzt werden, sonst erhält man bereits nach
sich beim Starten im Low-Word des Akku1 be- einmaligem Ablauf immer 1 (Abb. 16.25).
finden. Der Ausgang einer Zeit als speichernde SA startet die Zeit als Ausschaltverzögerung
Einschaltverzögerung liefert eine 1, sobald die (Abb. 16.26), wenn das VKE am S-Eingang von
Zeit abgelaufen und am R-Eingang das VKE D 0 1 auf 0 wechselt. Die Zeit läuft, solange am
ist. Eine speichernde Einschaltverzögerung muss S-Eingang das VKE D 0 anliegt. Wechselt der S-
764 R. Hönle

AWL KOP FUP

Abb. 16.26 Zeit als Ausschaltverzögerung starten

Abb. 16.27 Impulsdia-


gramm einer Zeit als
Ausschaltverzögerung

Eingang auf 1, bevor die Zeit abgelaufen ist, wird folgte und der aktuelle Zählwert kleiner als 999
die Zeit angehalten. Erfolgt ein erneuter Wechsel ist. Beim Rückwärtszählen muss beim positiven
von 1 auf 0, dann wird die Zeit wieder von vorne Flankenwechsel des VKE am ZR-Eingang der
gestartet. Der Zeitwert muss sich beim Starten im aktuelle Zählwert größer als 0 sein, damit eine
Low-Word des Akku1 befinden. Der Ausgang ei- Änderung stattfindet.
ner Zeit als Ausschaltverzögerung liefert eine 1, Neben dem vollständigen Zähler gibt es
solange die Zeit läuft oder am S-Eingang das auch reine Vorwärts- und reine Rückwärtszähler
VKE D 1 und am R-Eingang das VKE D 0 ist (Abb. 16.28). Wie bereits weiter oben erläu-
(Abb. 16.27). tert, werden unbeschaltete Ein- und Ausgänge
in AWL als Übersetzungshilfe für die grafischen
Editoren mit einem „NOP 0“ dargestellt. In die-
16.3.1.5 Zählfunktionen sem Beispiel kommt noch eine weitere Besonder-
Wenn im SPS-Programm Werte im Bereich zwi- heit hinzu, der Befehl „BLD 101“. BLD-Befehle
schen 0 und 999 gezählt werden sollen, dann sind Bild-Befehle, die ausschließlich als Unter-
stehen die integrierten Simatic-Zähler zur Ver- stützung für die grafischen Editoren eingeführt
fügung. Damit kann ein Startwert gesetzt, der wurden und im SPS-Programm rein gar nichts be-
Zähler rückgesetzt und vorwärts bzw. rückwärts wirken – die jedoch Speicherplatz benötigen und
gezählt werden. Hierzu ist jeweils ein Flanken- eventuell etwas Zykluszeit beanspruchen.
wechsel an dem entsprechenden Eingang not-
wendig. Dies bedeutet, dass beispielsweise der
Zählwert nur geladen wird, wenn beim VKE am 16.3.2 Digitalfunktionen
S-Eingang ein Wechsel von 0 auf 1 stattfand. Das
Vorwärtszählen findet statt, wenn ein positiver Um diesen Funktionsbereich besser zu verste-
Flankenwechsel beim VKE am ZV-Eingang er- hen, muss zuerst der interne Registeraufbau einer
16 Speicherprogrammierbare Steuerungen 765

AWL KOP FUP

Abb. 16.28 Vollständiger Zähler, reiner Vorwärts- und reiner Rückwärtszähler

S7-SPS erläutert werden. Die S7-300-SPS hat 16.3.2.1 Übertragungsfunktionen


für diese Operationen zwei interne 32-Bit große Beim Laden wird im einfachsten Fall entweder
Register, die Akkumulatoren. Mit entsprechen- eine Eingangsvariable oder ein konstanter Wert
den Befehlen kann auch nur auf den Low-Teil in den Akku1 geladen. Die Operandengröße kann
der Register zugegriffen werden (beispielsweise dabei ein Byte, ein Wort oder ein Doppelwort
wenn ein 16-Bit-Wert in den 32-Bit-Akku1 ge- sein. Beim Transferieren wird der Inhalt von Ak-
laden wird). Beim Laden eines Wertes wird der ku1 in eine Ausgangsvariable geschrieben. Diese
Inhalt des Akku1 nach Akku2 transferiert und der kann im Bereich Ausgänge, Peripherieausgän-
Wert in den Akku1 geladen. Mit diesen beiden ge, Merker oder Daten liegen. Der Inhalt von
Akkus, mit Akku1 und einem konstanten Wert Akku1 und Akku2 wird durch den Transferbe-
oder nur mit Akku1 lassen sich nun verschiede- fehl nicht verändert. Der Programmcode ist in
ne Digitalfunktionen ausführen. Abb. 16.29 dargestellt. In KOP und FUP haben
766 R. Hönle

AWL KOP FUP

Abb. 16.29 Die einfachste Art einer Lade=Transferiersequenz

die Symbole noch einen EN-Eingang und einen mit 16-Bit-Operanden), OW (bitweises ODER
ENO-Ausgang. Die Operation wird nur durch- mit 16-Bit-Operanden), XOW (bitweises EX-
geführt, wenn das VKE am EN-Eingang 1 ist, KLUSIVE ODER mit 16-Bit-Operanden), UD
ansonsten wird die Operation übersprungen. Der (bitweises UND mit 32-Bit-Operanden), OD (bit-
ENO-Ausgang hat den gleichen Signalzustand weises ODER mit 32-Bit-Operanden) und XOD
wie der EN-Eingang und kann somit für eine (bitweises EXKLUSIVE ODER mit 32-Bit-Ope-
Verkettung von Funktionen verwendet werden. randen). Hierbei wird die gewählte Logikope-
Der EN-Eingang muss nicht beschaltet werden. ration auf jedes einzelne Bit angewendet. Die
In diesem Fall wird die Operation bedingungs- Logiktabelle dazu wurde bereits in Tab. 16.5
los, also immer, ausgeführt. Das NOP 0 am Ende dargestellt und erläutert. Das Ergebnis der Ver-
in AWL dient ausschließlich dazu, bei Bedarf knüpfung wird in Akku1 gespeichert. Zusätzlich
ein Sprungziel mittels einer Sprungmarke ange- werden auch noch einige Statusbits gesetzt, da-
ben zu können. Dieses Thema wird ausführlich mit nach der Operation einfach geprüft werden
im Abschn. 16.3.3 behandelt. Der Vollständigkeit kann, ob das Ergebnis der Logikverknüpfung 0
halber ist in Abb. 16.29 auch ein Beispiel mit war. Abbildung 16.30 zeigt einige Verknüpfungs-
beschaltetem Freigabe-Eingang und Sprungmar- kombinationen.
ke vorhanden. In Abb. 16.30 ist im fünften Beispiel die direk-
Es gibt auf der S7 noch weitere Lade=Trans- te logische Verknüpfung mit einem Absolutwert
ferbefehle. Diese greifen dann auf bestimmte dargestellt. Dies entspricht funktionell exakt dem
Register in der CPU oder sogar über Zeiger auf dritten Beispiel im Bild, ist aber wesentlich kom-
den Speicherbereich der SPS zu. Das hier zu pakter. Dafür ist die Verknüpfung in dieser Art
erläutern, würde allerdings den Umfang dieses nicht in KOP oder FUP darstellbar.
Abschnittes deutlich sprengen.
16.3.2.3 Vergleichsfunktionen
16.3.2.2 Wort- und Bei den Vergleichsfunktionen können die Werte,
Doppelwortverknüpfungen die sich in Akku1 und Akku2 befinden, mitein-
Bei den Wort- und Doppelwortverknüpfungen ander verglichen werden. Die Vergleiche erfol-
wird der Inhalt von Akku1 gemäß der gewählten gen dabei als 16-Bit-Ganzzahl mit Vorzeichen,
Logikoperation mit einer Konstanten oder dem 32-Bit-Ganzzahl mit Vorzeichen oder als 32-
Inhalt von Akku2 verknüpft. Zu den möglichen Bit-Gleitpunktzahl. Bei den Ganzzahlen ist jede
Logikoperationen gehören: UW (bitweises UND Bitkombination gültig, bei den Gleitpunktzahlen
16 Speicherprogrammierbare Steuerungen 767

AWL KOP FUP

nicht darstellbar nicht darstellbar

Abb. 16.30 Unterschiedliche Wort- und Doppelwortverknüpfungen

gemäß IEEE 754 gibt es ungültige Bitkombi- und A1 D 0) ist. In Abb. 16.31 sind einige Ver-
nationen, die auch als NaN (Not A Number) gleichsoperationen aufgeführt.
bezeichnet werden. Vergleiche mit ungültigen Diese Operationen vergleichen immer Akku2
Gleitpunktzahlen liefern „ungültig“ als Ergeb- mit Akku1; denn auf Grund der beiden Lade-
nis. Beim 16-Bit-Ganzzahlvergleich wird nicht befehle befindet sich der zuerst geladene Wert
der komplette Akku2 mit dem Akku1 vergli- in Akku2 und der zuletzt geladene Wert in Ak-
chen, sondern nur der Lowteil davon. Was in ku1. Statt der Zuweisung am Ende könnte auch
dem Highword der Akkumulatoren steht, ist für ein Sprung an die gewünschte Programmstelle
den Vergleich nicht relevant. Als Vergleichsar- erfolgen. Dieses Thema wird ausführlich im Ab-
ten stehen folgende Prüfungen zur Verfügung: schn. 16.3.3 behandelt.
gleich, ungleich, kleiner, kleiner gleich, größer
und größer gleich. Die Auswertung erfolgt über 16.3.2.4 Wandlungsfunktionen
die beiden Bits A0 und A1 im Statuswort der Wandlungsfunktionen werden benötigt, um Zah-
CPU. Diese Bits sagen aus, ob das Ergebnis len eines Datentyps in einen anderen Datentyp
gleich (A0 D 0, A1 D 0), kleiner (A0 D 1, bzw. eine andere interne Datenrepräsentation zu
A1 D 0), größer (A0 D 0, A1 D 1) oder ungül- wandeln. Hier sei angemerkt: Die Ausgabe von
tig (A0 D 1, A1 D 1) ist. So wird beispielsweise Werten in hexadezimaler, dezimaler (mit oder oh-
beim Prüfen auf kleiner gleich das VKE gesetzt, ne Vorzeichen) und binärer Form hat nichts mit ei-
wenn (A0 D 0 und A1 D 0) oder (A0 D 1 ner Wandlung zu tun, dies ist nur eine andere Dar-
768 R. Hönle

AWL KOP FUP

Abb. 16.31 Vergleiche mit unterschiedlichen Datentypen und Vergleichsarten

AWL KOP FUP

Abb. 16.32 16-Bit-Ganzzahl in 32-Bit-Ganzzahl wandeln

stellung desselben Bitmusters. Auf der S7 existie- Mit dem Befehl BTI wird eine 16-Bit-BCD-
ren Umwandlungsbefehle beispielsweise von 16- Zahl in eine 16-Bit-Ganzzahl gewandelt. Bit 15
Bit-Ganzzahl in 32-Bit-Ganzzahl, von BCD nach wird dabei als Vorzeichen behandelt und die Bits
Ganzzahl und umgekehrt, von Festpunkt nach 0 bis 11 geben den Wert der BCD-Zahl an. Zuläs-
Gleitpunkt und umgekehrt sowie verschiedene sige Werte sind hierbei 999 bis C999. Liegen
Negier- und Byteorderänderungsfunktionen. Al- mindestens in einem Nibble Werte von 10 bis 15
le Wandlungsfunktionen werden mit Akku1 bzw. vor, dann wird ein Fehler ausgelöst und die SPS
dem Lowword von Akku1 durchgeführt. Für KOP geht in Stopp-Modus. Dies lässt sich nur durch
und FUP ist für die Darstellung des entsprechen- die Programmierung eines sogenannten Fehler-
den Wandlungssymbols immer noch ein Lade- OBs verhindern. Mit dem Befehl ITB wird eine
und Transferbefehl sowie das „NOP 0“ am Ende 16-Bit-Ganzzahl in eine 16-Bit-BCD-Zahl ge-
für die Sprungmarke wegen eventueller Verwen- wandelt. Liegt hier der Wertebereich außerhalb
dung des EN-Einganges notwendig. von 999 Undankbarkeit C999, werden nur zwei
Mit dem Befehl ITD wird eine 16-Bit-Ganz- Bits (OV und OS) im Statuswort gesetzt, ein Feh-
zahl in eine 32-Bit-Ganzzahl gewandelt. Bei ei- ler und Stopp der SPS wird nicht ausgelöst. Das
ner positiven Zahl (0 bis 32.767) werden die negative Vorzeichen wird durch die Bitkombi-
Bits 16 bis 31 auf 0 gesetzt. Bei einer negativen nation 1111 in den Bits 12 bis 15 dargestellt.
Zahl (1 bis 37:678) werden die Bits 16 bis 31 Die Befehle BTD und DTB führen die BCD=
auf 1 gesetzt. Dieser Befehl führt also eine Vor- Ganzzahl-Wandlung mit 32-Bit-Werten durch.
zeichenerweiterung von Bit 15 auf die Bits 16 bis Der Wertebereich liegt hierbei bei 9:999:999
31 durch. Abbildung 16.32 zeigt das zugehörige bis C9.999.999, ansonsten ist das Verhalten iden-
SPS-Programm. tisch wie bei BTI und ITB. In Abb. 16.33 sind
16 Speicherprogrammierbare Steuerungen 769

AWL KOP FUP

Abb. 16.33 Die BCD-Ganzzahl-Wandlungsfunktionen

alle BCD-Ganzzahl-Wandlungssequenzen darge- Ein Wert von 200;7 wir nach TRUNC zu 200
stellt. und ein Wert von 200;7 wird nach TRUNC
Mit dem Befehl DTR wird eine 32-Bit-Ganz- zu 200. Der Befehl RNDC rundet die Gleit-
zahl in eine 32-Bit-Gleitpunktzahl gewandelt. Zu punktzahl zur nächst größeren Ganzzahl auf.
beachten ist hierbei allerdings, dass die Genau- Ein Wert von 200,5 wir nach RNDC zu 201
igkeit einer Ganzzahl höher ist als die einer und ein Wert von 200;5 wird nach RNDC zu
Gleitpunktzahl. Denn bei der Ganzzahl zählt je- 200. Durch RND wird die Gleitpunktzahl zur
des Bit. Bei der Gleitpunktzahl werden Bits für nächst kleineren Ganzzahl abgerundet. Ein Wert
den Exponenten benötigt und stehen somit nicht von 200,5 wird nach RND zu 200 und ein
mehr für die Genauigkeit zur Verfügung. Wenn Wert von 200;5 wird nach RNDC zu 201.
eine 16-Bit-Ganzzahl in eine Gleitpunktzahl ge- In Abb. 16.34 sind die Ganzzahl-Gleitpunktzahl-
wandelt werden soll, dann muss zuvor noch der Wandlungsfunktionen dargestellt.
Befehl ITD ausgeführt werden. Für die Wand- Für alle Gleitpunktzahlen nach Ganzzahl-
lung einer Gleitpunktzahl in eine Ganzzahl gibt Wandlungsfunktionen gilt, dass wenn ein Fehler
es vier verschiedene Funktionen. Mittels RND auftritt (Vorliegen einer NaN oder einer Gleit-
wird die Zahl zur nächsten Ganzzahl gerundet. punktzahl, die auf Grund des zu großen Wer-
Liegt der Wert genau zwischen einer geraden tes nicht als 32-Bit-Ganzzahl dargestellt werden
und einer ungeraden Zahl, wird zur geraden Zahl kann), wird die Umwandlung nicht ausgeführt,
hin gerundet (also nichts mit ab „x,5“ aufrun- und es wird ein Überlauf im Statuswort (OV und
den). Ein Wert von 200,5 wir nach RND zu 200 OS) angezeigt.
und ein Wert von 200;5 wird nach RND zu Die Zahlen in Akku1 können mit einem ein-
200. Ein Wert von 201,5 wir nach RND zu fachen Befehl negiert werden. Dabei muss bei
202 und ein Wert von 201;5 wird nach RND Ganzzahlen zwischen dem Einerkomplement und
zu 202. Durch TRUNC wird einfach der Nach- dem Zweierkomplement unterschieden werden.
kommaanteil der Gleitpunktzahl abgeschnitten. Das Einerkomplement invertiert einfach alle Bits
770 R. Hönle

AWL KOP FUP

Abb. 16.34 Die Ganzzahl-Gleitpunktzahl-Wandlungsfunktionen

der Zahl. Der betragsmäßige Wert der Zahl än- die zwei Bytes des Lowwords von Akku1 und
dert sich dadurch. Aus C3 wird 4 und aus 128 mit dem Befehl TAD werden alle vier Bytes von
wird C127. Beim Zweierkomplement werden al- Akku1 in ihrer Reihenfolge so vertauscht, dass
le Bits invertiert und danach eins addiert. Durch aus Little-Endian Big-Endian wird bzw. auch um-
diese Vorgehensweise erhält man beim Zwei- gekehrt, da es sich um reversible Funktionen
erkomplement immer die gleiche Zahl nur mit handelt. Abbildung 16.36 zeigt die Funktionen
anderem Vorzeichen, aus C3 wird 3 und aus zur Änderung der Bytefolge.
128 wird C128. Der Befehl INVI bildet das
Einerkomplement einer 16-Bit-Ganzzahl, INVD 16.3.2.5 Rechenfunktionen
das einer 32-Bit-Ganzzahl. Der Befehl NEGI Als Basisrechenfunktionen existieren bei allen
bildet das Zweierkomplement einer 16-Bit-Ganz- Datentypen (16-Bit-, 32-Bit-Ganzzahl und 32-
zahl, NEGD das einer 32-Bit-Ganzzahl. Der Be- Bit-Gleitpunktzahl) die Grundrechenarten: Plus,
fehl NEGR negiert eine 32-Bit-Gleitpunktzahl. Minus, Mal und Geteilt. Dargestellt wird dies
In Abb. 16.35 sind die Negationsbefehle darge- durch das Rechensymbol mit angehängtem Zei-
stellt. chen für den Datentyp. Beispielsweise addiert CI
Den Abschluss der Umwandlungsfunktionen zwei 16-Bit-Ganzzahlen in Akku1 und Akku2
bilden die Funktionen zum Ändern der Byteorder. und speichert das Ergebnis in Akku1,  D multi-
Mit diesen Funktionen können Werte zwischen pliziert zwei 32-Bit-Ganzzahlen und =R dividiert
dem Little-Endian- und Big-Endian-Format ge- die Gleitpunktzahl in Akku2 durch die Gleit-
wandelt werden. Mit dem Befehl TAW werden punktzahl in Akku1 und speichert das Ergebnis
16 Speicherprogrammierbare Steuerungen 771

AWL KOP FUP

Abb. 16.35 Die verschiedenen Negationsbefehle

AWL KOP FUP

nicht darstellbar nicht darstellbar

nicht darstellbar nicht darstellbar

Abb. 16.36 Funktionen zum Ändern der Byteorder

als Gleitpunktzahl in Akku1. Bei den Ganzzah- Bei den Gleitpunktzahlen kommen noch er-
len gibt es neben dem Befehl C, dieser addiert weiterte Rechenfunktionen hinzu. Der Befehl
eine 16- oder 32-Bit-Konstante zu dem Wert in ABS bildet den Absolutwert einer Zahl, SQR
Akku1, noch den Befehl MOD, dieser dividiert quadriert eine Zahl, SQRT zieht die Quadrat-
den Wert von Akku2 durch den Wert von Ak- wurzel, EXP bildet den Exponentialwert und LN
ku1 und speichert den Divisionsrest in Akku1. In den natürlichen Logarithmus einer Zahl. An tri-
Abb. 16.37 sind einige der Basisrechenfunktio- gonometrischen Funktionen stehen SIN, COS,
nen dargestellt. TAN, ASIN, ACOS und ATAN zur Verfügung. In
772 R. Hönle

AWL KOP FUP

nicht darstellbar nicht darstellbar

Abb. 16.37 Beispiele für die Basisrechenfunktionen

Abb. 16.38 sind einige Beispiele der erweiterten beispielsweise im Zusammenhang mit dem EN-
Rechenfunktionen dargestellt. Eingang hingewiesen. In diesem Abschnitt geht
es nun um die wichtigsten Sprungoperationen.
16.3.3 Sprungoperationen Da in KOP und FUP nur zwei Sprungarten und
die Sprungmarke realisiert werden können, be-
Auf die Möglichkeit, innerhalb eines SPS-Pro- schränkt sich dieses Kapitel auf die Darstellung
gramms zu springen, wurde bereits weiter oben in AWL und weist bei den beiden Varianten
16 Speicherprogrammierbare Steuerungen 773

AWL KOP FUP

Abb. 16.38 Beispiele für die erweiterten Rechenfunktionen

textuell auf KOP und FUP hin. Zur besseren Er- den sich unter anderem das VKE-Bit, das OV-
läuterung der einzelnen Befehle werden in der Bit (Überlauf, wird beispielsweise bei Überlauf
Darstellung Kommentare im SPS-Programm ver- einer Wandlung gesetzt) und das OS-Bit (spei-
wendet. chernder Überlauf, wird im Gegensatz zu OV
Bei der Programmierung unterscheidet man nicht bei der nächsten Wandlung neu gesetzt,
zwischen bedingten und unbedingten Sprüngen. sondern behält den gesetzten Zustand bei). Die-
Bei den unbedingten Sprüngen gibt es den ab- se Sprungbefehle selbst beeinflussen durch den
soluten Sprung SPA, der auch in KOP und FUP Sprung oder Nichtsprung wieder die verschie-
darstellbar ist, und einen Sprungverteiler bzw. denen Bits im Statuswort. Beispielsweise wird
eine Sprungleiste. Dieser Sprungverteiler ist am durch einen VKE-abhängigen Sprungbefehl das
ehesten mit einer „switch case“-Anweisung in VKE immer auf 1 gesetzt, egal ob der Sprung
C=CCC bzw. „select case“-Anweisung in Visu- ausgeführt wird oder nicht. Die VKE-abhängigen
al Basic zu vergleichen. In Abb. 16.39 sind diese Sprünge lassen sich auch in KOP und FUP dar-
beiden Sprungvarianten dargestellt. Die Funkti- stellen. Weitere Details sind in Abb. 16.40 und
onsbeschreibung geht dabei aus den Zeilenkom- den entsprechenden Kommentaren ersichtlich. Es
mentaren eindeutig hervor. existieren neben den aufgeführten Befehlen noch
Die bedingten Sprünge werden, wie der Na- zusätzlich spezielle Sprungbefehle, die allerdings
me schon sagt, abhängig von Bedingungen aus- den Rahmen dieses Kapitels sprengen würden.
geführt. In der Regel sind dies dann einzelne Weitere Sprungoperationen können auf Grund
Bits aus dem Statuswort der SPS. Hier befin- des Ergebnisses einer Rechenoperation erfolgen:
774 R. Hönle

AWL

Abb. 16.39 Absolute bzw. unbedingte Sprungoperationen

AWL

Abb. 16.40 Bedingte Sprungoperationen mit einzelnen Bits aus dem Statuswort

 SPZ springt, wenn das Ergebnis 0 war.  SPMZ springt, wenn das Ergebnis kleiner oder
 SPN springt, wenn das Ergebnis nicht 0 war. gleich 0 war.
 SPP springt, wenn das Ergebnis größer 0 war.  SPU springt, wenn das Ergebnis ungültig war,
 SPM springt, wenn das Ergebnis kleiner 0 war. beispielsweise wegen einer NaN.
 SPPZ springt, wenn das Ergebnis größer oder In Abb. 16.41 sind einige ergebnisabhängige
gleich 0 war. Sprungoperationen dargestellt.
16 Speicherprogrammierbare Steuerungen 775

AWL

Abb. 16.41 Ergebnisabhängige Sprungoperationen

Der Vollständigkeit halber soll noch ein weite- haben kein Gedächtnis und liefern bei gleichen
rer Sprungbefehl erwähnt werden: LOOP. Dieser Funktionsparametern immer dasselbe Ergebnis
Befehl dekrementiert den Inhalt von Akku1 und zurück. Diese Art nennt man Funktionen oder
springt zu der angegebenen Sprungmarke, wenn FC. Die anderen haben ein Gedächtnis, merken
der Inhalt von Akku1 größer als 0 ist. Hierbei sich also die Werte aus dem letzten Aufruf. Die-
ist äußerste Vorsicht geboten. Unter Umständen se Art nennt man Funktionsbausteine oder FB.
kann es sonst passieren, dass eine Endlosschleife Das „Gedächtnis“ der Funktionsbausteine wird
programmiert wird und die SPS in Stopp-Zustand mittels eines Datenbausteins realisiert, den der
geht. FB übergeben bekommt. In dem Datenbaustein
ist somit die Schnittstelle des FB abgebildet. In
Abb. 16.42 sind hier Beispiele dargestellt. Wenn
16.3.4 Programmfluss-Steuerung diese Funktionen oder Funktionsbausteine bereits
im Betriebssystem der SPS integriert sind, spricht
Eine S7 bearbeitet, sofern sie sich nicht im Stopp man von Systembausteinen und SFBs bzw. SFCs.
befindet, immer den OB 1. Zu Beginn eines Beispielsweise sind die IEC-Zeiten als SFBs aus-
Zyklus werden die Daten aus den Peripherieein- geführt. Dadurch, dass „beliebig“ viele DBs mit
gangsbaugruppen in das Prozessabbild Eingänge dessen Aufrufschnittstelle angelegt werden kön-
geschrieben. Danach wird das SPS-Programm nen, können auch „beliebig“ viele IEC-Zeiten
im OB 1 bearbeitet. An dessen Ende schreibt verwendet werden und nicht nur die festgelegte
die S7 die Daten vom Prozessabbild Ausgänge Anzahl von Simatic-Zeiten. Die Anzahl der mög-
in die Peripherieausgangsbaugruppen und führt lichen Zeiten hängt von der SPS und der Anzahl
einige Systemfunktionen durch, bevor es wie- der möglichen DBs ab.
der am Anfang weitergeht. Damit sich nicht das Bei FBs und SFBs gibt es folgende Bereiche: In
gesamte Programm in einem Baustein befindet, IN werden die Eingangsparameter vor dem Auf-
besteht die Möglichkeit verschiedene Baustei- ruf in den Parameter-Datenbaustein geschrieben.
ne anzulegen und aufzurufen. Diese Bausteine Dieser Parameter-Datenbaustein wird auch In-
können am ehesten mit Funktionen bei den PC- stanz-Datenbaustein genannt. In OUT werden die
Hochsprachen verglichen werden. Hierbei gibt Daten nach dem Funktionsaufruf aus den DB ge-
es zwei verschiedene Funktionsarten: die einen lesen und in die Aktualparameter geschrieben. In
776 R. Hönle

AWL

Abb. 16.42 Verschiedene Bausteinaufrufvarianten

INOUT werden die Parameter vor dem Aufruf in werden und über die Schnittstelle parametriert
den Instanz-DB geschrieben und nach dem Auf- werden. Dies erspart dann natürlich Programm-
ruf in die Aktualparameter zurückgeschrieben. code und Programmierzeit.
In STAT sind statische Daten für den FB selbst Nicht immer sollen die Bausteine bis zum
vorhanden. Hier kann alles definiert werden, was Ende ausgeführt werden. Es kann Situationen ge-
zwischen den Aufrufen erhalten bleiben soll. In ben, bei denen ein vorzeitiger Rücksprung, ähn-
TEMP sind temporäre Daten vorhanden, die nach lich „return“ bei den PC-Hochsprachen, sinnvoll
dem Aufruf nicht mehr existieren, da sie auf dem ist. Hierzu gibt es drei Befehle: BE und BEA sind
Stack angelegt werden. Abbildung 16.42 zeigt in der Funktionsweise identisch, beenden den
unterschiedliche Varianten der Bausteinaufrufe. Bausteinaufruf bedingungslos und kehren zum
Bei FCs und SFCs existieren die Bereiche IN, aufrufenden Baustein zurück. BEB hingegen be-
OUT, INOUT, TEMP und zusätzlich RETURN. endet den Bausteinaufruf abhängig vom Zustand
Hier kann der Rückgabewert der Funktion defi- des VKE und kehrt bei VKE D 1 zum aufrufen-
niert werden. Der Bereich STAT existiert bei FCs den Baustein zurück.
und SFCs nicht.
In Abb. 16.42 Teil 1 ist der mehrfache Auf-
ruf einer IEC-Zeit als Einschaltverzögerung über 16.4 Programmierung einer SPS
den dazugehörigen Systembaustein dargestellt. In
Abb. 16.42 Teil 2 wird eine benutzerdefinierte Im Folgenden werden einfache Beispiele zur
Funktion FC 10 mit einer Schnittstelle aufge- SPS-Programmierung vorgestellt.
rufen. In Abb. 16.42 Teil 3 ist diese Funktion
dargestellt. Das „#“ vor dem Parameternamen Beispiel 1:
zeigt an, dass es sich um einen Parameter oder Einfaches Förderband An einem Förder-
eine Lokaldatenvariable auf dem Stack und nicht band sind am Anfang und am Ende jeweils ein
um eine symbolische Variable handelt. Start- und ein Stopp-Taster angebracht. Wird
Funktionen und Funktionsbausteine dienen einer der beiden Start-Taster betätigt, beginnt
der Strukturierung eines SPS-Programms. Mit das Band zu laufen. Wird einer der beiden
deren Hilfe können auch immer wiederkehren- Stopp-Taster betätigt, hört das Band auf zu
de Aufgaben in einzelne Bausteine ausgelagert laufen. Zusätzlich befindet sich am Auslauf
16 Speicherprogrammierbare Steuerungen 777

Tab. 16.12 Sensoren und Bezeichnung Absolute Adresse Symbol


Aktoren für Beispiel 1 Start Einlauf E 0.0 Start_Einlauf
Stopp Einlauf E 0.1 Stopp_Einlauf
Start Auslauf E 0.2 Start_Auslauf
Stopp Auslauf E 0.3 Stopp_Auslauf
Lichtschranke Auslauf E 0.4 LS_Auslauf
Bandmotor A 0.0 Motor_Ein

des Bandes eine Lichtschranke, die durch die ein Setzen=Rücksetzen Flipflop. In Tab. 16.12
Werkstücke unterbrochen wird. Wird damit sind die verwendeten Sensoren und Aktoren
ein Werkstück erkannt, dann stoppt das Band aufgeführt, in Abb. 16.43 ist der Programm-
ebenfalls. Das Band soll vorrangig stoppen, code der Lösung dargestellt.
nicht dass ein Werkstück vom Band fällt wenn
ein Start-Taster betätigt wird.
Beispiel 2:
Lösung zu Beispiel 1 Richtungserkennung eines Förderbandes
Das Band soll dann starten, wenn Start_Ein- An einem Förderband sind zwei Lichtschran-
lauf oder Start_Auslauf gedrückt wird und ken angebracht. Jedes Werkstück, das auf dem
LS_Auslauf nicht unterbrochen ist. Das Band Band transportiert wird, ist länger als der Ab-
soll dann stoppen, wenn Stopp_Einlauf oder stand der beiden Lichtschranken. Über diese
Stopp_Auslauf gedrückt oder LS_Auslauf un- beiden Lichtschranken soll nun die Laufrich-
terbrochen wird. Zum Einsatz kommt somit tung des Förderbandes erkannt und damit je-

AWL

KOP FUP

Abb. 16.43 Lösung Beispiel 1


778 R. Hönle

Tab. 16.13 Sensoren, Bezeichnung Absolute Adresse Symbol


Aktoren und Flankenhilfs- Lichtschranke rechts E 1.0 LS_1
merker Beispiel 2
Lichtschranke links E 1.1 LS_2
Lampe Linkslauf A 1.0 Links
Lampe Rechtslauf A 1.1 Rechts
Flankenhilfsmerker Linkslauf M 1.0 HM_Links
Flankenhilfsmerker Rechtslauf M 1.1 HM_Rechts

weils eine Lampe für die Richtungsanzeige mit jedem Ablauf der Zeit das Merkerbyte
links bzw. rechts angesteuert werden. inkrementiert werden. Dies bedeutet, dass bei-
spielsweise das Merkerbit x.0 immer im Ras-
Lösung zu Beispiel 2: ter der Zeit den Zustand zwischen 0 und 1
Da das Werkstück länger ist als der Abstand wechselt. Das Merkerbit x.1 wechselt den Zu-
zwischen den beiden Lichtschranken, wird stand nur im doppelten Raster der Zeit. Mit
erst eine Lichtschranke unterbrochen und da- dem Merkerbyte können somit 8 verschiede-
nach die zweite und die erste ist noch unter- ne Frequenzen realisiert werden. Die geringste
brochen. Somit kann ganz einfach die Lauf- Periodendauer entspricht dabei dem doppel-
richtung des Bandes festgestellt werden. Wenn ten Zeitwert. Die maximale Frequenz beträgt
der Impuls der einen Lichtschranke durch ei- 1=.2  T /. Für das Beispiel soll ein Zeitwert
ne negative Flanke kommt und die andere von 50 ms und somit eine maximale Frequenz
Lichtschranke noch nicht unterbrochen wurde, von 10 Hz verwendet werden.
dann fährt das Band in Richtung der anderen Zusatzfrage: Mit welcher Genauigkeit kann
Lichtschranke. War die andere Lichtschran- bei den Taktmerkern gerechnet werden? Dazu
ke schon unterbrochen, dann ist nichts zu tun bitte den zyklischen Ablauf und die Zykluszeit
denn das Werkstück läuft gerade durch. Wenn der SPS mit in die Überlegungen einbeziehen.
keine der beiden Lichtschranken unterbrochen
ist, dann kann auch nicht festgestellt werden, Lösung zu Beispiel 3:
in welche Richtung das Band läuft. Die Auf- Als erstes wird der richtige Zeittyp ausge-
gabe wird hier mit einem Setzen=Rücksetzen wählt. Idealerweise kommt eine Zeit als ver-
Flipflop und zwei Flankenmerkern gelöst. In längerter Impuls zum Einsatz. Diese Zeit wird
Tabelle 16.13 sind die verwendeten Sensoren, gestartet, wenn das VKE am Eingang von 0
Aktoren und Hilfsvariablen aufgeführt und in auf 1 wechselt und der Ausgang der Zeit bleibt
Abb. 16.44 ist der Programmcode der Lösung auf 1, solange die Zeit läuft. Erst wenn die
dargestellt. Zeit abgelaufen ist, wird das Merkerbyte in-
In Abb. 16.44 ist auch die zweite mög- krementiert. Dies bedeutet, dass solange die
liche Darstellung für Flankenmerker ersicht- Zeit läuft, der Programmteil zum Inkrementie-
lich. Ein Unterschied in der Funktion besteht ren des Merkerbytes nicht bearbeitet werden
nicht, nur in der Darstellung. Und in AWL muss. Nach Ablauf wird die Zeit gleich wieder
wird ein „BLD 100“ sowie ein “U(“ und “)“ gestartet. In Tab. 16.14 sind die verwende-
zusätzlich eingefügt, damit das Programm in ten Variablen aufgeführt, in Abb. 16.45 ist der
KOP oder FUP dargestellt werden kann. Programmcode der Lösung dargestellt. Der
Hilfsmerker ist deshalb notwendig, damit auch
Beispiel 3: tatsächlich der Zustand der Zeit vor einem
Erzeugung von Taktgebern mit einem Tast- eventuellen Neustart verwendet wird. Ansons-
verhältnis 1:1 Mit Hilfe einer Zeit soll über ten wird die Zeit durch die Abfrage gestartet
ein Merkerbyte je nach Merkerbit verschiede- und das Inkrementieren findet nie statt, da die
ne Frequenzen realisiert werden. Hierzu soll Zeit immer läuft.
16 Speicherprogrammierbare Steuerungen 779

AWL

KOP FUP

Abb. 16.44 Lösung zu Beispiel 2


780 R. Hönle

Tab. 16.14 Variablen für Bezeichnung Absolute Adresse Symbol


Beispiel 3 Taktzeit T1 Takt
Taktmerkerbyte M 100 Takt_MB
Hilfsmerker M 101.0 HM

Damit das Netzwerk 3, das Inkrementieren, durchgeführt. Im Mittel wird die Genauigkeit
auch in KOP und FUP dargestellt werden kann, bei der halben SPS-Zykluszeit liegen, wenn
kann man statt dem verwendeten INC-Befehl diese geringer als die Hälfte des gewählten
auch den Ganzzahl-Addierer verwenden. Der Zeitwerts ist. Die Hälfte deshalb, da ein Ti-
Haken an der Sache ist allerdings, dass es die- mer nur gestartet wird, wenn ein Wechsel am
ser nicht für Byteoperanden existiert. Somit VKE von 0 auf 1 stattfindet und somit mindes-
müsste hier ein Wort verwendet werden. tens für eine SPS-Zyklus eine 0 anliegen muss.
Die Genauigkeit der Taktmerker hängt von Ist die SPS-Zykluszeit größer als die halbe
der Zykluszeit der SPS ab. Die SPS kann gewählte Zeit und kleiner als die gewählte
die Abfrage durchführen, wenn die Zeit ge- Zeit, dann wird es im Mittel zu einer Abwei-
rade eben abgelaufen oder gerade eben noch chung von 2 SPS-Zykluszeit – gewählter Zeit
nicht abgelaufen ist. Im zweiten Fall wird kommen, da immer im zweiten SPS-Zyklus
das Inkrementieren im nächsten SPS-Zyklus die Zeit wieder gestartet wird. Ist die SPS-

AWL

KOP FUP

nicht darstellbar nicht darstellbar

Abb. 16.45 Lösung Beispiel 3


16 Speicherprogrammierbare Steuerungen 781

Tab. 16.15 Variablen für Bezeichnung Absolute Adresse Symbol


Beispiel 4 Lichtschranke 1 E 2.0 LS_1
Lichtschranke 2 E 2.1 LS_2
Zählerreset E 2.2 Reset
Paketzähler Z1 PZ
Zählerstand MW 200 Pakete
Lampe Lager leer A 4.0 Lager_Leer
Lampe Lager nicht leer A 4.1 Lager_Nicht_Leer
Lampe Lager 50 % voll A 4.2 Lager_50
Lampe Lager 75 % voll A 4.3 Lager_75
Lampe Lager 90 % voll A 4.4 Lager_90
Lampe Lager voll A 4.5 Lager_Voll

Zykluszeit allerdings größer als die gewählte Lösung zu Beispiel 4:


Zeit, dann wird die Zeit nur einmal starten. Durch die fallende Flanke von Lichtschran-
Die Zeit ist dann bei jedem SPS-Zyklus be- ke 1 wird der Pufferlagerzähler inkrementiert,
reits wieder abgelaufen und die Abfrage liefert durch die fallende Flanke von Lichtschran-
immer eine 1. Es kommt zu keinem VKE- ke 2 wird der Pufferlagerzähler dekremen-
Wechsel mehr am Starteingang der Zeit und tiert. Dies geschieht über einen Vorwärts-
die Zeit wird nicht gestartet. Wichtig bei der Rückwärtszähler. Danach wird der Zähler-
Programmierung einer SPS ist, dass solche stand mit den verschiedenen Grenzwerten ver-
Betrachtungen und Grenzwertanalysen immer glichen und abhängig vom Vergleichsergebnis
mit in das Programm einfließen. Denn sonst die entsprechenden Lampen ein- bzw. ausge-
kann es leicht zu unerwünschten Effekten schaltet. In Tab. 16.15 sind die verwendeten
kommen. Variablen aufgeführt und in Abb. 16.46 ist der
Programmcode der Lösung dargestellt.
Beispiel 4:
Zwei Förderbänder mit Pufferlager In ei- Beispiel 5:
nem System sind zwei Förderbänder verbaut. Steuerung einer einfachen Fußgängeram-
Zwischen den beiden Förderbändern befindet pel In diesem Beispiel soll eine einfache Fuß-
sich ein Pufferlager. Förderband 1 bringt Pa- gängerampel realisiert werden. Diese hat Rot,
kete in das Pufferlager. Diese Pakete werden Gelb und Grün für die Autos bzw. Rot und
durch Lichtschranke 1 gezählt. Förderband Grün für die Fußgänger. Hierbei sollen jeweils
2 entnimmt Pakete aus dem Pufferlager und die vier Lampen einer Farbe der Autoanzei-
transportiert diese zum Versand. Diese Pa- ge und jeweils die zwei Lampen einer Farbe
kete werden durch Lichtschranke 2 gezählt. der Fußgängeranzeige über einen Ausgang der
Die maximale Kapazität des Pufferlagers be- SPS geschaltet werden. Die Fußgänger kön-
trägt 200 Pakete. Zusätzlich soll mit einem nen auf beiden Seiten Grün anfordern. Wenn
Taster der Zählerinhalt auf 0 initialisiert wer- Grün für die Fußgänger angefordert wird,
den können. Es existieren 6 Anzeigeleuch- dann soll die Ampel nach 3 Sekunden schal-
ten mit folgenden Funktionen: Lagerbereich ten. Zwischen zwei Fußgänger-Grünzyklen
leer, Lagerbereich nicht leer, Lagerbereich zu soll eine Mindestzeit von 1 Minute liegen.
50 % gefüllt, Lagerbereich zu 75 % gefüllt, Anforderungen, die bis zum Ende Grünphase
Lagerbereich zu 90 % gefüllt und Lagerbe- für Fußgänger gestellt werden, sollen ignoriert
reich voll. Diese Aufgaben soll mittels Zäh- werden. Spätere Anforderungen innerhalb die-
ler und mehreren Vergleichern gelöst wer- ser Mindestzeit sollen nach Ablauf der Min-
den. destzeit ausgeführt werden. Die Anforderung
782 R. Hönle

AWL

Abb. 16.46 Lösung Beispiel 4

Tab. 16.16 Mögliche Zustände


Zustand Bezeichnung Kommentar
0 Ruhezustand Warten auf Tastendruck und ggf. Ablauf der Mindestzeit
1 Wartezeit Warten bis der Schaltvorgang beginnt
2 Autoampel Gelb Warten bis Gelbzeit abgelaufen ist
3 Autoampel Rot Warten bis Fußgänger auf Grün geschaltet werden kann
4 Fußgängerampel Grün Warten bis Grünzeit abgelaufen ist
5 Fußgängerampel Rot Warten bis Auto auf Rot=Gelb geschaltet werden kann
6 Autoampel Rot=Gelb Warten bis Rot=Gelbzeit abgelaufen ist

muss sich das SPS-Programm somit merken. Lösung zu Beispiel 5:


Für die Ampel sollen folgende Zeiten ver- Für die Lösung dieser Aufgabe ist der
wendet werden: Gelb für PKW 3 Sekunden, aktuelle Zustand der Ampel zu beachten.
Zeit zwischen Rot für PKW und Grün für Wie bei einer Statemaschine wird von ei-
Fußgänger 5 Sekunden, Zeit Grün für Fuß- nem Zustand in den nächsten geschalten,
gänger 25 Sekunden, Zeit zwischen Rot für wenn die entsprechende Weiterschaltbedin-
Fußgänger und Rot=Gelb für PKW 5 Sekun- gung vorliegt. Die Zustände werden sequen-
den, Rot=Gelb für PKW 3 Sekunden. Für jede ziell bearbeitet und am Ende wird wieder
Phase des Ampelzyklus soll eine eigene Zeit in den Ausgangszustand gewechselt. In Tab.
verwendet werden, damit diese bei Bedarf se- 16.16 sind die möglichen Zustände aufge-
parat angepasst werden können. führt.
16 Speicherprogrammierbare Steuerungen 783

KOP FUP

Abb. 16.46 (Fortsetzung)

Bei den Zuständen 1 bis 4 werden weite- stand wird über Sprungleiste realisiert. Als
re Grünanforderungen ignoriert, bei den Zu- Zeittyp wird wieder der verzögerte Impuls
ständen 0 und 5 bis 7 wird die Grünanfor- verwendet. In Tab. 16.17 sind die verwende-
derung gespeichert damit nach Ablauf der ten Variablen aufgeführt, in Abb. 16.47 ist
Mindestzeit der Zyklus erneut gestartet wer- der Programmcode der Lösung dargestellt.
den kann. Dies wird über drei Vergleicher In KOP und FUP sind aus Gründen der
gelöst. Die Verzweigung im jeweiligen Zu- Übersichtlichkeit nur die ersten Netzwerke
784 R. Hönle

AWL

Abb. 16.47 Lösung Beispiel 5


16 Speicherprogrammierbare Steuerungen 785

Abb. 16.47 (Fortsetzung)


786 R. Hönle

Abb. 16.47 (Fortsetzung)


16 Speicherprogrammierbare Steuerungen 787

KOP FUP

Abb. 16.47 (Fortsetzung)


788 R. Hönle

Abb. 16.47 (Fortsetzung)

dargestellt. Die prinzipielle Vorgehensweise spiel werden auch nicht mehr die absoluten
ist allerdings auch in KOP oder FUP nach- Operanden sondern die symbolischen Ope-
vollziehbar. Der genaue Programmcode mit randen verwendet. Das Programm wird da-
den dazugehörenden Kommentaren kann in durch gleich wesentlich einfacher zu verste-
AWL nachvollzogen werden. In diesem Bei- hen.
16 Speicherprogrammierbare Steuerungen 789

Abb. 16.47 (Fortsetzung)

Tab. 16.17 Variablen für Bezeichnung Absolute Adresse Symbol


Beispiel 5 Anforderungstaster 1 E 8.0 Anf_1
Anforderungstaster 2 E 8.1 Anf_2
Mindestzeit T1 T_Min
Startwartezeit T2 T_Start
Auto-Gelbzeit T3 T_A_Gelb
Wartezeit Fußgänger Ampel T4 T_FG_Start
Fußgänger-Grünzeit T5 T_FG_Grün
Wartezeit Auto Rot=Gelb T6 T_FG_Ende
Auto-Rot=Gelbzeit T7 T_A_RotGelb
Zustand MW 202 State
Anforderungsmerker M 204.0 M_Anf
Lampe Fußgänger Rot A 8.0 FG_Rot
Lampe Fußgänger Grün A 8.1 FG_Grün
Lampe Auto Grün A 8.2 Auto_Grün
Lampe Auto Gelb A 8.3 Auto_Gelb
Lampe Auto Rot A 8.4 Auto_Rot

das Ansteuern von Hebebühnen oder eines Kom-


16.5 Kleinsteuerungen
pressors, um Ampelschaltungen oder auch ei-
ne kompliziertere Automatisierungsaufgabe han-
Kleinsteuerungen, gerne auch intelligente oder delt. Durch die neuen und leistungsfähigeren Ge-
programmierbare Steuerrelais bzw. Logikmodule räte können schon relativ komplexe Aufgaben
genannt, werden in immer mehr Anwendungsfel- realisiert werden. Ein Vorteil dieser Kleinsteue-
dern in Industrie und Handwerk eingesetzt. Dabei rungen liegt darin, dass sie sowohl Steuerung
ist es egal, ob es sich um eine Torsteuerung, als auch Anzeige- und Bediengerät in einem
790 R. Hönle

Abb. 16.48 Einfaches LOGO!-Programmbeispiel in FUP

sein können. Die Aufgaben können dadurch sehr fungen unterstützt die LOGO! UND, ODER, EX-
kompakt und ohne zusätzliche Visualisierungs- CLUSIVE ODER, UND NICHT, ODER NICHT,
komponenten gelöst werden. NOT, UND mit Flanke (FP) sowie UND NICHT
In diesem Kapitel wird speziell auf die Klein- mit Flanke (FN). Zusätzlich sind viele Sonder-
steuerungen der Firma Siemens, die LOGO!, ein- funktionen (z. B. Ein- und Ausschaltverzögerung,
gegangen. Laut Siemens schließt die LOGO! die Betriebsstunden- und Impulszähler, Selbsthalte-
Lücke zwischen Schützen, Hilfsrelais, Zeitschalt- relais, PI-Regler, Wochenschaltuhr, Astrotimer,
uhren und der SPS-Technik. Mit der LOGO! kön- Jahresschaltuhr, Stoppuhr etc.) integriert. Mit
nen Funktionen per Mausklick einfach logisch diese Funktionen können bis zu 400 Blöcke in ei-
verbunden und müssen nicht aufwändig verdrah- ner LOGO! programmiert werden.
tet werden. Die LOGO! ist in der Leistung unter- Mit der 2011 eingeführten Version 7 der LO-
halb der S7-1200 angesiedelt und wird mit einer GO! erhielt diese standardmäßig einen Slot für
eigenen Software, der LOGO!Soft Comfort, pro- SD-Karten, eine Datenloggingmöglichkeit für bis
grammiert und in Betrieb genommen. Als Pro- zu 2.000 Datensätze und eine Ethernetschnitt-
grammiersprachen stehen für die LOGO! sowohl stelle. Dadurch können mehrere LOGO!-Geräte
KOP als auch FUP zur Verfügung. Die Program- miteinander vernetzt werden. In der 2014 vorge-
mierung erfolgt sehr einfach über Drag und Drop. stellten Version 8 wurde die Vernetzungsfunktion
Mit der integrierten Simulation kann die Pro- für den Anwender deutlich vereinfacht, die Ge-
grammlogik getestet werden, ohne dass diese auf räte mit einem microSD-Kartenslot ausgerüstet,
die Steuerung übertragen werden muss. Von den die Datenloggingkapazität auf 20.000 Datensätze
in Abschn. 16.3.1.1 aufgeführten Binärverknüp- erhöht und zusätzlich ein Web-Server integriert.
16 Speicherprogrammierbare Steuerungen 791

Auf den Web-Server kann mittels PC, Tablet Ü 16-4 Ein Motorschütz am Ausgang A 0.1 ei-
oder Smartphone zugegriffen werden und somit ner SPS soll eingeschaltet werden (A 0.1 D 1),
die Meldetexte und Zustände einfach beobach- wenn zwei Taster T1 und T2 an den Eingängen
tet werden. Für die LOGO! sind viele Erweite- E 0.1 und E 0.2 gleichzeitig betätigt werden. Das
rungsmodule erhältlich. Dadurch sind nicht nur Schütz soll ausgeschaltet werden, wenn wenigs-
die Ein- und Ausgänge erweiterbar, sondern es tens einer der beiden Taster T3 und T4 an den
stehen auch Kommunikationsmodule z. B. zur Eingängen E 0.3 und E 0.4 betätigt wird.
Positionsermittlung mittels GPS, zur Uhrzeitsyn-
chronisation über das Network Time Protocol Ü 16-5 Sofern ein Schalter S1 am Eingang E 0.1
(NTP) oder zum Versenden von SMSen zur Ver- eingeschaltet ist, soll ein Warntongeber am Aus-
fügung. gang A 0.2 einer SPS eingeschaltet werden, wenn
Der Datenaustausch mit den anderen Siemens- der Taster T2 am Eingang E 0.2 nicht jeweils
SPSen ist seit Version 7 problemlos möglich, da innerhalb der Zeit t1 D 20 s nach dem letzten
die LOGO! über die Ethernetschnittstelle das- Drücken erneut betätigt wird. Der Warntongeber
selbe Protokoll verwendet wie die S7-200. So- soll durch Drücken des Tasters T3 am Eingang
mit können auch die Bedienpanels der größeren E 0.3 wieder ausgeschaltet werden können.
Steuerungen für die LOGO! verwendet oder Da-
ten mit S7-SPSen ausgetauscht werden. Ü 16-6 Durch Betätigung eines Tasters T1 am
In Abb. 16.48 ist die die Software LOGO!Soft Eingang E 0.1 einer SPS soll das Ventil V1 am
Comfort dargestellt. Im Editor ist eine ODER- Ausgang A 0.1 sofort und das Ventil V2 am Aus-
Verknüpfung sichtbar. Die einzelnen Elemente gang A 0.2 mit einer Verzögerung von t1 D 2 s
der Verknüpfung werden normalerweise mittels eingeschaltet werden. Durch Betätigung des Tas-
Drag und Drop aus dem linken Menübaum auf ters T2 am Eingang E 0.2 sollen beide Ventile
die Editorfläche gezogen. Auf dieser Fläche kön- sofort ausgeschaltet werden.
nen nicht nur Logikverschaltungen sondern auch
freier Text, z. B. zur Kommentierung und Erläu- Ü 16-7 Die Belegung eines Parkhauses mit 50
terung eingegeben werden. Stellplätzen soll mit einer SPS überwacht wer-
den. Dazu soll bei der Öffnung des (leeren)
Parkhauses durch Betätigung eines Tasters T0
am Eingang E 0.0 der SPS ein Vorwahlzähler
16.6 Zur Übung
auf die Vorwahlzahl 50 entsprechend der An-
zahl der zur Verfügung stehenden Plätze gestellt
Ü 16-1 Erstellen Sie das SPS-Programm für ein werden können. Die ein- und ausfahrenden Fahr-
Setzen=Rücksetzen, indem Sie gemäß Tab. 16.11 zeuge werden über zwei Geber G1 und G2 an
die Verknüpfungen für Ausgang neu D 0 pro- den Eingängen E 0.1 und E 0.2 der SPS erfasst
grammieren und das Ergebnis vor der Zuweisung und im Zähler gezählt. Jeder von einem einfah-
negieren. renden Fahrzeug über G1 and E 0.1 erzeugte
0=1-Wechsel soll den Zählerstand um 1 ernied-
Ü 16-2 Erstellen Sie zuerst die Zustandstabelle rigen. Jeder von einem ausfahrenden Fahrzeug
für ein Setzen vorrangig und dann das SPS- über G2 an E 0.2 erzeugte 0=1-Wechsel soll den
Programm dafür. Wählen Sie hierzu die Lösung Zählerstand um 1 erhöhen. Wenn das Parkhaus
für Ausgang neu D 1. mit 50 Fahrzeugen voll belegt ist, d. h., wenn der
Zählerstand null erreicht ist, soll am Ausgang
Ü 16-3 Erstellen Sie das SPS-Programm für die A 0.1 der SPS ein Stopp-Signal (A 0.1 D 1) aus-
Erkennung eines negativen Flankenwechsels. gegeben werden.
792 R. Hönle

16.7 Weiterführende Literatur und Testen mit STEP 7 Professional. Publicis


Publishing.
 Kaftan, J.: (2014) Umstieg von STEP 7 Clas-
 Berger, H.: (2011) Automatisieren mit STEP 7 sic auf TIA-Portal und S7-1500. IKH Didactic
in AWL und SCL: Speicherprogrammierbare Systems - Kaftan Media.
Steuerungen SIMATIC S7-300/400. 7. Aufla-  Hofer, J.: (2013) SCL und OOP mit dem TIA
ge, Publicis Publishing. Portal: Ein Leitfaden für eine objektorientierte
 Berger, H.: (2012) Automatisieren mit STEP Arbeitsweise. 2. Auflage, VDE Verlag.
7 in KOP und FUP: Speicherprogrammierbare  Schmitt, K.: (2015) SPS-Programmierung mit
Steuerungen SIMATIC S7-300/400. 6. Aufla- ST: nach IEC 61131 mit CODESYS und mit
ge, Publicis Publishing. Hinweisen zu STEP 7 im TIA Portal. 2. Auf-
 Berger, H.: (2014) Automatisieren mit SI- lage, Vogel Business Media Verlag.
MATIC S7-300 im TIA Portal: Projektieren,  Wellenreuther, G., Zastrow, D.: (2015) Auto-
Programmieren und Testen mit STEP 7 Pro- matisieren mit SPS - Theorie und Praxis. 6.
fessional. 2. Auflage, Publicis Publishing. Auflage, Springer Vieweg Verlag.
 Berger, H.: (2013) Automatisieren mit SI-  Kaftan, J.: (2016) LOGO! 8-Kurs. Vogel Busi-
MATIC S7-400 im TIA Portal: Projektieren, ness Media.
Programmieren und Testen mit STEP 7 Pro-
fessional. Publicis Publishing.
 Berger, H.: (2015) Automatisieren mit SIMA- 16.8 Marken und Warenzeichen
TIC S7-1200: Programmieren, Projektieren
und Testen mit STEP 7. 3. Auflage, Publicis LOGO!®, S7-200®, S7-1200®, S7-1500®, S7-
Publishing. 300®, S7-400®, STEP 7®, WinCC®, ProTool®,
 Berger, H.: (2014) Automatisieren mit SIMA- HMI®, SINUMERIK®und SIMATIC®sind ein-
TIC S7-1500: Programmieren, Projektieren getragene Marken der Siemens AG.
Spannungsversorgungen
17
Jürgen Gutekunst

Geräte zur Umwandlung technischer Versor- kenden und die von ihr gegebenenfalls ausgehen-
gungsspannungen in geregelte Spannungen sind den physikalischen Einflüsse beachtet werden.
für die Stromversorgung von großer Bedeutung. Die äußeren Bedingungen sind entscheidend für
Stromversorgungen benötigt man beispiels- die Wahl einer jeweils optimalen Technik und da-
weise zur Speisung elektronischer Einrichtun- mit auch für deren Preis.
gen. Zu Stromversorgungs-Systemen gehören die Ein elektronisches Gerät tauscht mit der um-
ihnen zugeordneten Überwachungs- und Signa- gebenden Luft Wärme aus und kann Feuchtigkeit
lisierungs-Einrichtungen. Hat die Stromversor- aufnehmen. Im Zusammenwirken mit der Tem-
gung einen Netz-Eingang, also einen Anschluss peratur ist die Luftfeuchtigkeit wichtig. Beim
an das Netz der Energieversorgungs-Unterneh- Unterschreiten des Taupunktes führt die hohe
men, so spricht man von einem Schaltnetzteil, Luftfeuchtigkeit zur Kondensation des aus der
wenn eine getaktete Technik verwendet wird (Ab- Luft aufgenommenen Wassers und damit zu ei-
schn. 17.2). Bei einem Gleichspannungseingang ner meist unerwünschten Betauung der elektroni-
sind Spannungswandler und Konverter die am schen Geräteteile. Ständig betriebene Stromver-
häufigsten verwendeten Begriffe. sorgungsgeräte sind wärmer als ihre Umgebung,
Als Energiequelle dient vorzugsweise die so dass an diesen kein Wasserdampf konden-
aus dem öffentlichen Netz bereitgestellte Wech- sieren kann. Probleme können auftreten, wenn
selspannung, die technische Wechselspannung ein kaltes Gerät in eine feuchte und warme
(230=400 V, 50 Hz in Europa) oder eine dar- Umgebung gelangt. (Siehe hierzu IEC-Publika-
aus abgeleitete lokale Gleichspannungsversor- tion 68-1, Appendix A (IEC-Klimakategorie)
gung mit einer Spannung bis zu 120 V. Eine und DIN 40 040 (Anwendungsklassen) und VDI-
Übersicht zeigt die Tab. 17.1. Richtlinie 2067, Blatt 3: h; x-Diagramm nach
Mollier für feuchte Luft beim Druck von p D
1;013 bar.)
17.1 Trafonetzgeräte Funkstörungen können eine Stromversorgung
sowohl beeinflussen als auch von ihr selbst aus-
Die Verbindungen einer Stromversorgung mit der gehen. Für die Störfestigkeit (passiv) und für
Umgebung sind in Abb. 17.1 wiedergegeben. Ne- die Störaussendung (aktiv) ist der Sammelbegriff
ben Strom und Spannung an den Eingangs- und Elektromagnetische Verträglichkeit (EMV) üblich
den Ausgangsklemmen einer Stromversorgung (Abschn. 17.4). Funkstörungen können sowohl
müssen auch die auf die Stromversorgung einwir- leitungsgebunden als auch gestrahlt sein.
Mechanische Belastungen treten vor allem bei
J. Gutekunst () Beschleunigungen auf, die sehr unterschiedli-
E-Mail: jgutekunst@web.de che Ursachen haben können. Die Beschleunigung

© Springer-Verlag GmbH Deutschland 2017 793


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_17
794 J. Gutekunst

Tab. 17.1 Gebräuchliche Versorgungsspannungen


U=V f =Hz Anwendungsbeispiele
230=400 50 Europäisches Verbundnetz
Toleranz nach IEC 38:
C6%, 10%,
„Technische Wechselspannung“
115=200 60 USA, Kanada
400 Flugzeug, Schiff
60 0 Amtsbatterie in den Fernmeldeäm-
tern der Deutschen Bundespost
48 0 Fernmeldeverwaltungen,
Fernsprech-Nebenstellenanlagen Abb. 17.1 Schnittstellen einer Stromversorgung mit der
28 0 Nickel-Cadmium-Batterien Umgebung
in Flugzeugen
24 0 Bleibatterien in Fahrzeugen
12 0 (Starterbatterien)
den (Erhöhung der Störfestigkeit) und um eine
Fehlerfortpflanzung (der erste Fehler ist die Ur-
sache für Folgefehler) zu verhindern. Ohne ei-
kann linear, impuls- oder sinusförmig sein, aber
ne Potenzialtrennung würde beispielsweise ein
auch die Form eines Rauschsignals aufweisen.
Durchlegieren (Kurzschluss) eines zwischen dem
Zum Eingang einer Stromversorgung gehört
Eingang und dem Ausgang liegenden Transistors
die Versorgungsspannung. Wird eine Stromver-
dazu führen, dass die Ausgangsspannung gleich
sorgung am Netz betrieben, dann darf der Ab-
der Eingangsspannung wird. Stromschleifen ent-
leitstrom (Fehlerstrom gegen Erde) nur sehr klein
stehen durch Induktionsspannung an einer von
sein, um eine Personengefährdung auszuschlie-
zwei Leitern gebildeten Fläche, auf die ein ma-
ßen.
gnetisches Wechselfeld einwirkt.
Eine Fernbedienung (Ein- und Ausschalten)
und Status-Ausgänge (z. B. ein logisches Signal,
das abgegeben wird, wenn alle Ausgangsspan-
nungen innerhalb eines vorgegebenen Toleranz- 17.1.2 Transformator
bandes liegen), können Bestandteil einer Strom-
versorgung sein. Beispielsweise benötigt man für
Mit einem Transformator werden mindestens
den Betrieb von Mikrocontrollern oft zwei Steu-
zwei Spulen durch einen gemeinsamen Eisen-
ersignale von der Stromversorgung. Ein Reset-
kern induktiv miteinander gekoppelt. Die Be-
Signal wird von der Stromversorgung erzeugt
zeichnung Transformator beschreibt seine Funk-
und ermöglicht einen definierten Start eines Mi-
tion; denn er transformiert beispielsweise eine
kroprozessors. Beim Ausfall der Eingangsspan-
230-V-Wechselspannung in eine Funktionsklein-
nung ist ein zweites Signal erforderlich, um die
spannung und trennt diese sicher vom Netz. In
Ausgänge von batteriegepufferten Halbleiterspei-
Abb. 17.2 sind die Schaltung, das Wicklungs-
chern hochohmig zu schalten.
schema und das Ersatzschaltbild dargestellt.
Im Ersatzschaltbild (Abb. 17.3) besteht der
ideale und verlustfreie Transformator nur aus den
17.1.1 Potenzialtrennung beiden Wicklungen N1 und N2 .
Die an der Primärwicklung liegende Span-
Eine Potenzialtrennung zwischen dem Eingang nung u1 bewirkt eine magnetische Flussänderung
und dem Ausgang einer Stromversorgung kann d˚1 =dt.u1 D N1 d˚1 =dt/, mit der in der Se-
aus Sicherheitsgründen unumgänglich sein. Bei kundärwicklung die Spannung u2 induziert wird;
kleinen Eingangsspannungen ist eine Potenzial- dabei gilt: u2 D N2 d˚2 =dt. Bei einem idealen
trennung sinnvoll, um Stromschleifen zu vermei- Transformator ist ˚1 D ˚2 , und somit ergibt sich
17 Spannungsversorgungen 795

Abb. 17.2 Schaltung und


Wickelschema eines Trans-
formators. a Schaltung,
b Wickelschema

Abb. 17.3 Ersatzschaltbild


des Transformators

das Übersetzungsverhältnis uR zu Scheitelwert der Induktion ist. Für rechteckför-


mige Spannungen und symmetrische Ansteue-
uR D u1 =u2 D N1 =N2 : rung ist U D 2NAB=t.O Der Faktor 2 ergibt sich
dadurch, dass der Induktionshub doppelt so groß
Die Spannungen werden im Verhältnis der Win- O da die Hyste-
ist wie der Wert der Induktion B,
dungszahlen, d. h. mit dem Übersetzungsverhält- O O
reseschleife von B nach CB durchlaufen wird.
R transformiert.
nis u, Wenn BO wieder erreicht wird, ist die Periode
Hat der Fluss ˚ den zeitlichen Verlauf ˚ D T D 2t abgelaufen, und mit T D 1=f lautet die
˚O cos!t, dann wird u D N ! ˚O sin.!t/, wo- Transformatorformel:
bei ˚O der induzierte Fluß im Scheitelpunkt
ist. Durch den zeitlichen Verlauf der Spannung U D 4NABfO : (17.3)
u D uO sin.!t/ ist die induzierte Scheitelspan- O
nung uO D N ! ˚. O Aus der Definition für den B und A sind Konstanten, die vom Material
(z. B.: geschichtetes Dynamoblech oder Ferrit)
quadratischen Mittelwert (Effektivwert)
und seiner Geometrie bestimmt sind. Für BO wird
ZT der für das Kernmaterial und die Kernform op-
1
U D
2 2
u dt (17.1) timale Wert gewählt. (Beispiel: für EI 42 bis
T EI 120, Dynamoblech IV, einer Umgebungstem-
0
peratur von #U D 50 ı C und einer Wicklungs-
folgt das Verhältnis von Effektivwert zu Spitzen- temperatur von #W D 115 ı C liegen Bopt zwi-
wert der Spannung für sinusförmige Größen: schen 1,38 T und 1,47 T). Als die magnetisch
wirksame Fläche A wählt man den Wert für den
U 1 effektiven magnetischen Querschnitt Ae . Wenn
Dp :
uO 2 die Verluste und Streuungen des Transformators
vernachlässigbar sind, d. h. die Kupferverluste
Mit ˚O D BA O ergibt sich die Transformatorfor- (R , R ) und der Eisenverlust (R ) sehr klein
1 2 E
mel für sinusförmige Spannungen: sind, dann ist UE D U1 , P1 D P2 und U1 I1 D
U2 I2 , so dass gilt
2
U D p f NABO ; (17.2)
2 U1 =U2 D N1 =N2 D I2 =I1 D uR : (17.4)

wobei f die Frequenz, N die Windungszahl, Das bedeutet: die Spannungen U sind proportio-
A die magnetisch wirksame Fläche und BO der nal und die Ströme I sind umgekehrt proportio-
796 J. Gutekunst

Abb. 17.4 Einfaches


Transformator-Netzteil

nal zu den Windungszahlen N . Dies nutzt man Sicherung (Schmelzsicherung) F1 , einem Voll-
beispielsweise bei Stromwandlern zum potenzi- brücken-Gleichrichter (D1 , D2 , D3 und D4 ) und
alfreien Messen von Strömen aus. Eine streu- und einem Elektrolytkondensator C1 zur Siebung der
verlustarme Bauweise vorausgesetzt, kann man gleichgerichteten Wechselspannung.
reelle Widerstände, aber auch komplexe Wider- Für die meisten Anwendungen benötigt man
stände transformieren. Die übersetzten Größen eine stabile Spannung mit niedrigem Innenwi-
R0 ; L0 , und C 0 für den Widerstand R, die Induk- derstand und kleiner Welligkeit. Die an dem
tivität L und die Kapazität C verhalten sich wie Siebkondensator C1 anliegende, in ihrer Ampli-
folgt: tude schwankende Spannung wird dazu durch
R0 D uR 2 R einen Längsregler (Verlustregler) nachgeregelt.
Als Längsregler verwendet man meistens einen
L0 D uR 2 L (17.5) integrierten Spannungsregler (z. B. vom Typ A
1 7805 C für eine Ausgangsspannung von 5 V)
C0 D 2C :
uR zusammen mit einem ausgangsseitigen Elektro-
lytkondensator C2 (Abschn. 17.1.5).
Für ein einfaches Ladegerät (z. B. für Blei-
17.1.3 Transformator-Netzteil akkumulatoren) reicht ein Gleichrichter an der
Sekundärseite des Transformators aus; ein La-
Transformator Netzteile findet man nach wie vor degerät für Nickel-Cadmium-Akkumulatoren be-
in allen Leistungsklassen. Ihr einfacher Aufbau nötigt nur noch einen zusätzlichen Widerstand.
macht sie auch heute noch für kleinere Leistun- Wird anstelle eines Verlustreglers ein pulsbrei-
gen attraktiv und weist eine Reihe von Vorteilen tengeregelter Spannungswandler verwendet, so
auf: spricht man von einer sekundär getakteten Strom-
 geringe Kosten, versorgung (Abschn. 17.2).
 hohe Zuverlässigkeit und
 geringe Funkstörungen.
Bei technischen Wechselspannungen verwendet 17.1.4 Gleichrichter-Schaltungen
man zur sicheren elektrischen Trennung und
zur Spannungsuntersetzung Transformatoren mit Die nachfolgenden Beschreibungen beziehen
Kernen aus Dynamoblech (DIN 41 302 T1). So- sich auf den Betrieb von Gleichrichter-Schaltun-
genannte EI-Blechschnitte, die zu Blechpaketen gen an einem 50-Hz-Netztransformator.
zusammengeschweißt werden, ermöglichen ei-
ne automatische und damit eine kostengünstige 17.1.4.1 Einweg-Gleichrichter
Fertigung. Ein einfaches Transformator-Netzteil, Die Einweggleichrichtung erfolgt mit nur ei-
dessen Schaltung Abb. 17.4 wiedergibt, besteht ner Diode (Abb. 17.5). Während jeder positi-
im Wesentlichen aus den folgenden Bauelemen- ven Halbwelle wird der Kondensator C fast bis
ten: einem Transformator Tr mit einer eingebau- auf den Spitzenwert der Transformator-Wech-
ten Temperatursicherung F2 , einer Geräteschutz- selspannung UT aufgeladen. Sobald die positive
17 Spannungsversorgungen 797

Abb. 17.5 Einweggleich- U


richtung

sinusförmiger Spannungsverlauf am Transformator


posive Halbwelle nach Gleichrichtung
Ausgangsspannung am Lastwiderstand

Abb. 17.6 Zweiweg- U


gleichrichtung

sinusförmiger Spannungsverlauf am Transformator


posive Halbwelle nach Gleichrichtung
Ausgangsspannung am Lastwiderstand

Amplitude der Wechselspannung unter die am den, da der speisende Transformator mit einem
Kondensator C anliegende Spannung absinkt, Gleichanteil belastet wird. Dieser Nachteil ist mit
sperrt die Gleichrichterdiode D, und es fließt nur einer Vollweg-Gleichrichterschaltung zu vermei-
noch Strom aus dem Kondensator in den Lastwi- den (Abb. 17.7).
derstand RL .
Der Spannungsverlauf am Lastwiderstand RL 17.1.4.2 Halbbrücke oder
ist dabei von der Last und die Größe des Konden- Mittelpunkt-Schaltung
sators abhängig. Der Kondensator hat dabei die Mit Vollweg-Gleichrichtern werden die positive
Aufgabe, die Zeit zwischen zwei Halbwellen zu und die negative Spannungshalbwelle gleichge-
überbrücken, was zu einem exponentiellen Span- richtet. Der Ladekondensator C wird mit der
nungsverlauf zwischen zwei Spitzenwerten führt, doppelten Netzfrequenz geladen, so dass im Ver-
wie in Abb. 17.5 rechts dargestellt ist (Entlade- gleich zum Einweg-Gleichrichter eine kleinere
kurve). Brummspannung erreicht wird (Abb. 17.6). Bei
Bei reiner Widerstandslast und mit einer idea- der Mittelpunkt-Schaltung fließt der Strom in ei-
len Diode würde für die Dauer einer halben Peri- ner Periode T durch jede der beiden Dioden und
ode ein rein sinusförmiger Strom fließen. Durch jede Teilwicklung für die Zeitdauer von t < T =2.
die Kapazität des Siebkondensators und den In- Der Wickelsinn der Transformator-Wicklun-
nenwiderstand des Transformators fließt inner- gen ist durch einen Punkt gekennzeichnet. Bei
halb jeder Halbperiode nur kurzzeitig Strom. einer positiven Halbwelle auf der Primärseite
Hierdurch können der Dioden-Spitzenstrom und liegt an allen gekennzeichneten Teilwicklungen
sein Effektivwert wesentlich größer werden als des Transformators Tr ebenfalls eine positive
der Mittelwert des Diodenstroms. Da nur eine Spannung. Solange die Spannung an der oberen
Diode an dem Stromfluss beteiligt ist, entspricht Sekundärwicklung größer als die Spannung am
der mittlere Diodenstrom auch dem Ausgangs- Kondensator C ist, leitet die Diode D1 .
gleichstrom IA . Die Einwegschaltung sollte für Die Spannungen an den beiden Wicklungen
Transformator-Netzteile nicht angewendet wer- sind entgegengesetzt gleich groß. Deshalb ist
798 J. Gutekunst

Abb. 17.7 Vollbrücken-


schaltung nach Graetz U
(Graetz-Schaltung)

sinusförmiger Spannungsverlauf am Transformator


posive Halbwelle nach Gleichrichtung
Ausgangsspannung am Lastwiderstand

die Dioden-Sperrspannung UR der Gleichrichter


doppelt so hoch wie die Spitzenspannung des
Transformators (UR D 2U ).
Da der Transformator, im Gegensatz zu den
übrigen Schaltungen, zwei Sekundärwicklungen
haben muss, wird der Transformator-Wirkungs-
grad kleiner als der eines Transformators mit
Vollbrücken-Gleichrichter. Abb. 17.8 Spannungsverdoppelung nach Delon

17.1.4.3 Vollbrücke (Graetz-Schaltung) Glättungskondensator einen maßgeblichen Ein-


Da bei dem Vollbrücken-Gleichrichter (Abb. fluss auf die Restwelligkeit.
17.7) immer zwei Dioden gleichzeitig leitend Der quadratische Mittelwert des Transforma-
sind (Serienschaltung), ist der Gleichrichter- torstroms bestimmt seine übertragbare Leistung.
Wirkungsgrad schlechter als derjenige einer Diese ist geringer als die Nennleistung des Trans-
Halbbrücken-Schaltung. Weil nur eine Sekundär- formators, da diese sich auf reine Widerstands-
wicklung benötigt wird, kann man mit dickerem Last bezieht.
Kupfer-Querschnitt wickeln, als dies mit zwei
Wicklungen bei gleichem Wickelraum möglich 17.1.4.4 Spannungsverdoppler
ist, und der verringerte Kupferwiderstand ergibt (Delon-Schaltung)
einen verbesserten Wirkungsgrad des Transfor- Oft werden Gleichspannungen benötigt, die we-
mators. sentlich höher sind als die zur Verfügung stehen-
Während der positiven Halbwelle werden die de Transformatorwechselspannung. In solchen
beiden Dioden D1 und D4 leitend. Die negative Fällen kommen Spannungsverdoppler oder Span-
Halbwelle wird von den Dioden D2 und D3 gleich- nungsvervielfacher in Betracht. In Abb. 17.8 ist
gerichtet. Da die Zeitdauer, während der ein Di- ein Spannungsverdoppler als Delon-Schaltung
odenstrom fließt, wesentlich kleiner sein kann als dargestellt. Diese Schaltung ist auch als sym-
eine Periode T , sind die Diodenströme nicht sinus- metrischer Spannungsverdoppler bekannt. Vom
förmig, und ihre Spitzenwerte sind wesentlich grö- Transformator aus gesehen entspricht die Wir-
ßer als der Laststrom IA . Außerdem ist zu beach- kungsweise derjenigen von zwei antiparallel ge-
ten, dass der Effektivwert des Diodenstroms (qua- schalteten Einweg-Gleichrichtern.
dratischer Mittelwert) und damit auch der Trans- In der positiven Halbwelle wird der Kondensa-
formatorstrom höher sind als der Ausgangsstrom tor C1 über den Gleichrichter D1 geladen und in
IA (arithmetischer Mittelwert). der negativen Halbwelle wird der Kondensator C2
Der Spannungsverlauf am Lastwiderstand ent- über die Diode D2 geladen. Von dem mit einem
spricht genau dem Spannungsverlauf einer Zwei- dreieckigen Potenzialsymbol gekennzeichneten
wegegleichrichtung. Auch hier haben Last und Fußpunkt des Transformators aus betrachtet sind
17 Spannungsversorgungen 799

Abb. 17.9 Spannungsverdoppelung und -vervielfachung nach Villard

beide Kondensatorspannungen entgegengesetzt 17.1.4.6 Spannungs-Vervielfacher


gleich groß (symmetrisch). Die Ausgangsspan- nach Villard
nung setzt sich aus der Summe beider Konden- Ein auf der Villard-Schaltung basierender Span-
satorspannungen zusammen. nungs-Vervielfacher ist im rechten Teil von
Eine Kombination von Graetz-Gleichrichtung Abb. 17.9 wiedergegeben. Diese Schaltung be-
und Delon-Spannungsverdoppler verwendet man nennt das angloamerikanische Schrifttum nach
häufig, wenn beispielsweise ein Schaltnetzteil fürCockcroft-Walton. Der Kondensator C2 ist be-
das amerikanische Netz (115 Volt) und das eu- reits auf die doppelte Transformator-Scheitel-
ropäische Netz (230 Volt) geeignet sein soll. Im spannung aufgeladen, wenn sich während der
230-V-Betrieb werden die in Serie geschalte- negativen Halbwelle der Kondensator C3 über
ten Kondensatoren C1 und C2 von dem Graetz- die Diode D3 auf die zweifache Transformator-
Gleichrichter geladen. Scheitelspannung auflädt. In der darauffolgenden
Bei einer Netzspannung von 115 V stellt man positiven Halbwelle liegt die Transformatorspan-
zwischen dem Fußpunkt des Transformators Tr nung mit den Spannungen von C1 und C2 in
und dem Mittelpunkt der beiden Ladekondensa- Reihe. Da die Spannung UTr gleich der Spannung
toren eine Verbindung (z. B. Drahtbrücke) her. UC1 ist und UC2 gleich 2  UTr ist, wird nun die
Die Schaltung arbeitet dann als Verdoppler, an Ausgangsspannung UA auf den vierfachen Wert
deren Funktion die Dioden D3 und D4 nicht be- der Transformator-Scheitelspannung angehoben.
teiligt sind. Die Spannung am Kondensator C4 ist gleich groß
wie die Spannung am Kondensator C2 .
Um noch höhere Spannungen zu erzeugen,
können weitere Stufen angefügt werden (Kaska-
17.1.4.5 Spannungs-Verdoppler dierung). Eine von mehreren Varianten besteht in
(Villard-Schaltung) der Kombination von der Villard- mit der Delon-
Eine unsymmetrische Spannungs-Verdoppler- Schaltung.
Schaltung ist in Abb. 17.9 dargestellt. Während
einer negativen Halbwelle lädt sich der Konden- 17.1.4.7 Ladungspumpe
sator C1 über die Diode D1 auf den Scheitelwert Abbildung 17.10 zeigt eine Schaltung zur Er-
der Transformator-Wechselspannung auf. In der höhung von Spannungen mit einem kleinen
positiven Halbwelle lädt sich der Kondensator Leistungsbedarf ohne Transformator. Mit die-
C2 über die Diode D2 auf. Auf die Transforma- ser Schaltung wird die Eingangsspannung na-
torspannung UTr stockt sich die Kondensator- hezu verdoppelt. Dieses Prinzip verwendet man
spannung UC1 auf, so dass die Spannung an C2 nicht nur innerhalb von integrierten Schaltungen,
nahezu der doppelten Transformator-Scheitel- sondern es eignet sich beispielsweise auch zur
spannung entspricht. Ein wesentlicher Vorteil der Erzeugung von Gate-Spannungen für MOSFET-
Villard-Schaltung besteht darin, dass die Span- Transistoren.
nungen leicht aufzustocken (d. h. zu vervielfa- Durch das Steuersignal USt werden die beiden
chen) sind. Transistoren wechselweise ein- und ausgeschal-
800 J. Gutekunst

Abb. 17.11 Blockschaltbild und Funktionsprinzip eines


Längsreglers
Abb. 17.10 Prinzipschaltbild einer Ladungspumpe

Shunt- oder Parallelregler dagegen ist das Stell-


tet. Nachdem der n-Kanal-Transistor T2 einge- glied parallel zur Last angeordnet. Das Verhalten
schaltet worden ist, lädt sich der Kondensator C1 eines Shuntreglers ist mit dem einer Zenerdiode
über die Diode D1 auf. Daran anschließend sperrt vergleichbar.
der Transistor T2 und der p-Kanal-Transistor T1
schaltet ein. Nun wird die am Kondensator C1 17.1.5.1 Längsregler
liegende Spannung auf die Eingangsspannung UE Längsregler haben wegen ihrer einfachen Hand-
aufgestockt und ein Teil von dessen Ladung über habung, insbesondere in integrierter Form, eine
die Diode D2 in den schon durch die Eingangs- große Verbreitung. Nachteilig ist nur ihr schlech-
spannung geladenen Kondensator C2 gepumpt. ter Wirkungsgrad. Integrierte Regler benötigen
Die Ausgangsspannung würde nahezu die zwischen Eingang und Ausgang, abgesehen von
doppelte Eingangsspannung erreichen, wenn sie einigen Spezialtypen, wenigstens 3 V Differenz-
nicht um die Schwellspannungen der beiden Di- spannung. Bei konstanter Last ist der Eingangs-
oden D1 und D2 verringert würde. strom eines Längsreglers konstant und bildet, von
Eine Invertierung der Eingangsspannung er- der Quelle aus gesehen, eine Stromsenke. Des-
hält man durch das Verlegen der beiden Dioden halb hat die Eingangskennlinie im Idealfall eine
in die Rückleitung. Dabei zeigen deren Kathoden unendliche Steigung.
auf den Source-Anschluss des Transistors T2 , und Abbildung 17.11 zeigt das Funktionsprinzip
der Knoten zwischen den beiden Dioden mit dem eines Längsreglers mit verschiedenen Schaltmög-
Kondensator C1 bleibt verbunden. Der Betrag der lichkeiten.
Ausgangsspannung entspricht der Eingangsspan- Die Grundschaltung ist in Abb. 17.11 darge-
nung, die um zwei Dioden-Schwellspannungen stellt. Die ungeregelte und mit dem Innenwider-
verringert ist. Mit dem aus den Transistoren T1 stand RI behaftete Eingangsspannung UE wird
und T2 gebildeten Treiber lassen sich auch Span- über das durch den npn-Transistor T realisierte
nungsvervielfacher nach Villard ansteuern, mit Stellglied in ihrer Amplitude beeinflusst.
denen sich höhere Spannungen beider Polaritäten Erhöht sich UE , so wird die Eingangsspan-
ohne Transformator verwirklichen lassen. nungs-Differenz UI des Regelverstärkers UI >
j0 Vj. Diese Differenzspannung wird bei der Ver-
wendung eines Operationsverstärkers IC mit des-
17.1.5 Lineare Regler sen Maximal-Verstärkung verstärkt und damit der
Basisstrom IB solange verringert, bis im Ideal-
Lineare Regler (engl.: linear regulators), auch fall die Eingangs-Spannungsdifferenz UI D 0 V
Verlustregler genannt, sind als Längsregler oder ist. Im ausgeregelten Zustand ist die Ausgangs-
Shuntregler ausgeführt. Ist der als Stellglied wir- spannung gleich der Referenzspannung (UA D
kende Transistor zwischen dem Eingang und dem URef ).
Ausgang, also im Längszweig angeordnet, so Eine aus nur wenigen Bauelementen bestehen-
spricht man vom einem Längsregler. Bei einem de Stabilisierungs-Schaltung in Form eines Emit-
17 Spannungsversorgungen 801

Da die Zenerspannung höher als die Ausgangs-


spannung ist, wird diese mit R1 und R2 auf
den Wert der Ausgangsspannung geteilt, so dass
URef D UA ist.

Beispiel 17.2-1
Wie hoch ist die Zenerspannung UD2 , wenn
bei der angegebenen Dimensionierung die
Abb. 17.12 Einfacher Aufbau eines Längsreglers mit Hil- Ausgangsspannung UA D 5;2 V ist? Die
fe einer Zenerdiode
Offsetspannung und die Eingangsströme des
Operationsverstärkers können vernachlässigt
werden.
terfolgers ist in Abb. 17.12 wiedergegeben. Ein
Soll-Istwert-Vergleich fehlt bei dieser Lösung.
Die Ausgangsspannung UA ist die Diffe- Lösung  
renz von Zener- und Basis-Emitter-Spannung des Mit UZ D UA 1 C R 1
R2
wird die Zenerspan-
Längstransistors T . nung UZ D 6;3997 V.
In Abb. 17.13 ist im Teil a die Schaltung Solange die Strombegrenzung nicht aktiv
eines mit Operationsverstärkern IC realisierten ist, bleibt die Diode D1 gesperrt. Als Reg-
und vollständig dimensionierten Längsreglers mit ler für die Strombegrenzung ist der Teil 1.2
rückläufiger Kennlinie (engl.: fold back) darge- des Operationsverstärkers D vorgesehen. Die
stellt. Durch eine rückläufige oder einziehen- Strombegrenzung setzt ein, wenn der mit dem
de Strom-Begrenzungskennlinie vermindert sich Widerstand R8 gemessene Strom den gleichen
die Verlustleistung PV im Längstransistor (Stell- Spannungsabfall ergibt, wie den an dem Wi-
glied). Diese kann bei aktiver Strombegrenzung derstand R6 eingestellten. Über die Diode D1
mit der Formel wird dem Spannungs-Regelverstärker IC1:1 ei-
ne zu hohe Ausgangsspannung simuliert. Die
  Vergleichsspannung an dem Widerstand R6
IA  Ik
PV D IA UE  UA nom (17.6) wird aus der Summe der Kollektorströme
IA max  Ik
IC der Transistoren T1 und T2 gebildet. Bei
Vernachlässigung der Basisströme sind die
beschrieben werden. Emitterströme gleich den Kollektorströmen
Abbildung 17.13b zeigt die Ausgangskenn- (Anmerkung: n-Kanal-MOSFET-Transistoren
linien für einen maximalen Ausgangsstrom wären an dieser Stelle nahezu ideal, da der
IA max D 1 A und drei unterschiedliche Kurz- Drain-Strom gleich dem Source-Strom ist).
schluss-Ströme IK . Der Kollektorstrom IC2 des Transistors T2
Die am Stellglied bei einer Eingangsspannung wird von der Referenz-Spannung durch den
von UE D 8 V auftretende Verlustleistung PV ist Widerstand R9 und der Kollektorstrom IC1
in Abb. 17.13c dargestellt. Um auch Lasten (elek- von der Spannung über R7 bestimmt. Die
tronische Schaltungen) versorgen zu können, die Spannung, die an dem Widerstand R7 liegt, ist
mit sehr großen Kondensatoren abgeblockt wer- mit der Ausgangsspannung identisch.
den oder deren Kennlinie nichtlinear ist, wer-
den Kurzschussströme IK > 0 A gewählt. In den
meisten Fällen ist ein Kurzschlussstrom von Beispiel 17.2-2
IK D 0;3 Imax ausreichend. Der Spannungsreg- a) Bei welchem Strom IA max setzt die Strom-
ler besteht aus den Transistoren T3 und T4 , einem begrenzung ein?
Operationsverstärker (Teil 1 vom Typ LM 324) b) Wie hoch kann der Kurzschlussstrom IK
und der geteilten Zenerspannung (Abb. 17.8a). werden?
802 J. Gutekunst

Abb. 17.13 Längsregler mit rückläufiger Kennlinie. a Stromlaufplan, b rückläufige UI -Ausgangskennlinie, c Verlust-
leistung PV am Stellglied

Lösung b) Im Kurzschlussfall ist der Kollektorstrom


a) Der Einsatzpunkt der Strombegrenzung IC1 D 0 A, da an R7 keine Spannung
(IA max ) und damit der Maximalstrom wird mehr anliegt. Der Kurzschlussstrom be-
wie folgt ermittelt: trägt IK D 153 mA.
Mit
17.1.5.2 Integrierte Spannungsregler
IA max D .IC1 max C IC2 /R6 =R8
Von den integrierten Spannungsreglern (engl.:
und mit monolithic voltage regulators) sind besonders die
Ausführungen mit integriertem Längstransistor
IC1 max D UA max =R7 und IC2 D URef =R9 und nur drei Anschlüssen von Bedeutung.
Diese sind, jeweils für positive und negative
wird Spannungen, als Festspannungsregler oder ein-
IA max D 476 mA : stellbare Spannungsregler erhältlich (Tab. 17.2).
17 Spannungsversorgungen 803

Tab. 17.2 Integrierte Spannungsregler


Festspannungsregler Einstellbare Regler
Ausgangsspannung=V 5, 6, 8, 12, 15, 24
Ausgang I 0;1 A I > 0;1 A I 0;1 A I > 0;1 A
Positiv A 78 Lxx A 78 xxC LM 317 L LM 200
LM 2931 xx LM 2931 C LM 317
Negativ LM 79 Lxx A 79 Mxx – LM 337

Fünfpoliger IC für externen Strom-Messwiderstand

Tab. 17.3 Eigenschaften von integrierten Spannungsreglern


Parameter Fest-Spannungsregler Einstellbare Spannungsregler
Maximale Eingangsspannung 40 V 40 V
Referenzspannung URef 1;25 V ˙ j 4j%
Ausgangsspannung UA UAnom ˙ j 10j%
Temperatur-Koeffizient Tk 200 ppm=K 80 ppm=K
Lastausregelung
(bei max. Änderung von IA und UE D konstant/ UAnom ˙ j 2j% UAnom ˙ j 1j%
Minimale Spannungsdifferenz zwischen Eingang 0;6 V U 3;3 V 2;5 V
und Ausgang

genaue Angaben der Hersteller fehlen (ppm, engl.: parts per million).

Ihre typischen Regeleigenschaften sind in


Tab. 17.3 zusammengestellt.
Die Differenzspannung zwischen dem Ein-
gang und dem Ausgang muss mindestens 3 V
betragen, abgesehen von Typen mit einem
pnp-Längstransistor. Zusätzlich zu einer Strom-
begrenzung wird auch die Kristalltemperatur auf
#j D 125 ı C begrenzt. Durch die Wahl eines
Kühlkörpers mit definiertem Wärmewiderstand
kann man die maximal mögliche Verlustleistung Abb. 17.14 Einstellbarer dreipoliger Spannungsregler
des Reglers begrenzen. Die interne Schaltung von
integrierten und einstellbaren dreipoligen Reg-
lern liegt zwischen ihrem Eingang und dem Aus- den, da sich sonst der Spannungsabfall von dem
gang. Da ihr Ruhestrom in die Last fließt, muss Ausgangs-Leitungswiderstand auf die Referenz-
der Laststrom spannung aufstocken würde. Den Fußpunkt von
R2 aber kann man, um den Widerstand der Rück-
IA > 0 A .IA min
5 mA/ leitung zu kompensieren, als negative Fühlleitung
bis zur Last führen. Der Strom I1 D URef =R1 ist
sein, um ein Hochlaufen der Spannung zu ver- konstant. Deshalb verursacht der Strom Iadj nur
meiden. Die Referenzspannung (URef D 1;25 V/ an R2 einen Fehler (Iadj  R2 /. Damit ist die Aus-
wird zwischen dem Anschluss „Adjust“ und dem gangsspannung wie folgt zu bestimmen:
Ausgang und damit auch an dem Teilwiderstand
R1 D 240  aufgebaut. Abbildung 17.14 zeigt UA D URef .1 C R2 =R1 / C Iadj  R2 ;
einen einstellbaren dreipoligen Spannungsregler
mit seiner äußeren Beschaltung.
mit
Der Widerstand R1 soll unmittelbar an den
Ausgangsanschluss des Reglers platziert wer- Iadj 100 A:
804 J. Gutekunst

Abb. 17.15 Integrierter Shuntregler TL 431. a Innen- und Außenbeschaltung, b Stromlaufsymbol (amerikanische Dar-
stellungsweise)

Um den Innenwiderstand zu verringern, darf man eine Referenz-Spannungsquelle (URef ) und einen
den Ausgang mit C2 und parallel zu R2 mit einem Operationsverstärker mit nachgeschaltetem Dar-
Kondensator C3 beschalten. Um bei längeren lington-Transistor und ist universell einzusetzen.
Eingangsleitungen (1
15 cm/ ein Oszillieren Der Regler dient als einstellbare Zenerdiode und
zu vermeiden, wird der Eingang mit C1 abge- auch als Referenz-Spannungsquelle für U > URef
blockt. Unter Abblocken ist das Einfügen von nach Abgleich eines externen Spannungsteilers
Kondensatoren zu verstehen, die den Einfluss der oder für pulsbreitengeregelte Stromversorgun-
Induktivität von Zuleitungen oder der Schaltung gen. Hierzu wird in Serie zum Widerstand RV
auf die Versorgungsspannung beseitigen. die Diode eines Optokopplers geschaltet. Mit den
Beispielsweise verhält sich die Ausgangsim- Widerständen R1 und R2 wird die Ausgangsspan-
pedanz des Spannungsreglers LM 317 induktiv. nung auf den Wert der Referenzspannung geteilt.
Um ein Schwingen dieses Reglers und vergleich-
barer Typen zu vermeiden, soll für den aus-
gangsseitigen Abblockkondensator C2 der Kapa-
zitätsbereich 0;1 F C2 20 F vermieden 17.2 Spannungswandler
werden. Außerdem soll der Kondensator C2 kei-
ne hohe Güte haben, weshalb Folienkondensato- In elektrischen Geräten und Anlagen sind eine
ren zum Abblocken von Längsreglern ungeeignet Vielzahl unterschiedlicher Spannungen notwen-
sind. dig. Während ein Elektromotor eine sehr hohe
Spannung benötigt, sind für den Betrieb von
17.1.5.3 Shuntregler Mikroprozessoren und Ablaufsteuerungen sehr
(Parallel-Stabilisierung) kleine Spannungen notwendig. Die Anpassung
Werden nur kleine Ströme benötigt (mA- an die geforderten Gegebenheiten übernehmen
Bereich), so kann die Verwendung eines Shunt- Spannungswandler.
reglers vorteilhaft sein. Spannungswandler sind in unterschiedlichen
In Abb. 17.15 ist der Regler TL 431 zusam- Ausführungen verfügbar. Die bedeutendsten
men mit seiner externen Beschaltung dargestellt. sind:
Bei ihm ist der als Stellglied dienende Transistor  Netztransformatoren (Abschn. 17.1),
zwischen dessen Eingang und seiner Bezugs-  Sperrwandler,
masse angeordnet. Der Regler ist mit Querströ-  Durchflusswandler und
men bis zu IS 100 mA belastbar. Er enthält  Resonanzwandler.
17 Spannungsversorgungen 805

Abb. 17.16 Verschiedene Ausführungen der Spannungsumsetzung

Während der Netztransformator direkt aus der  Triacs,


Wechselspannung des Netzes betrieben werden  Power MOSFET und
kann (passiver Wandler), erzeugen die anderen  IGBTs.
Wandlertypen ihre Wechselspannung selbst. Da-
zu zerhacken sie die angelegte Gleichspannung Verwendung Es entsteht eine getaktete Span-
und formen sie in eine Wechselspannung um. nung, die mit Hilfe eines Energiespeichers, wie
Abbildung 17.16 gibt eine Übersicht über die Kondensator oder Drossel, aber auch durch einen
verschiedenen Wandler sowie die notwendigen Transformator in eine andere Spannung umge-
Baugruppen zum Betrieb. formt werden kann. Im letzten Fall spricht man
In den nachfolgenden Abschnitten soll spezi- auch von einem potenzialgetrennten Spannungs-
ell auf die getakteten Stromversorgungen einge- wandler.
gangen werden. Inzwischen gibt es eine Vielzahl von Wandler-
prinzipien, die allesamt auf die speziellen Leis-
tungsbedürfnisse oder Applikationen abgestimmt
17.2.1 Prinzip der getakteten sind. Man unterscheidet:
Stromversorgung  Tiefsetzsteller (Abwärtswandler),
 Hochsetzsteller (Aufwärtswandler),
In getakteten Stromversorgungen (gelegentlich  Eintaktsperrwandler (auch als Inverswandler
auch Schaltregler genannt) wird eine Gleichspan- bezeichnet),
nung mit Hilfe von Halbleiterschaltern in eine  Eintaktdurchflusswandler und
Wechselspannung umgewandelt. Als Halbleiter-  Gegentaktwandler.
schalter finden Da in diesem Buch nicht alle Wandlertypen
 Thyristoren, ausführlich behandelt werden können, stellt
 Leistungstransistoren, Abb. 17.17 die Wandlerprinzipien, ihre Einsatz-
806

Drosselwandler (Potentialgebundene Wandler) Transformatorische Wandler (Potentialfreie Wandler)


Tiefsetzsteller Hochsetzsteller Sperrwandler Durchflusswandler Gegentaktwandler
L L D ü:1 ü:ü:1 L + L
+ + + + + + + +
S + C1
S1
UE UA UE UA T D C UA T D2 D1 C UA
D C S C C UA UE
– – – – UE D3
– UE –
S –
S D1 C2 S
– 2
– – D2
Kurzbeschreibung
Bei geschlossenem Schalter fließt Bei geschlossenem Schalter fließt Ist der Primärkreis geschlossen, Hier ist bereits ein Stromfluss wäh- Während den untershiedlichen
der Strom durch die Drossel und der Strom durch die Drossel und wird im Transformator magnetische rend des geschlossenen Schalters Schaltphasen auf der Primärseite
wird zum Teil in magnetische wird zum Teil in magnetische Energie gespeichert. Da während möglich. Bei offenem Schalter sorgen die beiden Diodensekundär-
Energie umgewandelt. Diese wird Energie umgewandelt. Diese wird dieser Zeit die Diode im Sekundär- sperrt D2 und über D3 wird der seitig für den Stromfluss zum Ver-
während der Sperrphase in elek- während der Sperrphase in elek- kreis sperrt, wird keine Energie Stromfluss durch die Speicherdros- braucher. Dabei bleibt der Trans-
trische Energie zurückgewandelt. trische Energie zurückgewandelt. übertragen. Wird der Schalter ge- sel ermöglicht. formator gleichstromfrei.
Beim Hochsetzsteller liegt diese öffnet, wird die Polarität umgekehrt Die dritte Wicklung des Trafos ist
Spannung in Reihe mit der Ein- und die gespeicherte Energie kann zur Abmagnetisierung notwendig.
gangsspannung, so dass die Aus- zum Ausgang übertragen werden.
gangsspannung um diese
Spannung erhöht wird.

Leistungsbereich
bis 200 W bis 200 W bis 100 W bis 200 W 200 W bis 3.000 W
Wirkungsgrad
hoch gut mittel mittel hoch
Anwendungen
Spannungskonstanthalter Spannungshochsetzungen Mehrfachspannungen, einfache Netzteile für hohe
Nachregelungen Sonderausgangs- z.B. PC-Netzteil, Eingangsspannungen
spannungen Videorekorder und hohe Leistung
Kosten
niedrig niedrig niedrig mittel hoch

Abb. 17.17 Übersicht über die wichtigsten getakteten Spannungswandler


J. Gutekunst
17 Spannungsversorgungen 807

Hilfsspannung Leistungs-
endstufe Gleich-
richter Filter Filter

Filter Gleichrichter Filter


UA
CM CM
Über-
UE CM DM
wachung

Option
U0
Oszillator Optokoppler U

Option

Steuerung Regelverstärker
und Referenz
galvanische
Trennung

Abb. 17.18 Blockschaltbild einer pulsbreitengeregelten Stromversorgung

gebiete, ihre Vorteile und deren Kosten in einergegenüber Längsreglern (Verlustregler) wesent-
Übersicht zusammen. lich größeren Wirkungsgrad. Bei konstanter Aus-
Die wichtigsten Baugruppen eines vollständi- gangsleistung bleibt auch die Eingangsleistung
konstant. Die Eingangskennlinie ist demnach hy-
gen Wandlers sind in Abb. 17.18 dargestellt: Über
zwei Filter gelangt die Eingangsspannung UE perbelförmig, woraus sich ein negativer Ein-
an den Transformator der Leistungsendstufe, in gangswiderstand ergibt. Normalerweise wird die
welcher sie in diesem Beispiel von einem MOS- Schaltfrequenz fs konstant gehalten, das heißt,
FET zerhackt wird. Die gleichgerichtete und mit die Einschaltzeit tein und die Ausschaltzeit taus
nur einer Stufe gefilterte Sekundärspannung wirdsind variabel. Abbildung 17.19 verdeutlicht die
von einem Regelverstärker mit einer Referenz- variablen Ein- und Ausschaltzeiten bei konstan-
spannung verglichen. Dem Regelverstärker nach- ter Schaltfrequenz. Das Verhältnis von Pulsbreite
zu Pulspause wird als Duty-Cycle bezeichnet und
geschaltet ist ein Optokoppler zur potenzialfrei-
en Informationsübertragung auf die Primärseite. wird üblicherweise in Prozent angegeben.
Der Fototransistor ist mit einem Pulsbreitenmo- Meistens wählt man für die Schaltfrequenz
dulator (PWM) verbunden, der seinerseits die fs eine Frequenz, die deutlich über dem Hörbe-
Leistungsendstufen ansteuert. Der Regelkreis istreich des menschlichen Ohres liegt. Zum Beispiel
somit geschlossen. ist eine Pulsbreitenmodulation mit variabler Fre-
Eine Hilfsspannung versorgt die Steuerelek- quenz und konstanter Einschaltzeit oder starrer
tronik, die üblicherweise aus der Leistungsend- Ausschaltzeit möglich, aber wegen des oft hohen
stufe gewonnen wird. Nur während der Ein- Aufwandes zur Funkentstörung nicht sinnvoll. In
schaltphase oder bei einem Fehler erhält man dieder Praxis verwendet man Schaltfrequenzen im
Hilfsspannung direkt aus der Eingangsspannung. Bereich 20 kHz < fs < 150 kHz, wobei der Be-
reich 30 kHz < fs < 50 kHz am häufigsten anzu-
Steuerung durch Pulsbreitenmodulation Die treffen ist.
Steuerung oder Regelung der Ausgangsspannung
bei getakteten Wandlern erfolgt durch Pulsbrei-
tenmodulation. Dabei wird die Eingangspannung 17.2.2 Durchflusswandler
UE periodisch unterbrochen und mit variabler
Pulsbreite wieder eingeschaltet. Die Verwendung Die Bezeichnung Durchflusswandler beschreibt
von Pulsbreitenmodulation zur Spannungsrege- die prinzipielle Arbeitsweise eines im Folgenden
lung von Stromversorgungen ermöglicht einen nur noch Flusswandler genannten Spannungsum-
808 J. Gutekunst

Der Flusswandler ist dadurch charakterisiert,


dass während der Einschaltdauer tein des Schalt-
transistors (hier symbolisch durch den Schalter S
dargestellt) der Strom i1 vom Eingang über die
Speicherdrossel L zum Ausgang des Wandlers
fließt. Die während der Einschaltzeit tein des
Schalters S von der Drossel L aufgenommene
Energie wird bei wieder geöffnetem Schalter taus
über die Freilaufdiode D (engl.: catch diode)
an den Ausgang des Wandlers abgegeben (hier
durch den Strom i2 dargestellt). Durch das Spei-
chern von Energie während der Einschaltzeit und
deren Abgabe bei geöffnetem Schalter S (Sperr-
phase taus / bildet die Speicherdrossel mit Hilfe
der Freilaufdiode D und des Siebkondensators C2
den Mittelwert der zerhackten Eingangsgleich-
spannung. Die Amplitude der Ausgangsspannung
UA entspricht dem arithmetischen Mittelwert der
mit dem Tastverhältnis d (engl.: duty cycle)
durchgeschalteten Eingangsspannung UE . Es gilt:

UA D d UE : (17.7)

Das Tastverhältnis d berechnet sich zu

d D t1 =T : (17.8)

Abb. 17.19 Pulsbreitenmodulation bei konstanter Schalt-


Diese Beziehung gilt nur bei nicht unterbro-
frequenz, f D 1=tPeriode a 50% Duty-Cycle, b 33% chenem Drosselstrom IL . Das bedeutet, dass
Duty-Cycle, c 66% Duty-Cycle
der Drosselstrom IL auch während der gesam-
ten Sperrphase des Schalttransistors fließen muss
setzers. Bei ihm findet der Energiefluss vom Ein- (Abb. 17.20).
gang zum Ausgang nur während der Einschaltzeit Die Schaltfrequenz leitet sich einfach aus der
des Schalttransistors statt (davon leitet sich auch Periodendauer ab und ergibt sich zu:
der Name Flusswandler ab, da der Energietrans-
port während des eingeschalteten Transistors er- f D 1=T
(17.9)
folgt). Der Flusswandler hat neben seiner Grund- f D 1=.tein C taus / :
form, dem Tiefsetzsteller, zahlreiche Varianten.
Aber auch Mischformen, also Kombinationen Im Folgenden wird für die Beschreibung der
von Flusswandlern und Sperrwandlern sind be- Grundschaltung von verlustfreien Bauelementen
kannt. ausgegangen. Dies betrifft im Besonderen den
Schalter S (z. B. Transistor, MOSFET), die Frei-
Tiefsetzsteller Mit Tiefsetzsteller bezeichnet laufdiode D, die Speicherdrossel L und den Spei-
man die Grundschaltung des Durchflusswandlers cherkondensator C2 . In der Praxis muss jedoch
(engl.: buck converter oder step down converter) hier vor allem
wie sie in Abb. 17.20 aufgezeigt ist. Auch die  die kapazitive Bürde der Speicherdrossel und
Bezeichnung Drosselwandler findet gelegentlich  die Sperrerholzeit der Freilaufdiode
Verwendung. von den Entwicklern berücksichtigt werden.
17 Spannungsversorgungen 809

Abb. 17.20 Betriebsweise + S i1 L +


des Tiefsetzstellers
i2
+ +
= UE C1 C2 UA RL
D
UD
Ansteuerung

– –

Drosselstrom
i
i2
ΔIL
iA i
1

Diodenspannung T
UD
t1 t2 t
für ΔIA > ΔIL/2
UA
UF
t minimaler
tein taus Drosselstrom
i
i2
iA
ΔIL
i1
t1 t2 t

Kernstück des Tiefsetzstellers ist der Schal- Zeitpunkt t2 erneut geschlossen wird. Es gilt:
ter S. Im geschlossenen Zustand fließt der Strom
I1 durch die Speicherdrossel L in den Konden- IL D UA tAus =L ;
(17.11)
sator C2 und in die Last RL . Über der Drossel IL D UA .T  tein /=L :
liegt die Differenzspannung zwischen Eingang
und Ausgang (UE – UA ). Der Drosselstrom steigt Der Drosselstrom durch die Induktivität hat einen
dabei von seinem Minimalwert zum Zeitpunkt t0 dreiecksförmigen oder trapezförmigen Verlauf.
über die Zeit um den Betrag IL linear an. Dies Wird der Schalter im selben Moment wieder ge-
wird durch folgendes Integral beschrieben: schlossen, wenn der Drosselstrom zu null wird,
so erhält man einen dreieckförmigen Verlauf mit
der Amplitude IL (Abb. 17.20). Dieser Strom
ZT IL kennzeichnet den kleinsten kontinuierlichen
1
IL D IL min C ULdt (17.10) Drosselstrom und damit auch den minimalen Aus-
L gangsstrom.
0

I Hinweis: In diesem Verhalten ist auch die An-


Wird der Schalter S zum Zeitpunkt t1 geöffnet, schaltung einer Minimallast begründet. Vie-
so fließt der stetig sinkende Drosselstrom I2 über le Netzteilhersteller geben einen Mindeststrom
die Diode D. Dabei muss der Stromfluss solange an, ab dem die Spannungsversorgung dem Da-
aufrecht erhalten werden, bis der Schalter S zum tenblatt und der Spezifikation entspricht. Ein
810 J. Gutekunst

bekanntes Beispiel sind die Netzteile im Perso- Spannungsübertragung


nal Computer (PC). Ohne angeschaltete Last ist + N1 T N2 L +
ein Betrieb nicht möglich.
D2
+
Der minimale Ausgangsstrom ergibt sich dem- + +
= C1 D3 C2 U A RL
nach zu:
IA min D IL =2 : (17.12)
S –
Er wird dann erreicht, wenn der Drosselstrom UE D1
auf der Nulllinie aufsetzt. Dies wird auch als – N3
die Lückgrenze der Drossel bezeichnet. In diesem Abmagnetisierungskreis
Punkt kann keine Energie mehr übertragen wer-
den. Der rückführende Regelkreis versucht dies Abb. 17.21 Eintakt-Flusswandler
auszugleichen, was einen Anstieg der Ausgangs-
spannung zur Folge hat. Die Verwendung einer
 die Energiespeicherung übernimmt und
Grundlast ist hier also zwingend vorgeschrieben,
 für die galvanische Trennung
da sonst die Zerstörung nachgeschalteter Bau-
von Primärkreis und Sekundärkreis sorgt. In
gruppen durch Überspannung droht.
Abb. 17.21 ist der prinzipielle Aufbau eines Ein-
takt-Flusswandlers mit galvanischer Trennung
Hinweise zur Dimensionierung von Speicher-
aufgezeigt. An die Stelle des Schalters S beim
drosseln Zur Dimensionierung von Speicher-
Tiefsetzsteller in Abb. 17.20 ist die Diode D2
drosseln wendet man unterschiedliche Verfahren
getreten. Der Schalter selbst liegt nun in Reihe
an. Manche Hersteller von Ferriten geben eine
mit der Primärwicklung N1 des Transformators T.
Hanna-Kurve an, die für eine Kernform mit ei-
Bei diesem Aufbau spricht man von einem pri-
nem Material gültig ist. In den Hanna-Kurven
mär getakteten Schaltregler.
werden L  I 2 über der optimalen Durchflutung
Der Transformator T bringt dabei folgende
als Funktion des Luftspalts dargestellt. Nicht be-
Vorteile in die Schaltung ein:
rücksichtigt ist die fast ausschließlich durch den
 galvanische Trennung beider Stromkreise und
Kupferwiderstand verursachte Eigenerwärmung
 Realisierung eines optimalen Tastverhältnis-
(Kupferverluste) der Drossel. Deshalb ist eine ta-
ses.
bellarische Angabe von optimierten Werten für
Letzteres wird durch ein geeignetes Übertra-
eine vorgegebene Temperaturerhöhung # pra-
gungsverhältnis ü erreicht. Die zusätzliche Wick-
xisgerecht. Hierin sind angegeben: L  I 2 und die
lung N3 wird als Abmagnetisierungswicklung be-
dazugehörigen optimalen Werte für N  I , die
zeichnet und ist aufgrund der Begrenzung der
Breite des Luftspaltes sL und die effektive Über-
Feldstärke durch die Neukurve notwendig. Der
lagerungspermeabilität eff ./ bei einer definier-
Wickelsinn ist umgekehrt zu den beiden anderen
ten Temperaturerhöhung T . Andere Hersteller
Drosseln.
beschränken sich auf die Angabe der reversiblen
Die Eingangsspannung UN2 des Tiefsetzstel-
Permeabilität in Abhängigkeit von der Feldstärke
lers auf der Sekundärseite leitet sich aus der Ein-
H mit der effektiven Permeabilität als Parame-
gangsspannung und dem Übertragungsverhältnis
ter. Diese Kurven gestatten eine Abschätzung
uR D N1 =N2 ab:
des Verlaufs der Induktivität bei zunehmender
Gleichstromvormagnetisierung. UN2 D UE  uR ;
(17.13)
UN2 D UE  N1 =N2 :
Eintakt-Flusswandler Eine der häufigsten Va-
rianten des Tiefsetzstellers ist der Eintakt-Fluss- Während der Einschaltdauer tein des Schalters S
wandler. Sein augenfälliges Merkmal ist die Ver- ist der übersetzte Ausgangsstrom IN10 D I1S N1 =N2
wendung eines Transformators, der des Transformators von seinem eigenem Magne-
17 Spannungsversorgungen 811

tisierungsstrom ImT überlagert. Für den Primär- Diode D3 in den Eingang zurück. An der
strom gilt damit: Wicklung N3 liegt die Spannung UN3 D UE 
UF .D3/ . Demnach ist in der Sperrphase die
I1 D ImT C I1S N1 =N2 : (17.14) Spannung an der Wicklung N1

Nach dem Öffnen des Schalters S fließt der Ma- 1d


UN1 D UN3 oder
gnetisierungsstrom des Transformators ImT über d
die Wicklung N3 zurück zur Quelle. Die Span- N1
UN1 D .UE  UF (D3) /:
nung über der Wicklung N3 ergibt sich aus der N3
Eingangsspannung UE und der Flussspannung UF
Damit wird die Kollektorspannung
an der Diode D3 . Entsprechend dem Übertra-
gungsfaktor uR wird diese Spannung auch auf die UCE D UN1 C UE
Wicklung N1 übergekoppelt und addiert sich zur
N1
Eingangsspannung UE . Die Spannung am offenen D .UE  UF(D3) / C UE :
Schalter ergibt sich somit zu: N3

Für das Beispiel ergibt sich, dass an der Wick-


US_offen D UE C UN10 : (17.15) lung N1 die Spannung UN1 D 10;25 .24;8 V 
0;25
0;8 V/ D 72 V liegt und damit am Kollektor
Dies ist besonders wichtig für die Auswahl des UCE (T) D UN1 C UE D 72 V C 24;8 V D
Schalters, der in der Regel als MOSFET oder 96;8 V. Dies ist die höchste Kollektorspan-
Transistor ausgeführt wird. Er muss eine sehr nung. Die maximale Kollektorspannung für
hohe Spannungsfestigkeit aufweisen, so dass er UF (D3)  UE erhält man mit der vereinfach-
durch die induktiven Spitzen nicht zerstört wird. ten Beziehung
Um eine Sättigung des Transformatorkerns zu
verhindern, muss die während der Einschaltzeit UCE max D UE max .1 C N1 =N3 / : (17.17)
tein des Transistors gebildete Spannungszeitflä-
che U  tein gleich der Spannungszeitfläche zum Bei einem maximalen Tastverhältnis dmax
Abmagnetisieren UE  taus sein. Dabei wird die 0;5 würde die Kollektorspannung nur 48,8 V
Spannungszeitfläche auf eine Windung bezogen. betragen.

Beispiel 17.2-1 Ein maximales Tastverhältnis dmax 0;5 ist


Wie hoch wird die Kollektorspannung UCE sehr einfach zu verwirklichen. Bei den meisten
eines Transistors T , wenn das Tastverhältnis Konvertern wird d 0;5 gewählt, da einerseits
d auf dmax D 0;25 begrenzt ist, die Ein- die hierzu erforderlichen Diodensperrspannun-
gangsspannung UE D 24;8 V und die Dioden- gen und andererseits eine nicht unnötig hohe
Durchfluss Spannung UF (D3) D 0;8 V beträgt? Kollektorspannung eine wirtschaftliche Lösung
erleichtern. Außerdem bietet man eine große Zahl
Lösung von integrierten Steuer-Schaltkreisen an, deren
Mit UN tein D UN3 taus und d D tein Tastverhältnis d < 0;5 ist. Bei einem Tastver-
T wird UN3 D
d
U und mit UN3 D N3 wird das Verhältnis
UN1 N1 hältnis d D 0;5 muss das Windungszahlverhält-
1d N1
der Windungen zueinander nis N1 =N3 D 1=1 sein, womit die maximale
Kollektorspannung fast der doppelten Eingangs-
N1 1d spannung entspricht. Um den Transistor nicht zu
D : (17.16) gefährden, ist der sichere Arbeitsbereich (engl.:
N3 d
Safe Operating Area D SOAR) unbedingt ein-
In der Sperrphase des Transistors muss der zuhalten. Es muss sichergestellt sein, dass die-
Trafo über seine Wicklung N3 abmagnetisie- ser Bereich insbesondere beim Ausschalten des
ren. Der Magnetisierungsstrom fließt über die Transistors, also während des Übergangs vom
812 J. Gutekunst

gesättigten in den gesperrten Betrieb, niemals Anhand nachstehender Beziehungen kann man
überschritten werden kann. das Windungsverhältnis N1 =N2 ermitteln. Unter
Die Wahl einer geeigneten Gleichrichter- Berücksichtigung der Dioden-Fluss-Spannung
Technologie (Schottky-Dioden oder bipolare Di- gilt:
oden mit schneller Sperrerholzeit) ist von der UA D d ŒUN2 C UF(D1)

maximalen Dioden-Sperrspannung abhängig und UA


soll näher besprochen werden. Nur die Gleich- UN2 min  UF(D1)
dmax
richterdiode D2 soll näher betrachtet werden, UN2 min
da diese eine höhere Sperrspannung als die N2 D N1
UE min
Freilaufdiode D1 haben muss. Zur Vereinfa- UA  dmax  UF(D1)
chung vernachlässigt man die Flussspannungen N2 D N1 :
dmax UE min
der Dioden, die Sättigungsspannung des Tran-
sistors und die Kupferwiderstände der indukti- 17.2.2.1 Flusswandler Varianten
ven Bauelemente. In der Sperrphase bestimmt
die übersetzte Spannung der Abmagnetisierungs- Zwei-Transistor-Flusswandler (double ended
Wicklung UN3 zusammen mit der Ausgangs- forward converter) Stehen zur Realisierung
spannung und der eventuell ausschwingenden von Eintakt-Flusswandlern mit hohen Ein-
Drossel-Spannung die für D2 erforderliche Sperr-gangsspannungen nicht ausreichend sperrfähige
spannung. Schalttransistoren zur Verfügung, dann bietet
In der Sperrphase ergeben sich folgende Ein-sich dieser Konverter an (Abb. 17.22).
zelspannungen: Den in Abb. 17.22 dargestellten Konverter
bezeichnet man auch als Flusswandler mit asym-
UN2 D N2 =N3 .UE C NF.D3/ / : metrischer Halbbrücke. Mit Ausnahme der nach-
folgend erwähnten Besonderheiten arbeitet dieser
An D1 liegen die Sperrspannungen UR :
Wandler wie der Eintakt-Flusswandler. Bei dem
Zwei-Transistor-Flusswandler werden die beiden
UR(D1) D UN2  UF.D2/ ;
Transistoren T1 und T2 gleichzeitig eingeschal-
positiver Drosselstrom (Normalfall). tet und damit die Eingangsspannung UE an die
Primärwicklung NP des Transformators Tr1 ge-
UR(D1) D UN2 C UA C uO L : legt. Nach dem gleichphasigen Ausschalten bei-
der Transistoren fließt der vom Transformator
Die Drossel lückt und schwingt mit ihrer eige- aufgenommene Magnetisierungsstrom über die
nen Resonanzfrequenz. Für den Grenzfall einer Dioden D3:2 und D3:1 in den Eingang zurück. Zu
lückenden Drossel gilt: dem bedeutendsten Vorteil dieses Wandlertyps
gegenüber dem Eintakt-Flusswandler gehört sei-
UR(D1) UN2 C 2UA : ne niedrige Transistor-Sperrspannung. Diese ist
mit der Eingangsspannung UE nahezu identisch
Im Grenzfall stockt sich der momentane Maxi- und es gilt
malwert der frei ausschwingenden Drosselspan-
nung UL auf die statische Ausgangsspannung auf. UCE (T1) D UE C UF.D3.1/ und
Dieser Fall kann unbeabsichtigt eintreten, wenn UCE (T2) D UE C UF.D3.2/ :
der Konverter abgeschaltet werden soll oder die
Last zu stark verringert wird. Die maximale Ein zusätzlicher Vorteil ist das Fehlen einer se-
Sperrspannung beträgt: paraten Abmagnetisierungs-Wicklung am Leis-
tungstransformator Tr1 . Diesen Vorteilen steht
N2 ein vergleichsweise höherer Schaltungsaufwand
UR(D1) max ŒUE max C UF(D3)
C 2UA :
N3 gegenüber. Der Transistor T2 muss gegenüber
(17.18) dem Transistor T1 potenzialgetrennt angesteuert
17 Spannungsversorgungen 813

Abb. 17.22 Zwei-


Transistor-Flusswandler

Abb. 17.23 Eintakt-


Flusswandler mit
Koppeldrossel

werden. Dieses geschieht durch den zusätzlichen In der Sperrphase bestimmt der Kondensator
Transformator Tr2 . Außerdem sind jeweils zwei mit der jeweils höheren Spannung den weiteren
Leistungstransistoren und Abmagnetisierungsdi- Ablauf. Solange in der Sperrphase der Kondensa-
oden notwendig. tor C3 eine höhere Spannung hat als der Konden-
sator C2 , bewirkt dieser über den Strom i3:2 und
Eintakt-Flusswandler mit Koppeldrossel Die- die als Transformator wirkende Koppeldrossel
se Schaltungsvariante eines Durchflusswandlers den Strom i3:1 . Die transformatorische Kopplung
(Abb. 17.23) verzichtet auf separate Speicher- bleibt solange wirksam, bis für die Ströme gilt:
drosseln für jeden Ausgang. Dieses Prinzip ist
bei jedem Flusswandler anwendbar und beson- iS1 D iS2 und i3:1 D i3:2 :
ders vorteilhaft, wenn die Ausgangsspannungen
gleich hoch sind. Wenn außerdem beide Aus- Gegentaktwandler Bei einem Gegentaktwand-
gangsströme gleich sind, arbeitet die Koppeldros- ler (engl.: push pull converter; Abb. 17.24) arbei-
sel wie zwei voneinander getrennte Speicherdros- ten die beiden Transistoren T1 und T2 im Gegen-
seln. takt. Jeder der beiden Transistoren wird innerhalb
Bei ungleichen Ausgangsströmen wirkt die einer Periode für eine jeweils gleich große Zeit-
Koppeldrossel zusätzlich als Transformator. Wäh- dauer durchgeschaltet. Durch die gegenpolige
rend der Flussphase des Transistors T verhalten Aussteuerung des Transformator-Kernmaterials
sich die Ausgänge, als wären die Transformator- werden alle vier Quadranten der BH-Schleife
wicklungen N2:1 und N2:2 wechselspannungsmä- durchlaufen. Der Mittelwert des Flusses im
ßig parallel geschaltet. Wird beispielsweise der Transformator ist gleich null. Prinzipiell kann
Ausgang 2 weniger belastet als der Ausgang 1, der Transformator eines Gegentaktwandlers klei-
dann steigt die Spannung der Wicklung N2:2 et- ner sein als derjenige eines Eintaktwandlers, da
was an, die Diodenfluss-Spannung UF(D5) sinkt, der Transformatorkern, zumindest theoretisch,
und die Spannung am Kondensator C3 steigt über um B D 2BO aussteuerbar ist. Bei Eintaktwand-
den Wert der Spannung des Kondensators C2 an. lern ist der Kern des Transformators nur um
814 J. Gutekunst

Abb. 17.24 Gegen-


taktwandler (push-pull
converter)

B D BO  Br aussteuerbar. Br ist die im Kern mator-Kern unsymmetrisch und bis zu seiner


bleibende Remanenzflussdichte (Remanenz). Sättigung ausgesteuert wird, ist eine Symmetrie-
Während der Transistor T1 eingeschaltet ist, regelung erforderlich.
fließt der Primärstrom i1 und über die Diode Andere Ausführungen eines Gegentaktwand-
D1 der sekundärseitige Strom i1S . Bei einge- lers sind im Teilbild 17.25a als Halbbrücken-Ge-
schaltetem Transistor T2 fließt der Primärstrom i2 gentaktwandler und im Teilbild 17.25b als Voll-
und der übersetzte Sekundärstrom i2S . Sind beide brücken-Gegentaktwandler dargestellt. Bei bei-
Transistoren gesperrt, dann fließt der Magnetisie- den Schaltungen ist die Kollektorspannung nur so
rungsstrom IL der Drossel L in die Mittelanzap- hoch wie die Eingangsspannung UE . Der Halb-
fung der Sekundärwicklung und teilt sich in die brücken-Gegentaktwandler wird oft mit einem
beiden Wicklungshälften auf. Spannungs-Verdoppler nach Delon kombiniert,
Die Spannungen an den beiden Teilwicklun- indem dieser die Kondensatoren C1 und C2 der
gen sind entgegengesetzt gleich groß, so dass die Brücke mitbenutzt (Abb. 17.8).
Summenspannung an der Wicklung null wird. In der Leitphase jeder der beiden Transistoren
Hierdurch ist die Sekundärwicklung zwischen liegt die Kondensatorspannung an der Primär-
den Anoden beider Gleichrichter-Dioden quasi wicklung.
kurzgeschlossen, und der Magnetisierungsstrom Bei dem Brücken-Gegentaktwandler sind die
des Transformators verringert sich nur sehr lang- jeweils diagonal gegenüberliegenden Transisto-
sam mit der Zeitkonstanten  D R=L. Dies be- ren gemeinsam eingeschaltet, und die Eingangs-
deutet eine nahezu unveränderte Aussteuerung spannung liegt an der Primärwicklung. Da insbe-
auf der Hysteresekurve (BH-Schleife) und somit sondere bei dem Brücken-Gegentaktwandler der
keine Rückkehr zum Remanenzpunkt. Um den Schaltungsaufwand sehr hoch ist, wendet man
vollen Induktionshub des Kernmaterials (˙B/ O dieses Wandlerprinzip nur bei Ausgangsleistun-
ausnützen zu können, ohne dass der Transfor- gen von mehreren hundert Watt an.

Abb. 17.25 Varianten des Gegentaktwandlers. a Halbbrücken-Gegentaktwandler, b Vollbrücken-Gegentaktwandler


17 Spannungsversorgungen 815

17.2.2.2 Transduktor (Sättigungsdrossel) i1 i2 D


+ –
Bei einem Transduktor nutzt man die meist recht-
eckige Form der Hystereseschleife eines hoch- i2
permeablen Kernmaterials aus, um diesen als +
= UE C1 C2 UA RL
Schalter zu verwenden. Solange das Kernmate- L +
rial noch Spannungs-Zeitfläche aufnehmen kann, Ansteuerung
ist der Schalter geöffnet. Die rechteckige Hyste- – +
reseschleife führt zu einem abrupten Übergang
in die Sättigung des Transduktorkerns und damit Abb. 17.26 Sperrwandler oder Inverswandler
zu einem Zusammenbruch der Spannung an sei-
nen Wicklungen, womit der Schalter geschlossen
ist. Der Arbeitspunkt kann, meist mit Hilfe einer
 Invers-Hochsetzsteller,
Steuerwicklung, auf der BH-Schleife verschoben
 flyback converter (engl.) oder
werden. Der Arbeitspunkt bestimmt die Ampli-
 buck-boost converter (engl.).
tude der Spannungs-Zeitfläche, die der Kern in
Der Sperrwandler liefert gegenüber der Ein-
jeder Schaltperiode aufnehmen soll. Nach dem
gangsspannung eine inverse Ausgangsspannung.
Erreichen der Sättigung steigt der Strom durch
In Abb. 17.26 sind die Grundelemente des Sperr-
die Speicherdrossel L linear an, bis der primär-
wandlers aufgezeigt. Gegenüber dem Tiefsetz-
seitige Transistor T abschaltet. Nun wird der
steller liegt die Speicherdrossel parallel zur Aus-
Transduktor TD mit dem Strom i3:3 soweit zu-
gangslast und wird bei geschlossenem Schalter
rückgesetzt, dass er in der darauffolgenden Peri-
durchflutet. In dieser Zeit verhindert die Diode
ode eine Spannungs-Zeitfläche aufnehmen kann,
D den Stromfluss zur Last (Betrieb in Sperrrich-
die ausreicht, um die Ausgangsspannung UA2
tung). Wird der Schalter geöffnet, so wird die ge-
konstant zu halten.
speicherte Energie der Drossel in den Ausgangs-
kreis abgegeben und erzwingt einen Stromfluss
i2 , der die Drossel gleichsinnig zu i1 durchflutet.
17.2.3 Sperrwandler An den Ausgangsklemmen liegt daher eine Span-
oder Inverswandler nung mit umgekehrter Polarität vor.
Eine Variante des Sperrwandlers ist der in
Abb. 17.27 dargestellte Hochsetzsteller. Das
Im Gegensatz zum Durchfluss-Wandler erfolgt
Schaltelement liegt hierbei parallel zur Aus-
beim Sperrwandler der Energiefluss nur während
gangsspannung, die Speicherdrossel in Serie mit
der Sperrphase des Schalttransistors. Da er oft für
der Eingangsspannung. Dieser Wandler stockt die
die Erzeugung negativer Spannungen eingesetzt
Eingangsspannung UE um die Drosselspannung
wird, spricht man auch von einem Inverswand-
UL auf, so dass sie größer und im Grenzfall gleich
ler. Wegen des trapezförmigen Drosselstromes
(für UL D 0 V) dieser ist. Mit diesem Wandlertyp
für IL > 0 wird der Sperrwandler auch vereinzelt
ist ein hoher Gesamtwirkungsgrad zu erreichen.
Trapez-Wandler genannt. Vernachlässigt man den
Bei nicht unterbrochenem Ausgangsstrom (IL

Innenwiderstand der Schaltung, so ist seine Aus-


0) gelten folgende Zusammenhänge für die Aus-
gangsspannung unabhängig von der Last.
gangsspannung UA und die Einschaltzeit tein :
Die Grundschaltung des Sperrwandlers er-
laubt sowohl den Aufbau eines Hochsetzstellers 1
(Aufwärtswandler) als auch der eines Tiefsetz- UA D UE und
1d 
stellers (Abwärtswandler). In der Literatur findet (17.19)
UE
man neben diesen an die Betriebsart angelehnten tein D T 1 
UA
Bezeichnungen auch noch
 Drossel-Inverswandler, Neben diesen Grundausführungen haben vor
 Umkehrsteller, allem noch Sperrwandler mit Transformato-
816 J. Gutekunst

UL D Amplitude dem Verbraucher angepasst und oft va-


+ +
riabel ist, stellt die USV eine Ausgangsspannung
zur Verfügung, die genau der Eingangsspannung
+ + entspricht. Dies bedeutet beispielsweise:
= UE C1 L C2 UA RL
 Eingangsspannung: 230 V, 50 Hz und
 Ausgangsspannung: 230 V, 50 Hz.
– – Im Bereich der Maschinentechnik finden sich
auch Sonderausführungen der USV, die speziell
Ansteuerung auf den Sensor=Aktor-Einsatz abzielen. Sie sind
in der Lage, die dort üblichen 24 V im Falle ei-
Abb. 17.27 Hochsetzsteller
nes Netzausfalls zu puffern. Die Anwendung der
24-V-USV ist eng mit der Sicherheitstechnik ver-
knüpft und kommt überall dort zum Einsatz, wo
ren Bedeutung erlangt. Sie ermöglichen es,
Positions- und Lagemeldungen auch bei einem
durch mehrere Sekundärkreise eine Eingangs-
Spannungsausfall gemeldet werden müssen und
spannung UE in mehrere Ausgangsspannungen
nicht verloren gehen dürfen. Auch Aktoren (z. B.
(UA1 bis UAn ) umzusetzen. Die Regelung er-
Hydraulikventile, Motorbremsen) werden unter
folgt dabei auf die wichtigste Ausgangsspan-
bestimmten Umständen während eines Span-
nung; alle anderen werden freilaufend durch das
nungsausfalls weiter versorgt, bis ein sicherer
Übersetzungsverhältnis des Transformators be-
Zustand der Maschine erreicht ist. Neben mög-
stimmt.
lichen Personenschäden gilt es auch wirtschaft-
liche Schäden zu vermeiden. Abbildung 17.28
I Hinweis: Bei PC-Netzteilen erfolgt die Rege- zeigt den Einsatz einer 24-V-USV im Maschinen-
lung auf die Hauptspannung C5 V. Die Genau-
bereich.
igkeit der Spannungen C12 V und 12 V sind
Die nachfolgenden Ausführungen beschrän-
davon indirekt abhängig.
ken sich auf eine unterbrechungsfreie Stromver-
sorgung für den Netzbetrieb. Sie sind jedoch im
Aufbau und in der Wirkungsweise auf alle ande-
ren USV-Geräte übertragbar.
17.3 Unterbrechungsfreie
Stromversorgungen (USV)
17.3.1 Aufbau der USV
Das Prinzip der unterbrechungsfreien Stromver-
sorgung (USV) entspricht in den Grundlagen Eine USV wird überall da eingesetzt, wo mit
der Wechselrichtertechnik, wobei die Ansprüche instabilen Netzversorgungen zu rechnen ist. Sie
jedoch erheblich einfacher sind. Da in zuneh- soll vor allem Computer und Steuerungsrechner
menden Maße die Datenverarbeitung an den Ma- bei Spannungseinbruch vor Datenverlust schüt-
schinen an Bedeutung gewonnen hat und die zen. Dies ist insbesondere dann wichtig, wenn ein
Leitrechnertechnik im direkten Zusammenhang Neuanlauf eines Betriebssystems durch schad-
mit den Maschinen zu sehen ist, werden an die- hafte Daten nicht mehr gewährleistet ist. Die
ser Stelle die Grundlagen und Eigenschaften der Aufgaben einer USV sind:
USV etwas ausführlicher betrachtet.  Überbrückung kurzer Netzeinbrüche,
Die unterbrechungsfreie Stromversorgung  Signalisierung des Netzausfalls,
(engl. uninteruptable power supply, UPS) stellt  Ausgleich von Netzschwankungen und
ein Sonderfall der Wechselrichtertechnik dar.  Unterdrückung von Netzstörungen.
Während ein Netzumrichter eine Ausgangsspan- Auf die letzten beiden Punkte wird in Ab-
nung zur Verfügung stellt, die in Frequenz und schn. 17.3.2 eingegangen.
17 Spannungsversorgungen 817

Abb. 17.28 Einsatz einer


24-V-USV für Sicherheits-
kreise

Analog zum Wechselrichter besteht die USV konventionellen Aufbau auch die Ankopplung
aus drei Funktionsblöcken: über einen zusätzlichen Transformator bewährt,
 Eingangsfilter und Gleichrichtung und der den Batteriekreis erst im Abschaltmoment be-
 Zwischenkreis, bestehend aus nötigt. Dies hat den Vorteil, dass während des
– Laderegler und normalen Betriebs die Energie aus dem gleichge-
– Akkumulator sowie richteten Zwischenkreis der Netzspannung bezo-
 Wechselrichter. gen werden kann. Abbildung 17.30 verdeutlicht
Abbildung 17.29 zeigt die einzelnen Funktions- diese Variante.
gruppen in einem Blockschaltbild. Unabhängig vom Funktionsprinzip gibt es drei
Wird die Zwischenkreisspannung auf dem Ni- Betriebsarten der USV. Diese sind:
veau der Batteriespannung gehalten (meist 24 V
oder 48 V), so sind enorme Stromstärken für  Off-Line USV,
die Umrichtung in die Wechselspannung erfor-  On-Line USV und
derlich. Aus diesem Grund hat sich neben dem  On-Line USV mit Bypass.

Abb. 17.29 Block-


schaltbild einer
unterbrechungsfreien
Stromversorgung
818 J. Gutekunst

Abb. 17.30 Batte-


rieeinkopplung durch
HF-Übertrager

Abb. 17.31 Unterschied- a


liche Anschaltungen
unterbrechungsfrei-
er Stromversorgungen
a Offline-USV, b Online-
USV, c Online-USV mit
Bypass

In Abb. 17.31 sind die drei Prinzipien gegenüber- Der Einsatz in Rechenanlagen ist allerdings
gestellt. nicht ganz unproblematisch. Als hauptsächlicher
Unter Off-Line USV (Abb. 17.31a) versteht Nachteil ist der
man eine Stromversorgung, die erst nach einem  kurze Spannungseinbruch
Netzausfall zugeschaltet wird. Dies hat folgende als Folge des Umschaltens zu nennen. Dieser
Vorteile: beträgt in Abhängigkeit des eingesetzten Um-
 keine Zwischenkreisspannung erforderlich, schaltrelais bis zu einigen 100 ms oder – wie es
 niederohmige Netzankopplung und in der Fachsprache heißt – mehrere Netzhalbwel-
 kostengünstige Lösung. len. Diese Unstetigkeit in der Netzspannung wird
17 Spannungsversorgungen 819

in der Regel von den Überwachungseinrichtun-  Störeinstrahlungen (RFI, radio frequency in-
gen in den Rechnern erkannt und führt zu einer terference),
Notabschaltung.  aufmodulierte Absenkungen und Überspan-
Demgegenüber steht die On-Line USV, Abb. nungen (engl. sags and surges),
17.31b. Darunter versteht man eine echte un-  Frequenzschwankungen,
terbrechungsfreie Spannungsversorgung, die per-  Ausfall eines Teils oder einer ganzen Netzhalb-
manent aus dem Netz gespeist wird. Der Wech- welle (engl. drop-out) und
selrichter ist dabei stets aktiv, und die maximale  Netzausfall.
Last richtet sich nach der maximalen Ausgangs- In Abb. 17.32 sind die häufigsten Netzstörungen
leistung der USV. Der große Vorteil ist die gegenübergestellt. Im Nachfolgenden sollen ei-
 absolut unterbrechungsfreie Energieversor- nige Ursachen und Erklärungen zu den obigen
gung Begriffen diskutiert werden.
der angeschlossenen Teilnehmer. Ein eingebau-  Spannungsspitzen
ter Mikroprozessor erlaubt darüber hinaus die Spannungsspitzen sind kurze, einmalige Er-
gezielte Steuerung der angeschlossenen Geräte eignisse, die sich additiv auf die Netzspannung
sowie die Auswertung gespeicherter Daten für auswirken. Ursachen können beispielsweise
Statistiken. Als Nachteil ist sein:
 der höhere Aufwand und – Blitzschlag,
 die begrenzte Leistung – Abschaltung großer induktiver Verbraucher
zu nennen. Für Prozess- oder Leitrechner sowie (z. B. Schmelzöfen) oder
für Steuerungen im Maschinen- und Anlagenbau – Netzum- oder -zuschaltungen des Energie-
sind diese USVs zu bevorzugen. unternehmens.
Die dritte Betriebsart (Abb. 17.31c) sieht zu-  Transienten
sätzlich einen Bypass-Schalter vor. Damit kön- Bei Transienten handelt es sich um synchrone
nen kurzzeitige Überlastungen abgefangen und Störungen, die von Maschinen oder Geräten
dem Verbraucher die notwendige Energie bereit- verursacht werden, die aus demselben Netz
gestellt werden. Eine Überwachung meldet die gespeist werden. Entsprechend findet man auf
Überlast im einfachsten Fall durch eine optische jeder Halbwelle eine Störung des Kurvenver-
Anzeige. Ist dieser Zustand permanent gegeben, laufs.
kann die USV bei Spannungseinbruch die gefor-  Brown-Out
derte Leistung nicht zur Verfügung stellen. Es Als Brown-Out wird das Absinken der Netz-
droht ebenfalls Datenverlust. Der Planer muss in spannung infolge hoher Last bezeichnet. Da-
diesem Fall das System neu überdenken. bei erfasst ein typischer Brown-Out in der
Regel einen ganzen Ortschaftsteil und nicht
nur die entsprechende Werkhalle.
17.3.2 Störunterdrückung  Frequenzschwankungen
durch die USV Im öffentlichen Netz treten heute kaum noch
Frequenzschwankungen auf. Meist werden
Neben dem offensichtlichen Entgegenwirken bei diese von eigenen Generatoren verursacht.
Spannungseinbrüchen, ist der Einsatz einer USV  Drop-Out
auch bei einer ganzen Reihe weiterer Netzstörun- Mit Drop-Out wird ein sehr kurzer Span-
gen empfehlenswert. Dabei spielt die Netzumge- nungsausfall bezeichnet, der nur für einen Teil
bung, ob Industrie oder Büro, eine große Rolle. der Halbwelle auftritt. Ursächlich hierfür sind
Folgende Störungen können auftreten: meist Umschaltungen im Leitungsnetz.
 Spannungsspitzen, Da die USV die Eingangsspannung gleichrichtet
 Transienten (Schaltstörungen), und daraus die Ausgangsspannung selbst erzeugt
 Spannungsabsenkung durch Überlast (engl. (Generator), können alle obengenannten Netz-
brown-out), störungen beseitigt werden. Dies ermöglicht den
820 J. Gutekunst

Abb. 17.32 Verschiedene


Störungen der Netzspan-
nung

Betrieb von Geräten am Industrienetz, die ur- Lösung


sprünglich nicht dafür konzipiert worden sind. Der Operator muss eine Online USV ein-
Beispiele hierfür sind: setzen, da nur sie bei Spannungsausfall eine
 PC (Personal Computer) als Maschinensteue- lückenlose Versorgung der angeschlossenen
rung, Geräte gewährleistet. Für Rechner ist dies von
 Videoüberwachung im Prozessablauf, extremer Wichtigkeit, da sonst ein Datenver-
 Datenbanksysteme an Maschinen und lust droht.
 der Leitrechner in der Montagehalle.
Vergleicht man die Preise industrietauglicher
Produkte mit Standard-Produkten, so kann der 17.4 Entstörtechnik und Netzfilter
Einsatz einer USV rentabel sein. Darüber hinaus 17.4.1 Entstörkondensatoren
ist der Markt und damit die Vielfalt im Standard-
bereich erheblich größer. Entstörkondensatoren werden beispielsweise als
Netzfilter eingesetzt und können mit oder ohne
zusätzliche Induktivitäten arbeiten. Man unter-
Beispiel 17.2-1 scheidet demnach Kondensatoren für
In einem Rechenzentrum sollen zwei Groß-  C-Filter (ausschließlich kapazitive Filter) und
rechner an eine USV angeschlossen werden.  LC-Filter (Filter mit Spulen und Kondensato-
Welcher Typ ist dafür am besten geeignet? ren).

Abb. 17.33 Schaltung von X-Y-Kondensatoren. a X-Kondensator, b Y-Kondensator


17 Spannungsversorgungen 821

bestehen. Der Aufbau erfolgt dabei entweder in


einem platzsparenden Steckergehäuse oder in ei-
nem separaten Gehäuse, das vorgeschaltet wird
(Filtermodul). In manchen Ausführungen findet
man zusätzlich
 einen Ableitwiderstand sowie
 zwei längs geschaltete Eingangsdrosseln.
Diese Eingangsdrosseln verbessern die Filter-
wirkung bei asymmetrischen Störungen. Ab-
Abb. 17.34 Zusammenschaltung von X- und Y-Konden-
satoren bildung 17.35 zeigt den prinzipiellen Aufbau
und Wicklungssinn einer stromkompensierenden
Drossel.
Der Kondensator muss auf seinen Einsatz ab- Die in Abb. 17.35 dargestellte Ringkerndros-
gestimmt sein. So müssen Filter-Kondensatoren, sel ist ein wesentlicher Bestandteil der Filter-
die ohne Induktivität betrieben werden, sehr ho- schaltung. Ergänzt wird sie durch X- und Y-
he Ströme verlustarm führen können. Bei LC- Kondensatoren (Abschn. 17.2.1) und ergibt die in
Filtern muss auf eine ausreichende Spannungs- Abb. 17.36 unterschiedlichen Filterschaltungen.
festigkeit der Kondensatoren aufgrund der induk- Die Auslegung des Filters hängt dabei von der
tiven Spannungsspitzen geachtet werden. nachgeschalteten Baugruppe ab. Da ausschließ-
Einfache Netzfilter bestehen in der Regel aus lich leitungsgebundene Störungen unterdrückt
zwei Kondensatorgrundschaltungen. Man unter- werden können, ist festzulegen, welche
scheidet  Störungen von der Geräteseite und welche
 den X-Kondensator und  Störungen von der Netzseite
 den Y-Kondensator. zu erwarten sind. Letzteres kann in den seltens-
In Abb. 17.33 sind beide Entstörkondensato- ten Fällen vorhergesagt werden (der Entwickler
ren aufgezeichnet. Während der X-Kondensator weiß ja nicht, in welcher Umgebung das Gerät
zwischen den beiden Betriebsphasen liegt eingesetzt wird). Darüber hinaus wird die Filter-
(Abb. 17.33a) und so unsymmetrische Störun- größe auch durch die Stromaufnahme und durch
gen ableitet, werden die beiden Y-Kondensatoren die Betriebsspannung bestimmt.
mit dem Schutzleiter verbunden und wirken so
auf Gleichtaktstörungen (Abb. 17.33b). In der
Regel werden beide Entstörmaßnahmen zusam- 17.4.3 Dreiphasen Netzfilter
mengefasst (Abb. 17.34).
Speziell in der Antriebstechnik von Maschinen
und Anlagen ist es notwendig, eine entsprechen-
17.4.2 Netzfilter de Filterbaugruppe zwischen Netz und Verbrau-
cher zu schalten. Der Grund hierfür sind die
Netzfilter sind Baugruppen, die in der Regel aus steilen Schaltflanken, die bei der Umrichtung
 einer stromkompensierenden Drossel, der Dreiphasennetzspannung in eine in Frequenz
 einem Eingangs-X-Kondensator, und Amplitude gesteuerte (variable) Dreiphasen-
 einem Y-Kondensator und Motorspannung entstehen. Koppelkapazitäten im
 einem Ausgangs-X-Kondensator Motor und den Anschaltbaugruppen sorgen dar-

Abb. 17.35 Prinzipieller Ringkern


Aufbau einer Drossel
gegensinnige asymmetrischer Störstrom
Wicklungen symmetrischer Störstrom
822 J. Gutekunst

Drossel Drossel Drossel

CY1 CY1
Netz C L Last Netz CXN Last Netz Last
CXN R CXL
CY2 CXN CY2

X-Kondensator X-Kondensatoren X-Kondensator


Y-Kondensatoren Y-Kondensatoren
einfaches Netzfilter vollständiges Netzfilter Netzfilter mit zusätzlicher Siebung

Abb. 17.36 Netzfilter mit Ringkerndrosseln

Metallgehäuse 100
asymmetrische
L1 = 1 mH Messung
L1 L1‘ 80

Einfügedämpfung a0 in dB
L2 = 1 mH
60
L2 L2‘
L3 = 1 mH
40
L3 L3‘

20 symmetrische
Netz Netz Messung
C1 bis C3 = 2,2 μF
0
PE PE
Schutzleiter 1k 10k 100k 1M 10M 100M 1G
Frequenz f in Hz

Abb. 17.37 Einfaches 3-Phasiges Netzfilter Abb. 17.38 Einfügedämpfung eines Netzfilters als Funk-
tion der Frequenz

über hinaus für eine unsymmetrische Verzerrung


und somit für Leck- oder Ausgleichsströme. seite ohne Störungen zu erzeugen. Dabei sind bei
Der Aufbau eines solchen Filters hat somit der Dimensionierung des Filters zwei Punkte zu
zwei Aufgaben: beachten:
 die Unterdrückung störender Einstreupulse  Der Spannungsabfall über dem Filter soll
(Transienten) und möglichst gering sein, um ein Absinken der
 die zumindest teilweise Rückführung der Motorspannung zu vermeiden. Dies hat zur
Schutzleiterströme. Folge, dass die Induktivitäten möglichst ge-
Abbildung 17.37 zeigt einen einfachen Aufbau ring sein sollten. Um dennoch entsprechende
eines solchen Filters. Die Längsdrosseln sind auf Filter aufbauen zu können, muss die Kapazität
einen gemeinsamen Kern gewickelt, so dass die entsprechend erhöht werden.
stromkompensierende Wirkung bei asymmetri-  Die Ummagnetisierungsverluste aufgrund des
schen Störungen gegeben ist. Abbildung 17.38 höheren Stromrippels hat eine entsprechende
zeigt den zugehörigen Verlauf der Einfügedämp- Filtererwärmung zur Folge.
fung. Mit einem optimierten Sinusfilter sind auf der
In der Ansteuerung von Drehstrommotoren Grundschwingung nahezu keine Stromspitzen
kommen spezielle Sinusfilter zum Einsatz. Si- mehr zu erkennen. Der Oberwellenanteil ist sehr
nusfilter sind ebenfalls Drehstromnetzfilter und gering, so dass der angeschlossene Motor durch
haben die Aufgabe, die von Umrichtern erzeug- steile Flanken nicht mehr belastet wird. Den Auf-
ten hochfrequenten Störimpulse zu unterdrücken bau eines Sinusfilters zeigt Abb. 17.39. Es ist zu
und so die gewünschte Sinusform auf der Last- erkennen, dass eine ganze Reihe von Kapazitä-
17 Spannungsversorgungen 823

Abb. 17.39 Aufbau und a


Dämpfung eines Sinusfil- L1 L1‘
ters a 3-Phasen Sinusfilter,
b Einfügedämpfung (Quel- L2 L2‘
le: Siemens)
Netz Last
L3 L3‘

PE PE

b
Einfügedämpfung a0 in dB 100

80

60

40
Messung:
unsymmetrisch,
Abschluss der Nachbarzweige
20 asymmetrisch (common mode)
symmetrisch (differential mode)

0
10k 100k 1M 10M 100M
Frequenz f in Hz

ten eingebaut werden mussten, um einen niedri- c) SPS-Steuerung eines Transportbandes


gen Spannungsabfall zu gewährleisten (s.o.). Die d) Schaltschrankbeleuchtung
Dämpfungskurve ist ebenfalls in Abb. 17.39 auf- e) Steuerung eines Farbrührwerkes
gezeigt.
Ü 17-5 In der Leistungselektronik sind Entstör-
filter von großer Bedeutung.
17.5 Zur Übung a) Was versteht man unter einem X-Kondensa-
tor?
Ü 17-1 Worin besteht der maßgebliche Unter-
b) Was versteht man unter einem Y-Kondensa-
schied zwischen Sperrwandler und Flusswand-
tor?
ler?
c) Wie nennt man die Dämpfung, die das Filter
gegenüber Störungen aufweist?
Ü 17-2 Warum kann an einem ausgebauten PC
d) Ist diese über der Frequenz konstant?
Netzteil keine Spannung gemessen werden?

Ü 17-3 In welchem Punkt sind sich Wechselrich-


ter und USV gleich? 17.6 Weiterführende Literatur

Ü 17-4 Wählen Sie für die folgenden Einsatz-  Franz, J.: (2012) EMV: Störungssicherer Auf-
gebiete die richtige USV und begründen Sie die bau elektronischer Schaltungen, 5. Auflage,
Wahl: Springer Vieweg.
a) Leitrechner für den Fertigungsablauf  Ivers-Tiffée, E., Münch, W.: (2012) Werkstoffe
b) CNC-Steuerung eines Bearbeitungszentrums der Elektrotechnik. ViewegCTeubner.
824 J. Gutekunst

 Schlienz, U.: (2012) Schaltnetzteile und ihre  VDE-Vorschriftenwerk, Katalog der Normen,
Peripherie: Dimensionierung, Einsatz, EMV. VDE-Verl. Berlin (Bestell-Nr. 910100 für Ka-
4. Auflage, ViewegCTeubner. talog und Sachverzeichnis=Register auf MS-
 Schwab, A. J., Kürner, W.: (2010) Elektroma- DOS – kompatibler Diskette).
gnetische Verträglichkeit. 6. Auflage, Springer  Weber, A.: (2004) EMV in der Praxis. 3. Auf-
Verlag. lage, Hüthig Verlag.
Lösungen der Übungsaufgaben
18
Ekbert Hering, Klaus Bressler, Rolf Martin, Jürgen Gutekunst
und Rainer Hönle

18.1 Grundlagen der Elektrotechnik Ü 1.6-2:


a) Reihenschaltung
Ü 1.6-1:
a) XRL D .500 C j 1445/  ; ' D 70;9ı I
XC D 63;66 I XL D 60 I
XRC D .500  j 1273/  ; ' D 68;6ı I
Z D R C j.XL C XC /I
p XRLC D .500 C j 172/  ; ' D 19;0ı :
Z D U=I D R2 C .XC C XL /2
D 133;33 I b) Parallelschaltung
p
R D Z 2  .XL C XC /2
XRL D 446;5  C j 154;5  ; ' D 19;1ı I
D 133;28   133;3 :
XRC D 443;2   j 170  ; ' D 21;4ı I
b) Z D .133;3  j 3;66/ . XRLC D 499   j 23;3  ; ' D 2;67ı :
c) tan ' D XL CX
R
C
D 0;275; ' D 1;57ı .
d) Ü 1.6-3:
1
Y D D .7;497 C j0;206/ mSI
Z Z 1 D .1000  j530;5/  ;
G D 7;497 mSI B D 0;206 mSI Z 2 D .500 C j188;5/  I
p
Y D I =U D G 2 C B 2 D 7;5 mS: 1 1
Y1 D D S
Z1 1000  j530;5
D .0;780 C j 0;414/ mS
1 1
Y2 D D 188;5 S
Z2 500 C j
D .1;75  j 0;66/ mS I
E. Hering ()
E-Mail: ekbert.hering@hs-aalen.de I1 D U  Y 1
K. Bressler D .179;4 C j 95;2/ mA :
E-Mail: Klaus.Bressler@web.de
I2 D U  Y 2
R. Martin
D .402;5  j 151;8/ mA ;
J. Gutekunst
E-Mail: jgutekunst@web.de I ges D I 1 C I 2 D .582  j56;6/ mA I
56;6
R. Hönle ' D arctan D 5;5ı :
E-Mail: RHoenle@deltalogic.de 582
© Springer-Verlag GmbH Deutschland 2017 825
E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9_18
826 E. Hering et al.

Ü 1.6-4: b) NSi =V D Si NA =MSi D 5  1022 cm3 , d. h.


auf ein As-Atom kommen 2;5  105 Si-Atome;
ages D 4;54 dB ; 1=3
c) a D nD D 17;1 nm;
Pein D 4;8  109 W D 53;2 dBm ; d)  D 1=.e nD n / D 5;2  102  cm,
Paus D 53;2 dBm C 4;54 dB n  600 cm2 =.V s/.

D 48;66 dBm D 13;6  109 W : Ü 1.8-3:


a) R D R0 eED =.2 kT / bzw. R D R0 eEA =.2 kT / ,
Ü 1.6-5: ED D 2.1=T
k ln.R1 =R2 /
D 12;76 meV; es handelt
1 1=T2 /
a) 40 kHz (genormt: 44 kHz);
sich um Phosphor.
b) 8mal höhere Abtastrate; h i1
c) 160 kHz (auf 44 kHz; bezogen: 176 kHz). b) T2 D T11  E2kD ln R 1
R2 D 3;53 K.
d) Das nachgeschaltete Tiefpassfilter kann sehr
einfach ausfallen. Zudem wird das Nutzspek- Ü 1.8-4:
trum nicht durch eine nicht ideale Filterfunk- a) n0 D gn n D 1013 cm3 ;
tion beeinträchtigt (Tonreinheit). b) d D 1=.e p0 p / D 130  cm, bei Beleuch-
tung gilt
Ü 1.8-1:
n D n0 C n0  n0 D 1013 cm3 und
a)
 
250 1;66 cm2 p D p0 C p0 D 1;1  1014 cm3 ;
n D 3900
300 Vs  D 94;1  cmI
D 5278 cm2 =.V s/ ; c)
 
250 2;33 cm2 n D n0 e D 3;68  1012 cm3 ;
p D 1900
300 Vs n D 3;68  1012 cm3 ;
D 2906 cm =.V s/ ;
2
p D 1;04  1014 cm3 ;
1;5 Eg=.2 kT / 3
ni D ni0 T e D 1;38  10 cm ;
12
 D 114  cm:
3 1 1
 D e ni .n C p / D 1;81  10  cm :
Ü 1.8-5:
 D 552  cm :
a) Dp D p.kT =e/ p D 11;25 cm2 =s;
R D l=A D 27;6 k I b) Lp D Dp p D 3;35 m;
c) p.x/ D ps ex Lp ,
b) Eg .250 K/ D 0;6785 eV, damit wird x D 3;35 m  ln .100/ D 15;4 m.

ni D 8;99  1011 cm3 ; Ü 1.8-6:


a)  
 D 848  cm :
Ud D 0;0259 V  ln 1031 =1;3  1020
R D 42;4 k :
D 0;654 V :
c) Störstellenerschöpfung; nach Gl. 1.109 ist b)
d D 2;934 m ; dp D 2;931 m ;
p D 1;05  1014 cm3 ; dn D 0;003 m ;
n D 5;16  1012 cm3 ;
die Ausdehnung ins n-Gebiet ist vernachläs-
 D 28;4  cm ; sigbar klein.
R D 1;42 k : c) Emax D 4;46  105 V=m;
d) js  eDn np0 =Ln D 0;99 nA=cm2 ; der Bei-
Ü 1.8-2: trag des Löcherstroms ist praktisch vernach-
a) mAs =V D nD MAs =NA D 24;9 g=cm3 ; lässigbar.
18 Lösungen der Übungsaufgaben 827

18.2 Passive Bauelemente Ü 4-2:


a) Berechnen des Reflexionsfaktors nach
Ü 2.2-1: Gl. 4.24 oder Eintragen der normierten Last
a) Rkrit D .250
p V/ 2
=.0;33 W/ D 189;39 k; ins Smith-Diagramm und Ablesen des Refle-
b) URN1 D 100 k p  0;33 W D 181;66 V (zu- xionsfaktors;
lässig), URN2 D 470 k  0;33 W D 270 V b) 50 MHz:
(nicht zulässig).
XL D 3;142 
Z L D 0;197  C j 3;142 
18.3 Aktive Bauelemente
Z L =Z0 D 0;004 C j 0;063
Ü 3.2-1: r D 0;99=172;8ı

RC D 8;2 k ; RC D 6;58 k ; (fast vollständige Reflexion)

RE D 270  ; 5 GHz:
RB1 D 1 M ; RB2 D 56 k ;
Re D 31;5 k ; Ra D 6;58 k : XL D 314;2 
Z L D 48;77  C j 3;76 
Ü 3.2-2: Z L =Z0 D 0;98 C j 0;16
RC D 5;5 k ; RE D 270  ; r D 0;08=92;5ı
RB2 D 47 k ; RB1 D 560 k : (fast vollständige Anpassung)

Ü 3.2-3: Ü 4-3:
UE  7 V ; a) Abbildung 4.16b, d, f, h, k, l, m, n, o, p, q;
b) L2 D 4 nH, C2 D 0;58 pF.
RE D 150  ; RE D 749  ;
R2 D 240  ; R1 D 180  ; Ü 4-4: Es wird empfohlen, zuerst ein Block-
Re D 90  : schaltbild zu zeichnen. 80 MHz mit 4 Vss sind
1,41 Veff . Nach Abschn. 1.6.5 hat die 3. Harmo-
Der Eingangswiderstand wird vorwiegend durch
nische 470 mV. Ein Bandpassfilter mit 240 MHz
den Basisspannungsteiler bestimmt.
Mittenfrequenz dämpft alle unerwünschten Fre-
Ü 3.2-4: quenzen. 470 mV an 50  ergeben 4;42 mW D
6;45 dBm. Bei Berücksichtigung der Verluste
R1 D 3;3 k ; R2 D 12 k ; hebt ein nachfolgender Verstärker mit 10 dB Ver-

Ri D 20 k ; stärkung den Pegel auf 15 dBm bis 16 dBm an.
Pmax D 313 mW ;
Ü 4-5:
wenn der Spannungsabfall am Verbraucher 0 V Aufbau: Vorkreisfilter mit 433 MHz Mittenfre-
ist. quenz, Vorverstärker mit 26 dB, LO mit
422,3 MHz und Mischer. 10,7 MHz Band-
passfilter, Verstärker, Demodulator.
18.4 Hochfrequenz (HF)-Verstärker
Pegelverhältnisse:
Eingang: 70 dBm, am Ausgang verlangt:
Ü 4-1:
100 mV D 7 dBm.
21 1;5  1 Dämpfung Vorkreis-Filter: 1 dB, Vorverstär-
N FG D 0;8 dB C dB C dB
10 10  11 ker C26 dB, Dämpfung Mischer 7 dB.
D 1;01 dB : Pegel am Mischerausgang: 53 dBm.
828 E. Hering et al.

Dämpfung 10,7 MHz Bandpass: 2 dB, De- bei 0 ı C: Ith .0 ı C/ D Ith;0 exp.273 K=T0 / D
modulator 4 dB. 53 dBm  2 dB  4 dB C 14;4 mA, mit Ith,0 D 0;176 mA.
Vx dB D 7 dBm. Der Verstärker muss noch
52 dB verstärken. Zwei Verstärker je 26 dB Ü 6-6:
in Reihe oder je 1 Verst. vor und nach dem a) Die Kennliniengerade schneidet die Abszisse
10,7 MHz-Filter. bei Ith D 21;2 mA;
b) ˚O D 0;296 mW;
c) Ith,2 D 16;8 mA;
18.5 Bauelemente der
d) Die neue Kennliniengleichung lautet ˚e D
Leistungselektronik
4;97 mW C 0;296 .W=A/  IF . Damit
˚e .30 mA/ D 3;91 mW.
18.6 Optoelektronik
p Ü 6-7: L D 2 =.2 n ı / D 128 m.
Ü 6-1: r D Iv 0 =Ev D 71 mm.
Ü 6-8: p
Ü 6-2: a) NEP D A  B=D  D 3;2  1012 W;
a) ext D .e ˚e /=.Eph IF / D 4 % b) Aus ˚e D NP  Eph folgt für den Photonen-
mit Eph D 1;24 m  eV= D 2;1 eV; strom NP D 2;4  107 s1 .
b) R D IF =e D 1;25  1017 s1 .
Ü 6-9:
Ü 6-3: RV D .U  UF /=IF D 1;02 k a) Nach der Spannungsteilerregel gilt UL,d D
mit UF D 1;8 V nach Tab. 6.3. UB  RLRCR
L
d
D 60 V und UL,l D UB  RLRCR L
l
D
60;12 V. Damit ist die Spannungsänderung
Ü 6-4: U D 120 mV;
a) Rv D .UB  UF / =IF D 14;9 I b) Die elektrische 3-dB-Grenzfrequenz ist er-
b) Bei UB D 8;1 V ergibt der Schnittpunkt der reicht, wenn p 1 2 D p12 oder ! D 1.
1C.!/
Widerstandsgeraden mit der Kennlinie den
Damit ist fgr D 2  1
D 637 Hz;
Strom IF D 343 mA. Das entspricht einer
c) Für die Spannungsänderung gilt allgemein
Abnahme von 14;3 %; Rd Rl
U D UB RL CRd CR l CRd Rl =RL
. Mit R D
c) Bei UB D 5 V ist der Vorwiderstand Rv D
Rd  Rl folgt für R  Rd W U D
4;93 ;
UB R C2RR . Diese Funktion wird maxi-
d) Bei UB D 4;5 V beträgt der Strom 313 mA. L d CRd =RL
2

Das entspricht einer Abnahme von 21;8 %. mal für RL D Rd .

R
v =4
,9
I F / mA

800 Ω
Strom

400 Rv = 14
,9 Ω

0
0 1 2 3 4 5 6 7 8 9
Spannung UF / V

Ü 6-10: Mit den angegebenen Werten ergibt sich


Ü 6-5: Aus der abgelesenen Steigung 0;3 W=A FF D 76 % und RL;opt D 3;3 .
folgt ext D .e=Eph /  .d˚e =dIF / D 32 %, T0 D
.T1  T2 / = ln.Ith,1  Ith, 2 / D 62 K mit Ith,1 D Ü 6-11: Aus ˚.L/ D ˚0  10˛L=10 dB und
32;1 mA und Ith,2 D 21;5 mA. Schwellenstrom ˚.l/ D ˚0  10˛l=10 dB folgt für den Dämp-
18 Lösungen der Übungsaufgaben 829

 
fungskoeffizienten ˛ D 10 dB
L lg 1 C ˚
˚.L/ D Schaltung mit v D 1 (Abb. 8.21) muss folgen,
darin ist R1 D R2 .
5;4 dB=km.
Ü 8-3: Schaltung nach Abb. 8.39,
Ü 6-12: t D ML  D 384 ps.
p
q Ueff D 1;110= 2 ;
Ü 6-13: AN D n21  n22 D 0;214, Akzep-
tanzwinkel:  D 12;3ı . Verstärkung nach Gl. 8.19,
p
R2 D 2;2 Ri = 2 I
Ü 6-14: Die Faser ist eine Einwellenfaser für
2;405 D 1;13 m.

2a A N
Ri so festlegen, dass Imax  1 mA ist.

Ü 6-15: Ü 8-4: Schaltung nach Abb. 8.58a, aus Abb.


a) 2 dB bei minimaler Sendeleistung 25 dBm; 8.58b wird der Dämpfungsfaktor ˛ D 1 gewählt.
b) 1 dB bei maximaler Sendeleistung 6 dBm, Ansatz:
ohne Sicherheitsabstand;
c) die maximale Entfernung folgt aus der maxi- C1 D 22 nF ; R D 22 k ; C2 D 120 pF:
malen Sendeleistung und der Strecke 21 dB. C1 kann im Prinzip frei gewählt werden, R und
Daraus folgen die Längen LGCS D 10;5 km C2 ändern sich entsprechend. Die Werte sollen
und LPOF D 105 m; aber nicht extrem groß oder klein werden.
d)

18.7 Sensoren Ü 8-5: Schaltung nach Abb. 8.68, der Wert eines
Bauelements ist frei wählbar, die weiteren wer-
den berechnet. Ansatz:
18.8 Analoge integrierte
Schaltungen C D 330 nF ; 2 C D 660 nF ;
R D 9;646 k ; R=2 D 4;823 k :
Ü 8-1: Schaltung nach Abb. 8.23, R2 =R1 D 19,
Festlegung: R2 D 10 k, R1 D 470 . Die Werte dürfen höchstens 0,5% vom berech-
neten Wert abweichen, sonst verschiebt sich die
Ü 8-2: Schaltung nach Abb. 8.34, R0 wird auf Mittenfrequenz oder die Unterdrückung wird
20 k festgelegt. schlecht. Um benachbarte Frequenzen wenig zu
schwächen, wird der Rückkoppelfaktor a D 0;75
R1 D 4 k ; R2 D 2 k ; R1 D 1 k : gewählt.
830 E. Hering et al.

18.9 Digital-Analog- und Daraus folgen dann der Frequenz-, der Amplitu-
Analog-Digital-Wandler den- und der Phasengang:
Frequenzgang:
18.10 Elektronische Regler ua .j!/ 1  j!T
G.j!/ D D
ue .j!/ 1 C j!T
Ü 10.1: Die Spannung u2 kann direkt auf Basis
der Diskussion des Tiefpasses in Abschn. 10.2.2 Amplitudengang:
direkt angegeben werden. Durch die Reihen- q
schaltung von jeweils einem Widerstand mit ei- j1  j!T j 12 C .!T /2
jG.j!/j D Dq D1
nem Kondensator müssen die Spannungen an den j1 C j!T j
12 C .!T /2
beiden Kondensatoren und den beiden Wider-
ständen jeweils gleich sein: Phasengang:
 
u2 .t/ D 5 V  1  et =T mit T D R  C †G.j!/ D † .1  j!T /  † .1 C j!T /
   
!T C!T
bzw. D arctan  arctan
C1 C1
u1 .t/ D ue .t/  u2 .t/ D 2  arctan .!T /
 
D 5 V  5 V  1  et =T
Die Schaltung erzeugt also bei sinusförmiger An-
D 5 V  et =T regung eine Ausgangsspannung mit gleicher Am-
 
ua .t/ D u2 .t/  u1 .t/ D 5 V  1  2  et =T plitude (frequenzunabhängig!), aber eine Phasen-
verschiebung von 180ı bei großen Frequenzen.
t D 0: Schaltungen mit Allpassverhalten werden in der
  Signalverarbeitung zur Signalentzerrung und zur
ua .t/ D 5 V  1  2  e0 D 5 V Erzeugung von Signallaufzeiten oder Totzeiten
eingesetzt.
t ! 1:
Ü 10.2: Zunächst werden die Reglerparameter
ua .t/ D 5 V  .1  2  0/ D C5 V
für die drei Parameter gemäß Tab. 10.2 bestimmt:
Das heißt die Ausgangsspannung ua springt erst a) RD D 0, C kurzgeschlossen ) P-Regler
auf 5 V, um dann exponentiell mit der Zeit- RP 500 k
konstanten T auf 5 V anzusteigen. Die beiden KP D D  100
R2 4,7 k
Spannungen u1 und u2 können mit der Span-
nungsteilerregel direkt im Bildbereich angeben b) CI kurzgeschlossen ) PD-Regler
werden: RP 500 k
KP D D  100 (s.o);
1 R2 4,7 k
u2 .s/ D sC
 ue .s/ kV nAs
R C sC 1
TV D RD CD D 100 1 D 0;1 ms
A V
1 1
D  ue .s/ D  ue .s/ c) PID-Regler
1 C sRC 1 C sT
R
u1 .s/ D  ue .s/ KP  100 (s.o);
R C sC 1
TV D 0;1 ms (s.o);
sRC sT
D  ue .s/ D  ue .s/ kV nAs
1 C sRC 1 C sT TN D RI CI D 100  100 D 10 ms
A V
ua .s/ D u2 .s/  u1 .s/
1  sT Damit können die Übertragungsfunktionen ange-
D  ue .s/ geben werden:
1 C sT
18 Lösungen der Übungsaufgaben 831

a) P-Regler: nicht berücksichtigt worden. Unterstellt man aber


GP .s/ D 100 eine rein ohmsche Belastung für die Übertra-
gungsfunktion GSL in Abb. 10.13 wird aus einem
b) PD-Regler:
einfachen Integrierer, mit dem nur der Ausgangs-
GPD .s/ D KP .1 C sTV / kondensator modelliert wurde jetzt ein PT1-
 s  Glied. Es gilt:
D 100  1 C 4 1 Stromregelkreis:
10 s
c) PID-Regler: 1 TNi
Gi .s/ D T0i D D 500 s
  1 C sT0i 2
1
GPID .s/ D KP 1 C sTV C Strecke des Spannunsregelkreises:
sTN
a) ohne Last
KP  
D 1 C sTN C s 2 TN TV
sTN 1 1 1
G0u .s/ D Gi .s/  D 
KP sC 1 C sT0i sC
D .1 C sTZ1 / .1 C sTZ2 /
sTN
b) mit Last
2TN TV
TZ1,2 D q 1
TN TN2  4TN TV G0u .s/ D Gi .s/  sC
( 1C 1
sRC
2 ms 9;9 ms 1 R
D p D D 
10 10  4
2 101 s 1 C sT0i 1 C sRC
104 s1 R  C D 1   180 F D 180 s
GPID .s/ D
 s s  s  Durch die Nachstellzeit des Spannungsreglers
 1C 1 C wird die dominierende Streckenzeitkonstante
101 s1 104 s1
kompensiert:
Die drei Bodediagramme sind mit ihren Asym- TNu D T0i :
ptoten in Abb. 18.1 skizziert worden. Der P-Reg-
ler weist eine konstante Verstärkung von 40 dB 1 C sTNu 1 R
G0u .s/ D KPu  
auf. Der PD-Regler hat für kleine Frequenzen sTNu 1 C sTNu 1 C sTS
ebenfalls eine Verstärkung von 40 dB, die ab ei- KPu  R
ner Kreisfrequenz von 104 s1 mit 20 dB=Dekade D
sTNu  .1 C sTS /
ansteigt. Der Phasengang dreht dabei von 0ı auf
C90ı . Der Amplitudengang des PID-Reglers be- Aus dem Phasengang kann mit der Forderung des
ginnt auf einer Geraden, die mit 20 dB=Dekade vorgegebenen Phasenrands zunächst die Durch-
abfällt und die 0 dB-Linie bei 104 s1 schneidet. trittfrequenz bestimmt werden:
Bei 100 s1 (entspricht der ersten Zählernullstelle
der Übertragungsfunktion) bleibt der Amplitu- '0u D †G0u .j!/
4 1
 
dengang auf der 0 dB-Linie, um dann bei 10 s KPu  R
D†
(entspricht der zweiten Zählernullstelle der Über- j!TNu  .1 C j!TS /
tragungsfunktion) wieder mit 20 dB=Dekade wie D † .j!TNu /  † .1 C j!TS /
beim PD-Regler anzusteigen. Die Phase dreht da-
D 90ı  arctan.!TS /
bei von 90ı auf C90ı .
'R D '0u .!D / C 180ı
Ü 10.3: Die Übertragungsfunktion Gi des D 90ı  arctan.!D TS /
Stromregelkreises verändert sich nicht, wohl aber
tan.90ı  'R /
die Streckenübertragungsfunktion. In Abb. 10.15 ) !D D
TS
ist die Rückkopplung durch die „Störgröße“ ia
832 E. Hering et al.

60

50
Magnitude (dB)

40

30

20

10

90

60
Phase (deg)

30

-30

-60

-90
101 102 103 104 105
Frequency (rad/sec)

Abb. 18.1 Bodediagramme zu Ü 10.2: P- (rot), PD- (schwarz) und PID-Regler (gestrichelt)

tan.90ı  53ı /
D D 4;186 s1 18.11 Grundlagen der digitalen
180 s Schaltungstechnik
Bei der Durchtrittfrequenz muss der Amplituden-
gang die 0 dB-Linie schneiden: Ü 11.1-1: Hexadezimal und Dezimal.

jG0u .j!D /j D 1
ˇ ˇ
ˇ KPu  R ˇ Ü 11.1-2:
D ˇˇ ˇ
j!D TNu  .1 C j!D TS / ˇ Hexadezimalsystem 10H D 16D ,
KPu  R Dezimalsystem 10D D 10D ,
D q Oktalsystem 10O D 8D ,
!D TNu  1 C .!D TS /2 Dualsystem 10B D 2D .
q
!D TNu 1 C .!D TS /2
KPu D
R p Ü 11.1-3: 77D .
4;186 s1  500 s 1 C .4;186 s1  180 s/2
D
1
A
D 2;6 Ü 11.1-4: 3E7H .
V
18 Lösungen der Übungsaufgaben 833

Ü 11.1-5: c) Nein, hier sind bereits 5 Kontrollstellen not-


wendig.
14H  14H
I
14H
Ü 11.3-1: Die Gesetze von De Morgan.
50H
14H  14H D 20D  20D D 400D I
190H
190H D 1  256D C 9  144D I Ü 11.3-2: a), d) und g).
190H D 400D :
Ü 11.3-3:
Ü 11.1-6: Eine normalisierte Mantisse liegt a) D0 D1 P
dann vor, wenn das Nachkommabit sich vom 0 0 0
Vorzeichenbit unterscheidet. Bei einer positiven 0 1 1
Zahl ist das Nachkommabit eine „1“. Also ist 1 0 1
1 1 0
der kleinste mögliche Wert einer positiven Gleit-
kommazahl 0;10000 : : :B , was nach Gl. 11.9 0;5D b) P D .D0  D1 / C .D0  D1 /;
entspricht. c) durch die Antivalenz: P D .D0 ˚ D1 /.

Ü 11.2-1: Ü 11.3-4:
a) Nur Fehler mit dem Gewicht 1;
a) D3 D2 D1 D0 PZ Primzahl
b) nein; 0 0 1 1 1 3
D3 D2 D1 D0 Paritäts-Bit d) Quersumme 0 1 0 1 1 5
0 0 0 0 0 0 0 1 1 1 1 7
0 0 0 1 1 0 1 0 1 1 1 11
0 0 1 1 0 0 1 1 0 1 1 13
0 0 1 0 1 0
b)
c) 0 1 1 0 0 0
.D0  D1  D2  D3 /
0 1 1 1 1 0
0 1 0 1 0 0 C .D0  D1  D2  D3 /
0 1 0 0 1 0
C .D0  D1  D2  D3 /
1 1 0 0 0 0
1 1 0 1 1 0 C .D0  D1  D2  D3 /
Ü 11.2-2: C .D0  D1  D2  D3 / D PZ
a) Ja;
b) –. c)
PZ D D0  Œ.D1  D2  D3 /
Ü 11.2-3: C .D1  D2  D3 /
a) 7; C .D1  D2  D3 /
b) 7;
c) 3; C .D1  D2  D3 /
d) Ja, Fehler mit dem Gewicht 4; C .D1  D2  D3 /

e) Zusätzlich zu den zwei korrigierten Fehlern PZ D D0  ŒD3  .D1 C D2 /


können noch 3 höherwertigere erkannt wer-
den; C D3  .D1 ˚ D2 /

f) 3, 4 und 5.

Ü 11.2-4:
a) dmin D 3;
b) 4;
834 E. Hering et al.

d) Schaltung zu c: b)
D0 D .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/
D1 D .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/
Ü 11.4-1: 128, 256, 1024 und 4096 Felder.
C .G  R  A  Y/ C .G  R  A  Y/
D2 D .G  R  A  Y/ C .G  R  A  Y/
A B U A B O A B E C .G  R  A  Y/ C .G  R  A  Y/
0 0 0 0 0 0 0 0 0 C .G  R  A  Y/ C .G  R  A  Y/
0 1 0 0 1 1 0 1 1 C .G  R  A  Y/ C .G  R  A  Y/
1 0 0 1 0 1 1 0 1
D3 D .G  R  A  Y/ C .G  R  A  Y/
1 1 1 1 1 1 1 1 0
C .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/
C .G  R  A  Y/ C .G  R  A  Y/

c)

Ü 11.4-3:
a)
Gray-Kode Hexadezimale Zahlen
G R A Y D3 D2 D1 D0
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 1 0 0 1 0
0 0 1 0 0 0 1 1
0 1 1 0 0 1 0 0
0 1 1 1 0 1 0 1
0 1 0 1 0 1 1 0
0 1 0 0 0 1 1 1
1 1 0 0 1 0 0 0
1 1 0 1 1 0 0 1
1 1 1 1 1 0 1 0
1 1 1 0 1 0 1 1
1 0 1 0 1 1 0 0
1 0 1 1 1 1 0 1 d) Für D0 lassen sich keine Zusammenfassun-
1 0 0 1 1 1 1 0 gen nach den Gesetzen von Karnaugh-Veitch
1 0 0 0 1 1 1 1 finden. Jedoch stellen die vier 2 2-Qua-
drate Exclusive-ODER-Verknüpfungen dar
(Untergruppen), wie sie in der Übungsaufga-
be 11.4-2 enthalten sind. Auch die Boolesche
Algebra (Abschn. 11.3) führt hier eher zum
18 Lösungen der Übungsaufgaben 835

Ziel. Für die Ausgangsvariablen D0 bis D3 C .A  B  C  D/ C .A  B  C  D/


ergeben sich:
C .A  B  C  D/

D0 D ŒG  R  .A ˚ Y/
C ŒG  R  .A ˚ Y/
e D .A  B  C  D/ C .A  B  C  D/

C ŒA  Y  .G ˚ R/
C ŒA  Y  .G ˚ R/
C .A  B  C  D/ C .A  B  C  D/

D1 D .G  R  A/ C .G  R  A/ f D .A  B  C  D/ C .A  B  C  D/
C .G  R  A/ C .G  R  A/ C .A  B  C  D/ C .A  B  C  D/

D2 D .G  R/ C .G  R/ C .A  B  C  D/ C .A  B  C  D/
D2 D G ˚ R g D .A  B  C  D/ C .A  B  C  D/
D3 D G C .A  B  C  D/ C .A  B  C  D/
C .A  B  C  D/ C .A  B  C  D/
Ü 11.4-4:
C .A  B  C  D/
a) BCD-Zahlen Angesteuerte Segmente Zahl
A B C D a b c d e f g d) Siehe Abb. 18.2.
0 0 0 0 1 1 1 1 1 1 0 0 e)
0 0 0 1 0 1 1 0 0 0 0 1 a D .A  C/ C .A  B  D/
0 0 1 0 1 1 0 1 1 0 1 2 C .A  B  D/ C .A  B  C/
0 0 1 1 1 1 1 1 0 0 1 3
b D .A  B/ C .B  C/
0 1 0 0 0 1 1 0 0 1 1 4
0 1 0 1 1 0 1 1 0 1 1 5 C .A  C  D/ C .A  C  D/
0 1 1 0 1 0 1 1 1 1 1 6
c D .A  B/ C .A  D/ C .B  C/
0 1 1 1 1 1 1 0 0 0 0 7
1 0 0 0 1 1 1 1 1 1 1 8 d D .A  C  D/ C .A  B  C/
1 0 0 1 1 1 1 1 0 1 1 9 C .B  C  D/ C .A  B  C  D/
b) 7 e D .A  C  D/ C .B  C  D/
c)
f D .A  B  D/ C .A  B  C/
a D .A  B  C  D/ C .A  B  C  D/
C .A  C  D/ C .A  B  C/
C .A  B  C  D/ C .A  B  C  D/
g D .A  B  C/ C .A  C  D/
C .A  B  C  D/ C .A  B  C  D/
C .A  B  C/ C .A  B  C/
C .A  B  C  D/ C .A  B  C  D/
b D .A  B  C  D/ C .A  B  C  D/ Durch die Anwendung der Regel 10 lässt sich
das Ergebnis von e) wie folgt vereinfachen:
C .A  B  C  D/ C .A  B  C  D/
C .A  B  C  D/ C .A  B  C  D/ a D A C C C DB C DB
C .A  B  C  D/ C .A  B  C  D/ b D A C B C ACD C CD
c D .A  B  C  D/ C .A  B  C  D/ c D A C D C B C AC
C .A  B  C  D/ C .A  B  C  D/ d D A C CB C DB C BCD C ACD
C .A  B  C  D/ C .A  B  C  D/ e D BD C CD
C .A  B  C  D/ C .A  B  C  D/ f D A C BD C CD C ABC
C .A  B  C  D/ g D A C BD C BC C ABC
d D .A  B  C  D/ C .A  B  C  D/
C .A  B  C  D/ C .A  B  C  D/
836 E. Hering et al.

Abb. 18.2 Zu Ü 11.4-4 d

18.12 Digitale Bauelemente 18.13 Entwicklung digitaler


Schaltungen
Ü 12.1-1: Der Rauschspannungsabstand.
Ü 13-1: Bei periodischen Ereignissen ist die An-
Ü 12.1-2: zahl der möglichen Zustände begrenzt.
a) Sie haben unterschiedliche Eingangspegel;
b) Pull-Up-Widerstand; Ü 13-2:
c) Nein; a) 20mal schneller;
d) Nein, der Störspannungsabstand verbessert b) bei 5%: 1 Produkt-Term, bei 25%: 5 Produkt-
sich. Terme, bei 75%: 15 Produkt-Terme.
c) Siehe Abb. 18.3.
Ü 12.2-1:
a) Mit bipolaren RAM-Bauteilen; T85 D .D0  D1  D2  D3  D4 /
b) 16.384 32 Bit-Worte; C .D0  D1  D2  D3  D4 /
c) 16 kBit bipolar RAM, organisiert zu 4 Bit;
C .D0  D1  D2  D3  D4 /
d) 8;
e) 32. C .D0  D1  D2  D3  D4 /
18 Lösungen der Übungsaufgaben 837

Abb. 18.3 Zu Ü 13-2 c)

C .D0  D1  D2  D3  D4 / Verzögertes Eingangssignal:


C .D0  D1  D2  D3  D4 /
C .D0  D1  D2  D3  D4 / Invertiertes Eingangssignal:
C .D0  D1  D2  D3  D4 /
C .D0  D1  D2  D3  D4 /
UND-Verknüpfung des verzögerten und des
C .D0  D1  D2  D3  D4 / invertierten Eingangssignals:
C .D0  D1  D2  D3  D4 / Ausgangssignal:

C .D0  D1  D2  D3  D4 /
C .D0  D1  D2  D3  D4 / Eingangssignal:
C .D0  D1  D2  D3  D4 /
differen-
C .D0  D1  D2  D3  D4 / zierte
Rückflanke
C .D0  D1  D2  D3  D4 /
b) Eingangssignal:
C .D0  D1  D2  D3  D4 /

d) Bei Verwendung von negativer Logik er- Verzögertes Eingangssignal:


hält man für das Tastverhältnis von 85% nur
noch 3 Produkt-Terme:
Invertiertes Eingangssignal:
T85 D .D0  D1  D2  D3  D4 /
C .D0  D1  D2  D3  D4 /
Exclusive-NOR1 -Verknüpfung des verzöger-
C .D0  D1  D2  D3  D4 /
ten und des invertierten Eingangssignals:
Ausgangssignal:
Ü 13-3:
a) Eingangssignal:
1
Nur wenn beide Eingangssignale gleich sind, wird der
Ausgang wahr.
838 E. Hering et al.

Abb. 18.4 Zu Ü 13-6 d).

Eingangssignal: c) Fehlabschluss D 9;3%


d) Siehe Abb. 18.4.

Ü 13-7:
c) Ja. a)
d)

Nach den Gesetzen von De Morgan (Ab-


schn. 11.3.2) lässt sich die UND-Verknüpfung b) aQ D 0;5 (ideale Anpassung bei der Lei-
mit den beiden invertierten Eingangssignalen in tungseinkopplung in L1 )
eine ODER-Verknüpfung mit invertiertem Aus-
gang umwandeln: Leitungs- Reflexions- Brechungs-
punkt koeffizient faktor
1 0 1
2 1=3 2=3
3 0,14 1,14
Ü 13-4: 4 0,2 0,8
a) Nein, Knotenpunkt 5
b) Ja. Für L1 0,579 0,21
Für L2 0,684 0,158
Ü 13-5: Für L3 0,158 0,42
a) 75 , Für L4 0,579 0,21
b) aQ D 0;667,
c) IQ D 15 mA, c) Lattice-Diagramm in Tabellenform:
d) Nein, der Ausgang würde mit 22,5 mA über- tpd steht für die kürzeste Leitungslaufzeit
lastet. (entsprechend den Leitungen L2 und L4 ). In
der Tabelle (s. Abb. 18.5) sind Vielfache der
Ü 13-6: Laufzeit aufgetragen.
a) aQ D 1, d) Die Tabelle unter c) ist einfach in ein Schau-
b) L D 0;05, bL D 0;95, bild einzutragen.
18 Lösungen der Übungsaufgaben 839

Laufzeit Punkt 1 Punkt 2 Punkt 3 Punkt 4


0 0,5 0 0 0
1 0,5 0 0 0
2 0,5 0 0 0
3 0,5 0 0 0
4 0,5 0,07 0 0,084
5 0,5 0,07 0,12 0,084
6 0,210 0,07 0,12 0,084
In diesem Bereich kommen
7 0,210 0,085 0,12 0,094 bereits die Reflexionen zum Tragen.

8 0,199 0,085 0,12 0,094

Abb. 18.5 Zu Ü 13-7 c)

Ü 13-8:
a) Die Laufzeit auf der Leitung muss gerin-
ger sein als die Anstiegs- oder Abfallzeit der
Flanken.
b) Die Flankenzeiten selbst und die kapazitive
Belastung.

Ü 13-9: h) Bei großen Takt-Bäumen muss man darauf


a) Z D 50 , achten, dass die Laufzeiten in allen Ästen
b) CQ D 1;1 pF=cm, gleich sind.
c) CL D 18  CFlipFlop D 72 pF, i) Bedingung von h) wird in g) erfüllt.
d) lmax D 8;9 cm.
e) Mittlere Leitungslänge zwischen Bauteilen:
Ü 13-10:
lmittel D 0;49 cm; nicht realistisch, da die 18
a) Durch Laufzeitunterschiede,
Bauelemente nicht so platziert werden kön-
b) durch redundante Bauelemente, durch getak-
nen, dass dies eingehalten wird.
tete Schaltungen.
f) Leitungsabschluss, Abflachung der Flan-
ken durch einen Längswiderstand, Abfla-
chung der Flanken durch langsamere Puffer- Ü 13-11:
Bauteile, Takt-Baum. a) OE darf frühestens 22 ns nach dem sicheren
g) Aufspaltung der kapazitiven Last in mehre- Anstehen der Adressen aktiviert werden.
re Teillasten. Für 6 Teillasten (CL D 24 pF/ b) undefinierter Ausgangszustand;
gilt: lmax D 17;0 cm. Damit ist die Be- c) Einsatz von redundanten Bauelementen zur
dingung mindestens 3 cm pro Bauteil nicht Laufzeitverzögerung von OE;
erfüllt. Für 5 Teillasten (CL D 20 pF) gilt: d) Nein, da unterschiedliche Laufzeiten durch
lmax D 18;2 cm. Damit ergibt sich eine mitt- den Dekoder ebenfalls für Störungen sorgen
lere Leitungslänge von 3,6 cm. können.
840 E. Hering et al.

18.14 ASIC

18.15 Schnittstellen, Bussysteme


und Netze

18.16 Speicherprogrammierbare
Steuerungen
Ü 16-1:

Eingang 0 Eingang 1 Ausgang alt Ausgang neu


1 0
1 0 1
0 0 1 1
0 0 0 0

AWL KOP FUP

Ü 16-2:

Eingang 0 Eingang 1 Ausgang alt Ausgang neu


1 1
1 0 0
0 0 1 1
0 0 0 0

AWL KOP FUP

Ü 16-3:

AWL KOP FUP


18 Lösungen der Übungsaufgaben 841

Ü 16-4:

AWL KOP FUP

Ü 16-5:

AWL KOP FUP

Ü 16-6:

AWL KOP FUP


 





 

842 E. Hering et al.

Ü 16-7:

AWL KOP FUP

 


18.17 Spannungsversorgung Ü 17-3: Beide setzen auf einer Zwischenkreis-


spannung auf. Der Wechselrichter macht daraus
Ü 17-1: Beim Flusswandler erfolgt der Energie- eine in Frequenz und Amplitude variable Span-
transport während der Schaltregler im leitenden nung, während sie bei der USV fest eingestellt
Zustand ist (Schalter geschlossen). Beim Sperr- und in der Regel der Eingangsspannung ent-
wandler ist dies bei offenem Schalter der Fall. spricht.

Ü 17-2: PC Netzteile sind Tiefsetzsteller und be-


nötigen deshalb eine Grundlast.
Sachverzeichnis

A Avalanche-Durchbruchspannung, 227
Abblockkondensator, 586 AWL (Anweisungsliste), 754
Abschnürbereich, 211
Absorptionsgesetze, 554 B
Absorptionskoeffizient, 341, 346, 355 Backwarddiode, 166
Abtast- und Halteschaltung, 482 Balkenanzeige, 336
Adaptive Filter, 497 Ball-Grid-Array, 595
Addierender Verstärker, invertierend, 435 Bandabstands-Referenzelement, 461
Addierer, 276 Bandabstandsspannung, 85
AD-Wandler, 467 Bandbreite-Länge-Produkt, 373, 374
Aktiver Filter, 448
Bändermodell, 65, 68, 73, 82
Aktives Bauelement, 167, 283
Bandgap Voltage Reference, 461
Akustischer Längensensor, 389
Bandgap-Referenzelement, 480
Akzeptor, 72, 74, 80, 82, 86
Bandgap-Spannung, 464
Alphanumerische Anzeige, 330, 331, 339
Bandpass, 454, 457
Amplitudendurchtrittsfrequenz, 515
Bandpassfilter, 278
Amplitudengang, 513
Bandsperre, 456
Amplitudenrand, 515
Basisschaltung, 195
Amplitudenspektrum, 57
Bauelement, 49, 95
Analog-Digital-Wandler, 467, 477
Analoger ASIC, 662 Baugruppe, 95
Analoger Verstärker, 229 Bauteile, 95
AND-Array, 667 BCD-Code, 747
Anlage, 95 BCD-System, 534
Anlaufkondensator, 283, 294 BCD-Zahlensystem, 534
Anpassnetzwerk, 272 BCD-Zähler, 480
Anstiegsgeschwindigkeit, 411 Beschleunigungs-Sensor, 395
Anti-Fuse, 680 Beweglichkeit, 75–77, 79, 80, 86
Antivalenz, 553 Big-Endian-Maschine, 748
Anwendungsklasse, 95 Bildgebender Sensor, 403
APD, 340, 342, 352–355, 360, 371 Bildsensor, 363, 364, 366, 367
Aperiodischer Grenzfall, 509 Bilineare Transformation, 494
Application Specific Standard Products (ASSP), 685 Binär Codiertes Dezimalsystem, 534
Äquivalente Umwandlung, 54 Binäre Verknüpfung, 551, 754
Äquivalente Zweipole, 24 Binäres Zahlensystem, 529, 530
Arbeitsbereich, 180 Bit, 529
Arbitrator-Logik, 606 Bit-fill-Block, 477
Arbitrierung, 716 BLD-Befehl, 764
Arbitrierungsverfahren, 716 Bode-Diagramm, 417
ASIC, 501, 659 Bond-Draht, 686
Assoziativgesetz, 554 Boole’sche Algebra, 551
ASSP, 665 Boole’sche Gleichung, 671
Ausfallwahrscheinlichkeit, 674 Bootstrapschaltung, 195
Ausgangsleitwert, 174 Brückenschaltungen, 32
Ausgleichsvorgänge, 77 Bussystem, 687, 716
Austastanschluss, 458 Byte, 531, 748

© Springer-Verlag GmbH Deutschland 2017 843


E. Hering, K. Bressler, J. Gutekunst (Hrsg.), Elektronik für Ingenieure und Naturwissenschaftler,
DOI 10.1007/978-3-662-54214-9
844 Sachverzeichnis

C Diffusionsstrom, 78
Cache-Speicher, 602 Digital-Analog-Wandler, 467
CAN-Bus, 720 Digitale Signalverarbeitung (DSV), 490
Candela, 311 Digitaler PLL, 648
CCD-Sensor, 364, 367, 368 Digitalfunktion, 764
C-Filter, 820 DIN 40 170, 593
Charge-Coupled-Devices, CCD, 396 Diode, 146
ChemFET, 396 Diodenkennlinie, 83
Chemical-Vapour-Deposition, 397 Dirac-Impuls, 507
Chemischer Sensor, 396, 400 Direct Memory Access, 615
Chip, 575 Direktform I, 493, 496
Chiplayout, 685 Direktform II, 493, 496
Chopperverstärker, 420 Disjunktion, 553
CIM, 623 Display, 316, 318, 319, 329–331, 333, 335–337, 339, 340
CISC-Rechner, 611 Distributivgesetz, 554
Closed-loop-Methode, 525 Donator, 72, 82, 86
CMOS Active-Pixel Sensor, 364, 367, 368 Doppelgate-MOSFET, 223
CoDeSys, 751, 753 Doppel-T-Filter, 456
Common Mode Rejection Ratio, CMRR, 414 Doppelwort, 531, 748
Computer-Aided-Design, 621, 664 Doppelwortverknüpfung, 766
Computer-Aided-Engineering, 621, 664 Dotierung, 72, 74, 75, 77
Computer-Integrated-Manufacturing, 623 Drahtwiderstände, 108
Computer-Integrated-Manufacturing, CIM, 402 Drehkondensator, 135
Constant Coefficient Multiplier (KCM), 502 Drehzelle, 332, 333
Crestfaktor, 46 Driftgeschwindigkeit, 75, 76
CSMA/CA, 717, 721 Drossel, 284
CSMA/CD, 717, 735 Druck-Sensor, 391, 395
CVD-Verfahren, 397 DSP (Digitaler Signalprozessor), 490
Dual slope technique, 478
D Duales Zahlensystem, 530
DAC (Digital to Analog Converter), 467 Dual-Gate-MOSFET, 223
Dämpfung, 59 Dual-Port-RAM, 605
Dämpfungsfaktor, 451 Dualsystem, 746
Darlingtonschaltung, 204, 298 Dünnschicht-Technologie, 93
Das V-Modell, 620 Durchbruch, 84, 85
Dauerkurzschlussfest, 412 Durchbruchbereich, 211
DA-Wandler, 467, 476 Durchflusswandler, 807
De Morgan, 555 Durchlasskennlinie, 149
Decimator, 486 Durchlass-Spannung, 153
Deglitcher, 473 Durchschnittliche Lebensdauer, 99
Dehnmess-Streifen (DMS), 388 Dynamische Rückkopplung, 443
Delogarithmierschaltung, 441 Dynamischer Innenwiderstand, 429
Delon-Schaltung, 798 Dynamischer RAM-Speicher, 602
Delta-Sigma, 476
Delta-Sigma Modulator, 477 E
Delta-Sigma-Wandler, 477, 486 E5-Kern, 287
Demodulator – Detektor, 280 EEPROM, 604
Detektivität, 342, 344, 345, 349, 378 EF5-Kern, 286
Deterministik, 694, 716 Effektive Masse, 70
DeviceNet, 724 Effektivwert, 44
Diac Triggerdiode, 159 Eigeninduktivität, 293
Dickschichttechnik, 398 Eigenleitung, 68, 69, 73, 75
Dickschicht-Technologie, 93 EI-Kern, 286
Differenzielle Stromverstärkung ˇ, 173 Einerkomplement, 535
Differenzierer, 447 Eingangsfehlspannung, 410
Differenzverstärker, 199, 203, 221, 409 Eingangswiderstand, 410
Diffusionskonstante, 78, 79, 86 Eingebettetes Bauteil, 94
Diffusionslänge, 78, 79, 83, 86 Einheit, 1
Diffusionsspannung, 81, 83, 86 Einschaltverzögerungszeit, 149
Sachverzeichnis 845

Einschaltwiderstand, 227 Feldeffekttransistor, 208, 221, 222


Einschicht-Kondensator, 132 Feldeffekttransistoren als Schalter für analoge Signale,
Einstein-Relation, 79 222
Einstellbarer Widerstand, 117 Feldplatte, 116
Eintakt-Flusswandler, 810 Fenstermethode, 497
Einweggleichrichter, 437 Fermi-Energie, 70, 72, 73
Einweggleichrichtung, 796 Fermi-Funktion, 69, 70
E-Kern, 286 Ferrimagnetisches Material, 140
Elektrische Arbeit, 6 Ferrit, 284
Elektrische Leistung, 6 Ferromagnetika, 141
Elektrische Netze, 9 FET, 208
Elektrische Spannung, 4 Feuchtesensor, 397
Elektrische Störfestigkeit, 89 Fick’sche Gesetz, 78, 79
Elektrischer Strom, 3 Field-Programmable-Array-Logic, 666
Elektrisches Netzwerk, 25 Field-Programmable-Gate-Array, 679
Elektrochemischer Sensor, 400 Filterschaltung, 448
Elektrolyt-Kondensator, 128 Finite Impulse Response (FIR)-Filter, 495
Elektromagnetische Verträglichkeit (EMV), 283 FK-Kondensator, 289
Elektrometerverstärker, 430 Flankenauswertung, 759
Elektronenstrahl-Direkt-Schreibverfahren, 684 Flash converter, 484
Elektronische Bauelemente, 95 Flat-Pack-Gehäuse, 594
Elektronisches Potentiometer, 469 Flip-Flop, 577, 595, 759
Elementarladung e, 3 Flüssigkristallanzeige (LCD), 319, 330, 331, 335, 338,
Emissionskoeffizient, 84 339
Emitter-Coupled-Logic, 591 Flying capacitor, 460
Emitterfolger, 592 Formfaktor, 46
Emitterschaltung, 183, 187, 191 Fotodiode, 159, 340–342, 345–352, 355, 362–365, 367,
Empfindlichkeit, 309, 311, 341, 342, 344, 368 368, 370, 371
EMS-Widerstand, 296 Fotoeffekt, 340
EMV-Filter, 284 Fotoelement, 340, 348, 350, 364, 366
Endliche Impulsantwort, 495 Fotolawinendiode (APD), 340, 352
Energiebänder, 65 Fotoleiter, 342–345, 362, 378
Entstörkondensator, 294 Fotometrische Größe, 308–311
Entwicklungsphase, 619 Fotostrom, 341, 344–349, 351, 353, 355, 356, 361, 362
EP-Kern, 286 Fotothyristor, 340, 362, 363
EPLD, 661, 665 Fototransistor, 340, 360–362, 368, 370
EPROM, 604 Fotowiderstand, 340, 342–345, 378, 399
ER-Kern, 287 Fourier-Koeffizient, 56
Ersatzspannungsquelle, 22 Fourier-Reihe, 629
Ersatzstromquelle, 22, 23 FPGA (Field Programmable Gate Array), 490
ESL, 293 FPGAs, 501
ESR-Widerstand, 293 Frequenzabtastverfahren, 497
Europa-Karte, 90 Frequenzgang, 492, 505
EXKLUSIVE ODER, 755 Frequenzgenauigkeit, 240, 245
EXKLUSIVE ODER NICHT, 755 Frequenzstabilität, 240
EXKLUSIVE ODER-Verknüpfung, 757 Frequenzvervielfacher, 248
Exklusive-Oder-Gatter, 648 Führungsgrößenvorfilter, 522
Exponent, 536 Führungsübertragungsfunktion, 512
Exponentialverstärker, 441 Füllfaktor, 356, 357, 367, 368, 378
FUP (Funktionsplan), 754
F
Fan-In, 579 G
Fan-Out, 578 G. Boole, 551
Farbcodierung, 104 GAL, 661, 669
Faser-Faser-Kopplung, 399 Gate-Array, 661
Faseroptischer Sensor, 399 Gate-Source-Überspannung, 226
Fehlererkennender Kode, 546 Gate-Turn-Off-Thyristor, 304
Fehlerkorrigierender Kode, 548 Gatterlaufzeit, 627
Feldbus, 718 Gegenkopplung, 220
846 Sachverzeichnis

Gegentaktwandler, 813 IEEE 802.3, 731


Generic-Array-Logic, 667 IGBT (Insulated Gate Bipolar Transistor), 299
Gesetze von De Morgan, 555 Imaginäre Zahl, 39
Getaktete Regler, 460 Impedanzwandler, 430
Gibbs’sches Phänomen, 629 Impulsantwort, 492
GIPS, 610 Impulsbelastbarkeit, 106
Glasfaser, 374, 375, 378, 379 Impulszahl, 480
Gleichstromverstärkung B, 172 Induktive Längenmessung, 386
Gleichtaktunterdrückung, 201, 414 Induktivität, 136
Gleichtaktverstärkung, 200 Industrie 4.0, 402
Gleitkommazahl, 536, 537 Infinite-Impulse-Response-Filter (IIR-Filter), 493
Glitch, 642 Injektionslaser, 323
Glitch-Free-Design, 642 Instrumentenverstärker, 431
Graetz-Schaltung, 798 Integrator, 443
Grafischer Editor, 764 Integrierender Analog-Digital-Wandler, 477
Gruppenlaufzeit, 492 Integrierte Schaltung, 405
GTO, 304 Interferometrie, 403
International Electrotechnical Commission, 593
H Intrinsic-Laufzeit, 637
Halbbrücke, 300 Inverswandler, 815
Halbbyte, 532 Invertierender Spannungsverstärker, 428
Halb-Duplex-Betrieb, 689 Ionensensitiver Feldeffekttransistor, 401
Halbleiter, 181 I-Regler, 516
Halbleiterlaser, 322, 324, 325, 327–329, 371, 373, 378
Halbleitermaterial, 65 J
Halbleiterphysik, 65 JK-Flip-Flop, 649
Halbschwingungsmittelwert, 44
Hall-Effekt, 388 K
Hall-Sensor, 388 Kaltleiter (PTC-Widerstand), 113
Hammingdistanz, 546, 548, 723 Kapazitätsdiode, 161
Handshake, 703 Kapazitive Längenmessung, 386
Handshake-Leitung, 695 Kapazitiver Positionssensor, 398
Handshake-Verfahren, 690 Karnaugh-Veitch, 559
Harvard-Architektur, 499 Kaskadenregelung, 519
Heißleiter (NTC-Widerstand), 110 Kaskodeschaltung, 207
Hellempfindlichkeitsgrad, 310, 311, 314, 344, 345 Kathodenzerstäuben, 397
Herstellgrenzqualität, 100 Keramik-Kondensator, 131
Hexadezimales Zahlensystem, 531 Kernform, 137
Hexadezimalsystem, 532, 747 Kirchhoff’sche Regeln, 9
HF-Schaltung, 274 Knotenanalyse, 22
Hochfrequenz (HF)-Verstärker, 259 Knotenpotenzialanalyse, 29
Hochfrequenzverhalten, 108 Knotenregel (1. Kirchhoff’sches Gesetz), 9
Hochleistungsdiode, 296 Knotenspannungsanalyse, 29
Hochleistungskondensator, 290 Koaxiale Leitung, 262
Hochleistungswiderstand, 294 Kollektorschaltung, 193
Hochpass, 452 Kollektorstrom IC , 172
Hochpassfilter, 278 Kommutativgesetz, 554
Hochvakuumverdampfen, 397 Komparator, 458
h-Parameter als Transistorkennwerte, 174 Komplexe Rechnung, 39, 46
Hybrider Schaltkreis, 686 Komplexe Zahl, 39, 40
Hybrider Speicher, 607 Kondensator, 118, 289
Hybrides Bauelement, 283 Konjunktion, 552
90ı -Hybrid-Koppler, 277 Konrad Zuse, 608
Hysteresekurve, 139 Konstantstromquelle, 436
Kontrast, 330, 331, 333, 336, 367
I KOP (Kontaktplan), 754
I2 C-Schnittstelle, 705 Kraft-Sensor, 391, 395
IEC-Bus-Schnittstelle, 694 Kreisstromverfahren, 28
IEC-Zeit, 760 Kreisverstärkung, 512
Sachverzeichnis 847

Kritischer Widerstandswert Rkrit , 106 LVDT-Prinzip, 387


Kurzzeitstabilität, 241
M
L MAC (multiply and accumulate), 499
Ladung, 1 MAC-Adresse, 733
Ladungspumpe, 799 Magnetfeldabhängiger Widerstand, 116
Ladungspumpe (Charge Pump), 423, 460 Magnetoresistiver Sensor, 395
Ladungsträgerkonzentration, 69 Makrochip, 664
Lambert-Strahler, 316 Mantisse, 537
Längenmessung nach dem Wirbelstromprinzip, 387 Maschenanalyse, 22
Längsregler, 800 Maschenregel (2. Kirchhoff’sches Gesetz), 11
Langzeitstabilität, 244 Maschenstromanalyse, 28
Laplace-Transformation, 491, 506 Mask-ROM, 604
Laserdiode, 323–325, 327, 329, 363, 377 Maximale Dauerspannung Umax , 106
Lastenheft, 619 Maximaler Drain-Strom, 226
Latch, 473 Mehrebenen-Leiterplatte, 89
Lattice-Diagramm, 633 Mehrfachgegenkopplung, 451, 455
Layout, 621 Messbereichserweiterung, 15
LC-Filter, 820 Metallglasurwiderstände, 109
Least Significant Bit (LSB), 531 Microbending-Effekt, 399
Lebensdauer, 78, 80, 86, 307, 313, 317, 331, 336, 344, Mikro Controller, 612
346, 360, 371 Mikroprogramm, 610
Ladungsträger, 317, 343, 344, 349 Mikroprozessor, 610
Laser, 328, 329 Mikrostreifenleitung, 262
LED, 317, 319, 320, 329, 331 Miller-Kapazität, 411
Leerlaufspannung, 346, 347, 349, 356, 357 Millersche Indizes, 65
Leistungsbandbreite, 411 MIPS, 609
Leistungsteiler, 276 Mischer, 279
Leiternetzwerk, 468 MIS-Kondensator, 136
Leiterplatte, 87 Mitkopplung, 416
Leitfähigkeit, 65, 68, 69, 72, 75, 76 Mittlere Ausfallrate, 98
Leitungsabschluss, 630, 631 MK, 126
Leitungsanpassung, 632 MKP-Kondensator, 127
Leitungsimpedanz, 632 MKV-Kondensator, 127
Leitungsreflexion, 628, 630 Modulation der Lichtstärke, 399
Leitwert, 5, 630 monolithisch integrierte Schaltung, 575
Leuchtdiode (LED), 310, 311, 313–324, 326–330, 333, Mono-Master-System, 719
336–339, 344, 363, 370, 371, 373, 377, 378 MOS-Feldeffekttransistor, 211
Lichtoptischer Sensor, 403 MOSFET-Leistungstransistor, 229
Lichtunterbrecher, 399 für Schalter, 223
Lichtwellenleiter, 318, 325, 329, 350, 371–377, 379 Most Significant Bit (MSB), 531
Lineare Überlagerung (Superpositionsprinzip nach MP, 126
HELMHOLTZ), 24 MPK-Gleichspannungskondensator, 289
Linearer Festwiderstand, 104 MTBF, 681
Linearer Verstärker, 231 Multilayer, 640
Lineares zeitinvariantes (LTI) System, 490 Multiplexbetrieb, 330, 331, 339
Little-Endian-Maschine, 748 Multiplikationsfaktor, 353, 354
Logarithmierschaltung, 439 Multiplizierender DA-Wandler, 469
Logikdiagramm, 754
Logikfamilie, 576 N
Logische Verknüpfung, 750 Nachkommazahl, 529
LOHET (Linear-Output-Hall-Effect-Transducer), 388 Nachlauffilter, 645
Look-Up-Tabelle, 680 Nasser Aluminium-Elektrolyt-Kondensator, 129
Lorentz-Kraft, 395 Nasser Tantal-Elektrolyt-Kondensator, 131
Low Voltage Differential Signalling (LVDS), 473 Negative Logik, 696
LTI-System, 490 Negative Zahl, 535
Luftspule, 136 NEP-Wert, 349, 355
Lumineszenzdiode (LED), 310, 311, 313–324, 326–330, Netz, 687
333, 336–339, 344, 363, 370, 371, 373, 377, 378 Netzfilter, 821
848 Sachverzeichnis

Netzgleichrichter, 154 Phasenjitter, 243


n-Halbleiter, 72, 77 Phasenrauschen, 242
Nibble, 532, 534, 747 Phasenregelkreis, 645
Nicht frequenzkompensiert, 418 Photon, 307, 312, 314, 318, 322, 323, 327, 334, 335,
Nicht invertierender Spannungsverstärker, 429 340–347, 350, 352, 353, 355, 359, 363, 378
Nicht sinusförmige Wechselgröße, 56 Photonenenergie, 307, 308, 313, 314, 317, 340, 356, 359
NICHT-Funktion, 551 Physical-Vapour-Deposition, 397
Nichtlinearer Verstärker, 233, 433 Physikalische Größe, 1
Nichtlinearität, 108 PID-Regler, 512, 516
Non-Contacting-Displacement-Transducer, NCDT, 388 Piezoelektrischer Sensor, 391
Non-Volatile-RAM, 605, 606 Piezoresistiver Sensor, 391
Normalisierung, 538 Pin Grid Array, 594
Normreihe, 101 Pin-Diode, 162
Notch-Filter, 456 Pin-Fotodiode, 350
Nullpunktkorrektur, 480 Pin-Grid-Array, 677
Numerische Anzeige, 336 Pipelineverfahren, 485
Nyquist-Sampling, 483 PI-Regler, 516
P-Kern, 285
O Plasma-Display (PDP), 330, 331
Oberflächenmontierbares Bauteil (OBM), 87 PLD, 661, 665
ODER, 755 PLL, 646
ODER NICHT, 755 PM-Kern, 285
ODER-Funktion, 553 pn-Übergang, 78, 80–83, 85, 86
ODER-Normalform, 555 Pol-Splitting, 418
ODER-Verknüpfung, 756 Positions-Sensor, 386
ODVA, 724 Potenzialtrennung, 794
Offsetfehler, 475 Potenziometer, 117
Offsetspannung, 202 Potenziometerschaltung, 17
Ohm’sches Gesetz, 8, 47 Power-MOS-FET, 298
Oktales Zahlensystem, 532 Präzisionsverstärker, 415
Open-Emitter-Ausgang, 592 P-Regler, 516
Open-loop-Methode, 525 PRO-ELEKTRON-Typenschlüssel, 102
Operationsverstärker, 406 Profibus Nutzerorganisation e.V., 718
Optischer Längensensor, 390 Programmable-Array-Logic, 666
Optode, 401 Programmable-Logic-Device, 661
Optoisolator, 367 Programmfluss-Steuerung, 775
Optokoppler, 318, 362, 367–371 PROM, 604
OR-Array, 668 propagation delay, 577, 588
Organische Leuchtdiode (OLED), 333–336 Prozessabbild, 752
OSI-Modell, 726 PTAT (Proportional To Absolute Temperature), 463
Oven Controlled Crystal Oscillator (OCXO), 251 Pull-Down-Widerstand, 592
Oversampling, 483 pull-up, 590
Pulsbreitenmodulation, 807
P Pulsfahrplan, 625
PAL, 661, 666 PVD-Verfahren, 397
Parallel-Analog-Digital-Wandler, 484 Pyrometer, 393
Parallel-Digital-Analog-Wandler, 471
Parallele Datenübertragung, 689, 692 Q
Parallelschaltung, 12 Quadwort, 748
Paritätsprüfung, 701 Quantenausbeute, 314, 343, 344, 346, 347, 349
Passives Bauelement, 95, 283 Quanten-Hall-Effekt, 6
PD-Regler, 516 Quantenwirkungsgrad, 314, 315, 319, 323, 327–329,
Pegelanpassung, 578 335, 377, 378
Pflichtenheft, 619 Quantisierungsfehler, 475
p-Halbleiter, 74, 77 Quantisierungsrauschen, 483
Phase-Locked-Loop, 645 Quarzoszillator, 238
Phasenbedingung, 239
Phasendurchtrittsfrequenz, 514 R
Phasengang, 513 R-2R-Leiternetzwerk, 468
Sachverzeichnis 849

R-2R-Netzwerk, 468 Schaltzeit, 177


Radiometrische Größe, 311 Scheibenwicklung, 144
Rail-to-Rail-Operationsverstärker, 412 Scheitelfaktor, 46
Rail-to-Rail-Verstärker, 422 Schichtwiderstände, 109
RAM, 600 Schleifenverstärkung, 239
Raumwinkel, 309, 310 Schmitt-Trigger, 432
Rauschäquivalente Leistung (NEP), 342, 349, 352, 355 Schnelle Gleichrichterdiode, 155
Rauschen, 175, 342, 349, 351–355 Schnittstelle, 687
APD, 354, 355 Schottky-Diode, 150, 580
Pin-Diode, 350 Schottky-Leistungsdiode, 157
Rauschfaktor, 267 Schutzelement, 296
Rauschformung (noise shaping), 477, 488 Schwellwertschalter, 432
Rauschparameter, 266 SCSI, 696
Rauschspannungsabstand, 581 Sea-of-Gates, 662, 676
Rechenfunktion, 770 Selbstheilender Kondensator, 126
Rechnergestützte Schaltungsberechnung, 237 Sensor, 381
Redoxreaktion, 400 Serial Peripheral Interface (SPI), 472
Redundantes Bauteil, 642 Shannon’sches Abtasttheorem, 61
Reelle Zahl, 39 Sicherheitsbeschaltung, 304
Reflexionsfaktor, 260 Sieben-Segment-Anzeige, 318
Reflexionskoeffizient, 631 Signal to noise ratio (SNR), 487
Regelabweichung, 505 Signal-Rausch-Verhältnis, 342, 351, 352, 355, 367, 487,
Regelgröße, 505 489
Regelstrecke, 505 Siliciumtechnik, 394
Regelung, 503 Silicium-Widerstände, 112
Reihenschaltung, 12 Simatic-Zeit, 760
Reihenschaltung mit linearem Widerstand Simplex-Betrieb, 689
und einem Kaltleiter (PTC), 18 Single-Supply-Verstäker, 421
Reihenschaltung mit linearem Widerstand Sixpack, 300
und zwei nichtlinearen Bauelementen Small Computer System Interface, 696
(Z-Dioden), 19 Smart Power IC, 300
Rekombination, 312–314, 317, 319, 334, 335, 342, 346, SMD (Surface Mounted Devices), 87
359 SMD-Technik, 594
Rekursiver Filter, 493 Smith-Diagramm, 269
Reststrom, 177 SMT (Surface Mounted Technology), 91
Richtkoppler, 276 Sollwert, 505
Richtungssinn, 9 -Sonde, 400
Ringkernspule (Toroid), 144 SOS (Second Order Structure), 493
Ringpuffer-Konzept, 500 Spannungsabhängiger Widerstand, 114
RISC-Computer, 614 Spannungsgegenkopplung, 191
RM-Schalenkern, 285 Spannungsregler, 459, 802
ROM, 601 Spannungsrückwirkung, 174
Röntgen-Computer-Tomografie, 403 Spannungsverdoppler, 798
RS 485, 720 Spannungswandler, 804
RS-232, 699 S-Parameter, 264
RS485-Übertragungstechnik, 718 speed-power-product, 577
RS-Flip-Flop, 596, 653 Speicherfunktion, 758
Rückflussdämpfung, 261 Speicherprogrammierbare Steuerung, 745
Rückkopplung, 416 Sperrerholzeit trr , 155, 228
Rückwirkungsfrei, 436 Sperrsättigungsstrom, 82–85
Sperrschicht-Feldeffekttransistoren (JFET), 209
S Sperrschichtkapazität, 177
Safe Operating Area (SOAR), 300 Sperrschicht-Kondensator, 134
Sample and Hold, 482, 483 Sperrspannung, 177
SAR: Successive Approximation Register, 482 Sperrstrom, 153
Scannender taktiler Sensor, 402 Sperrverzögerungszeit, 150
Schaltdiode, 147 Sperrverzugszeit, 156
Schaltungskombination aus linearem Widerstand, Sperrwandler, 815
Kaltleiter und Heißleiter, 20 SPI-Schnittstelle, 707
850 Sachverzeichnis

Spitzenwertgleichrichter, 439 Tiefpass, 449


Sprungoperation, 772 Tiefpass 1. Ordnung, 655
Spule, 284 Tiefpassfilter, 278
Sputtern, 397 Tiefsetzsteller, 808
Stabilität, 107 Toggle-Flip-Flop, 597
Stabilitätsgrenze, 514 Totem-pole-Ausgang, 580
Stack-At-Fehler, 674 Totzeitglied, 509
Standard-Zellen-ASIC, 681 Transferbefehl, 753
Statemaschine, 782 Transfercharakteristik, 588
Statische RAM-Speicher, 601 Transferkondensator, 460
Statische Rückkopplung, 442 Transformator, 794
Stehwellenverhältnis, 261 Transformator-Netzteil, 796
Steilheit, 213 Transistor, 167
Stellgröße, 505 Transistor-Speicherzelle, 602
Step-Recovery-Diode, 165 Transistor-Transistor-Logik, 579
Stern-Topologie, 736 Transitfrequenz, 177
Steuerbarer Spannungsteiler, 222 Transkonduktanz-Verstärker, 420
Steuerung, 503 Transputer, 615
Störspannungsabstand, 581, 588 Triac, 304
Störstellenerschöpfung, 72–74 Triggerschaltung, 432
Störstellenleitung, 72 Trimmer, 117
Störstellenreserve, 73, 74 Trimm-Kondensator, 136
Strahlungsleistungsbilanz, 376 Triodenbereich, 211
Streckenübertragungsfunktion, 512 Tri-State-Ausgang, 589
Streifenlaser, 325, 328 Trockener Aluminium-Elektrolyt-Kondensator, 131
Streifenleiter, 91, 638 Trockener Tantal-Elektrolyt-Kondensator, 131
Streukapazität, 583 Tschebyscheff-Approximation, 497
Strobe, 458 Tunneldiode, 165
Stromgegenkopplung, 187 Typenschlüssel, 181
Stromlaufplan, 754
Strom-Spannungs-Kennlinie, 81 U
Stromspiegel, 198 UART, 719
Stromtransformator, 287 Überabtastrate (oversampling ratio, OSR), 488
Strömungsmesser, 398 Überabtastung (Oversampling), 477, 487
Stromversorgung, 793 Übertragungsfunktion, 492, 765
Stromverstärkung, 172 Übertragungsprotokoll, 690
Stromverstärkungskennlinie, 186 UND, 755
Subtrahierverstärker, 430 UND NICHT, 755
Sukzessive Approximation, 481 UND-Funktion, 551
Suppressor-Diode, 159 UND-Verknüpfung, 756
Symmetrische Optimum, 522 USV, 816
Systemtheorie, 505
V
T Vakuum-Fluoreszenz-Display (VFD), 330, 331
Takt-Oszillator, 246 Varistor (VDR), 114
Taktrückgewinnung, 690 VCO, 646
Tastverhältnis, 57 (VC)OCXO, 251
TCP/IP, 737 VCSEL, 325–327, 329
technische Wechselspannung, 793 (VC)TCXO, 249
Temperatur-Sensor, 392, 395 VCXO, 247
Temperaturspannung, 81 Verbindungshalbleiter, 65, 68
Testvektor, 674 Vergleichsfunktion, 766
thermische Simulation, 622 Verlustfaktor tan ı, 122
Thermisches Rauschen, 107 verlustlose Leitung, 631
Thermoelemente, 393 Verstärker, 275
Thermokette, 397 Verstärkung, 59
Thermometer-Kode, 484 Verstärkungs-Bandbreite-Produkt, 206, 354, 423, 424
Thyristor, 301 Verstärkungsfehler, 476
TIA-Portal, 753 Vielschicht-Kondensator, 134
Sachverzeichnis 851

Vier-Quadranten-Multiplizierer, 470 Wortverknüpfung, 766


Villard-Schaltung, 799
Virtual-Privat-Network, 688 X
VKE (Verknüpfungsergebnis), 751, 755 X-Kondensator, 821
Volldisjunktion, 553 XO, 246
Vollduplex, 707
Voll-Duplex-Betrieb, 689 Y
Vollkonjunktion, 552 Y-Kondensator, 821
Vollweg-Gleichrichter, 797 Y -Parameter, 214
Voltage Standing Wave Ratio (VSWR), 261 Y-Reflexionssensor, 399
Vorwärtserholzeit tfr , 157 Yule-Walker-Algorithmus, 494
Vorzeichen-Betrags-Darstellung, 535
VPN, 688 Z
VPS (verbindungsprogrammierte Steuerung), 745 Zahlendarstellung, 746
Zahlenformate, 746
W Zahlensysteme, 529
Wafer, 406, 682 Zählfunktion, 764
Wägeverfahren, 482 Z-Diode, 157
Wandler, optoelektronische, 308 Zeigerdarstellung komplexer Größen, 46
Wandlungsfunktion, 767 Zeitfunktion, 760
Wechselrichter (chopper), 420 Zeitverhalten, 77
Wechselstromlehre, 39 Zener-Effekt, 84
Weg-Sensor, 386 Zirkulator, 277
Wellenwiderstand, 262 z-Transformation, 491
Wheatstone’sche Brücke, 16 Zugriffsverfahren, 731
Wicklungskapazität, 143 Zustandsdichte, 70, 71
Widerstand, 5, 12 Zuverlässigkeit, 96
Widerstandspotenziometer, 388 Zweierkomplement, 535
Widerstandsthermometer, 393 Zwei-Rampen-Verfahren, 478, 480
Widerstands-Z-Dioden-Netzwerks, 433 Zwei-Stufen-Verfahren, 485
Wien-Glied, 56 Zweiweggleichrichter, 438
Wirkungsgrad, Solarzelle, 355, 357, 359–361 Zwischenspeicher, 602
Wort, 531, 748 Zylinderwicklung (Solenoid), 143

Das könnte Ihnen auch gefallen